JP2013526067A - 縦型インラインcvdシステム - Google Patents

縦型インラインcvdシステム Download PDF

Info

Publication number
JP2013526067A
JP2013526067A JP2013508289A JP2013508289A JP2013526067A JP 2013526067 A JP2013526067 A JP 2013526067A JP 2013508289 A JP2013508289 A JP 2013508289A JP 2013508289 A JP2013508289 A JP 2013508289A JP 2013526067 A JP2013526067 A JP 2013526067A
Authority
JP
Japan
Prior art keywords
chamber body
waveguide
power source
processing
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013508289A
Other languages
English (en)
Other versions
JP5903429B2 (ja
Inventor
真一 栗田
ジョゼフ クデラ
スハイリ アンワール
ジョン エム ホワイト
ドン キル イム
ハンス ヴォルフ
デニス ズバロ
真 稲川
育雄 森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013526067A publication Critical patent/JP2013526067A/ja
Application granted granted Critical
Publication of JP5903429B2 publication Critical patent/JP5903429B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本発明は、概して複数の基板を処理することができる処理チャンバを有する縦型CVDシステムに関する。複数の基板が、処理チャンバ内の処理ソースの両側に配置されるが、処理環境は、互いに隔離されていない。処理ソースは、プラズマ発生器の両側で同時に複数の基板を処理することを可能にする水平方向にセンタリングされた縦型プラズマ発生器であるが、互いに独立している。システムは、ツインシステムとして配置され、これによって各々が独自の処理チャンバを備えた2つの同一の処理ラインが、互いに隣接して配置される。複数のロボットが、処理システムから基板をロード及びアンロードするために使用される。各ロボットは、システム内の処理ラインの両方にアクセスできる。

Description

発明の背景
(発明の分野)
本発明の実施形態は、概して、縦型化学蒸着(CVD)システムに関する。
(関連技術の説明)
CVDは、化学前駆物質が処理チャンバ内に導入され、所定の化合物又は物質を形成するように化学的に反応し、処理チャンバ内で基板上に堆積するプロセスである。いくつかのCVDプロセスがある。1つのCVDプロセスは、前駆体間の反応を高めるために、チャンバ内でプラズマが点火されるプラズマCVD(PECVD)である。PECVDは、誘導結合プラズマ源又は容量結合プラズマ源を利用することによって達成することができる。
CVDプロセスは、フラットパネルディスプレイ又は太陽電池パネルなどの大面積基板を処理するために使用することができる。CVDは、トランジスタ用のシリコンベースのフィルムなどの層を堆積させるために使用することができる。フラットパネルディスプレイデバイスの製造コストを低減する方法及び装置のための技術の必要性がある。
本発明は、概して複数の基板を処理することができる処理チャンバを有する縦型CVDシステムに関する。複数の基板が、処理チャンバ内の処理ソースの両側(対向する側)に配置されるが、処理環境は、互いに隔離されていない。処理ソースは、プラズマ発生器の両側で同時に複数の基板を処理することを可能にする水平方向にセンタリングされた縦型プラズマ発生器であるが、互いに独立している。システムは、ツインシステムとして配置され、これによって各々が独自の処理チャンバを備えた2つの同一の処理ラインが、互いに隣接して配置される。複数のロボットが、処理システムから基板をロード及びアンロードするために使用される。各ロボットは、システム内の処理ラインの両方にアクセスできる。
一実施形態では、装置は、チャンバ本体と、複数のプラズマ発生器と、各プラズマ発生器の第1端部に結合された第1導波管と、各プラズマ発生器の第2端部に結合された第2導波管と、第1導波管に結合され、チャンバ本体の外側に配置された第1電源と、第2導波管に結合された第2電源を含む。複数のプラズマ発生器は、チャンバ本体内で水平方向にセンタリングされ、チャンバ本体内で垂直方向に延在し、これによって1以上の基板が複数のプラズマ発生器の両側で処理されるための十分な空間がチャンバ本体内に残っている。各プラズマ発生器は、チャンバ本体の底部に隣接する第1端部と、チャンバ本体の上部に隣接する第2端部を有する。第2電源は、チャンバ本体の外側に配置される。第2電源は、隣接する第2導波管がプラズマ発生器から対応する第2電源へと反対方向に延びるように互い違いに集合的に配置されている。
一実施形態では、装置は、チャンバ本体と、複数のプラズマ発生器と、各プラズマ発生器の第1端部に結合された第1導波管と、各プラズマ発生器の第2端部に結合された第2導波管と、第1導波管に結合され、チャンバ本体の外側に配置された第1電源と、第2導波管に結合された第2電源を含む。複数のプラズマ発生器は、チャンバ本体内で水平方向にセンタリングされ、チャンバ本体内で垂直方向に延在し、これによって1以上の基板が複数のプラズマ発生器の両側で処理されるための十分な空間がチャンバ本体内に残っている。各プラズマ発生器は、チャンバ本体の底部に隣接する第1端部と、チャンバ本体の上部に隣接する第2端部を有する。第2電源は、チャンバ本体の外側に配置される。第2電源は、隣接する第2導波管がプラズマ発生器から対応する第2電源へと同じ方向に延びるようなパターンで集合的に配置されている。
一実施形態では、装置は、チャンバ本体と、複数のプラズマ発生器と、各プラズマ発生器の第1端部に結合された第1アングル導波管と、各プラズマ発生器の第2端部に結合された第2アングル導波管と、第1導波管に結合され、チャンバ本体の外側に配置された第1電源と、第2導波管に結合された第2電源を含む。複数のプラズマ発生器は、チャンバ本体内で水平方向にセンタリングされ、チャンバ本体内で垂直方向に延在し、これによって1以上の基板が複数のプラズマ発生器の両側で処理されるための十分な空間がチャンバ本体内に残っている。各プラズマ発生器は、チャンバ本体の底部に隣接する第1端部と、チャンバ本体の上部に隣接する第2端部を有する。第2電源は、チャンバ本体の外側に配置される。第2電源は、各第2導波管がチャンバ本体の側面に沿って、及びチャンバ本体の天井に沿って、各プラズマ発生器の第1端部まで上へと延びるように互い違いに集合的に配置されている。
本発明の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限されていると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
一実施形態に係る処理システムの概略図である。 図1の処理システムの概略上面図である。 図1の処理システムの概略側面図である。 図1の処理チャンバの拡大図である。 図1の処理システムの概略背面図である。 図1の処理チャンバの概略断面図である。 図1の処理チャンバの部分側面図である。 図1の処理システム用の排気システムの概略図である。 図1の処理チャンバの等角図である。 図1の処理システム用の基板シーケンスの概略上面図である。 図1の処理チャンバの概略図である。 別の一実施形態に係る処理チャンバの概略図である。 別の一実施形態に係る処理チャンバの概略図である。 別の一実施形態に係る処理チャンバの概略図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態の要素及び構成を更なる説明なしに他の実施形態に有益に組み込んでもよいと理解される。
詳細な説明
本発明は、概して複数の基板を処理することができる処理チャンバを有する縦型CVDシステムに関する。複数の基板が、処理チャンバ内の処理ソースの両側に配置されるが、処理環境は、互いに隔離されていない。処理ソースは、プラズマ発生器の両側で同時に複数の基板を処理することを可能にする水平方向にセンタリングされた縦型プラズマ発生器であるが、互いに独立している。システムは、ツインシステムとして配置され、これによって各々が独自の処理チャンバを備えた2つの同一の処理ラインが、互いに隣接して配置される。複数のロボットが、処理システムから基板をロード及びアンロードするために使用される。各ロボットは、システム内の処理ラインの両方にアクセスできる。
水平方向にセンタリングされた縦型プラズマ発生器は、処理チャンバ内で垂直なプラズマ源を有するプラズマ発生器である。縦型であることによって、プラズマ源は、チャンバの底部近く又は底部の第1端部から、チャンバの上部近く又は上部の第2端部まで延びていることが理解される。水平方向にセンタリングされていることによって、プラズマ源は、処理チャンバの2つの壁又は端部間に均等に間隔を置いて配置されていることが理解される。
本明細書で説明される実施形態は、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手できる改良されたAKT Aristoシステム内の縦型CVDチャンバを利用して実施することができる。実施形態は、他のメーカーから販売されているものを含む他のシステムでも実施可能であることを理解すべきである。
図1は、一実施形態に係る縦型直線CVDシステム100の概略図である。システム100は、約90,000mmを超える表面積を有する基板を処理するサイズにすることができ、2000オングストロームの厚さの窒化シリコン膜を成膜する際に1時間当たり90枚を超える基板を処理することができる。システム100は、ツインプロセスライン構成/レイアウトを形成するように、共通のシステム制御プラットフォーム112によって共に結合された2つの別々のプロセスライン114A、114Bを含むのが好ましい。共通の電源(例えば、AC電源)、共通の及び/又は共有のポンプ及び排気コンポーネント及び共通のガスパネルを、ツインプロセスライン114A、114Bのために使用することができる。各プロセスライン114A、114Bは、1時間当たり45枚を超える基板を処理することができ、システムにとっては1時間当たり合計90枚を超える基板を処理することができる。単一のプロセスライン又は2つを超えるプロセスラインを使用してシステムを構成することも考えられる。
縦型基板処理用ツイン処理ライン114A、114Bにはいくつかの利点がある。チャンバが垂直に配置されているため、システム100の設置面積は、単一の従来の横型処理ラインとほぼ同じである。このように、ほぼ同じ設置面積内に、2つの処理ライン114A、114Bが存在し、これは工場内の床面積を節約する点でメーカーにとって有益である。「縦型」という用語の意味を理解するために、フラットパネルディスプレイについて検討する。コンピュータのモニタなどのフラットパネルディスプレイは、長さ、幅及び厚さを有する。フラットパネルディスプレイが縦型の場合、長さ又は幅のいずれかは、地面から垂直に延びているが、厚さは地面に平行である。逆に、フラットパネルディスプレイが横型の場合、長さと幅の両方は地面に平行であるが、厚さは地面に垂直である。大面積基板の場合は、長さと幅は、基板の厚さよりも何倍も大きくなっている。
各処理ライン114A、114Bは、未処理の基板(すなわち、まだシステム100内で処理されていない基板)が取り出され、処理された基板が格納される基板スタッキングモジュール102A、102Bを含む。大気内ロボット104A、104Bは、基板スタッキングモジュール102A、102Bから基板を取り出し、デュアル基板ロードステーション106A、106Bに基板を配置する。基板を水平方向に積み重ねた基板スタッキングモジュール102A、102Bが図示されているが、デュアル基板ロードステーション106A、106B内に基板が保持されている方法と同様に、基板スタッキングモジュール102A、102B内に配置された基板は、垂直方向に維持されることができることを理解すべきである。その後、未処理の基板は、デュアル基板ロードロックチャンバ108A、108B内へ、その後、デュアル基板処理チャンバ1010A、1010Bに移動される。今、処理された基板は、その後、デュアル基板ロードロックチャンバ108A、108Bのいずれか一方を介して、デュアル基板ロードステーション106A、106Bのいずれか一方へと戻り、そこで大気内ロボット104A、104Bのいずれか一方によって取り出され、基板スタッキングモジュール102A、102Bのいずれか一方に戻される。
図2は、図1の実施形態の平面図である。シーケンスは、基板が1つの経路のみを進む場合でも、両方の処理ライン114A、114Bを同時に参照して説明される。各ロボット104A、104Bは、共通のトラック202に沿って移動することができる。後述するように、各ロボット104A、104Bは、基板ロードステーション106A、106Bの両方にアクセスすることができる。時折、処理ライン114A、114Bを介して基板を輸送するために使用される基板キャリアは、修理、洗浄、又は交換の目的のために点検が必要になる。したがって、基板キャリアサービスステーション204A、204Bは、ロードロックチャンバ108A、108Bと反対側で処理ライン114A、114Bに沿って処理チャンバ110A、110Bに結合されている。
ロードロックチャンバ108A、108B及び処理チャンバ110A、110Bを排気するために、1以上の真空ポンプ206がそれに結合される。ロードロックチャンバ108A、108Bを排気するために、真空ポンプ206は、ロードロックチャンバ106A、106Bの両方に結合されている排気ライン210から真空引きする。処理チャンバ110A、110Bを排気するために、排気ライン212、214、216、218、220、222、224、226が、処理チャンバ110A、110Bに結合されている。ロードロックチャンバ108A、108B及び処理チャンバ110A、110Bの排気は、図7を参照して以下で更に説明される。
図3は、システム100の側面図である。動作時には、処理チャンバ110A、110Bは、温度が上がるため、熱膨張を受ける可能性がある。同様に、昇温された基板が、処理チャンバ110A、110Bからロードロックチャンバ108A、108Bへ入る場合があり、これはロードロックチャンバ108A、108Bに熱膨張を引き起こす可能性がある。ロードロックチャンバ108A、108Bの熱膨張を補償するために、ロードロックチャンバ108A、108Bは、処理チャンバ110A、110Bに隣接して固定され、ロードロックチャンバ108A、108Bの残りの部分及び隣接する基板ロードステーション106A、106Bが、矢印「A」によって示される方向に移動することを可能にする端部302を有することができる。同様に、処理チャンバ110A、110Bは、ロードロックチャンバ108A、108Bに隣接して固定される端部304を有することができ、同時に処理チャンバ110A、110Bの他端及び基板キャリアサービスステーション204A、204Bは、熱膨張によって矢印「B」で示される方向に移動することができる。熱膨張によって処理チャンバ110A、110Bが膨張するとき、基板キャリアサービスステーション204A、204Bもまた、処理チャンバ110A、110Bが膨張することができるように移動する。もしも、処理チャンバ110A、110Bが膨張したときに、基板キャリアサービスステーション110A、110Bが動かなかったならば、暑い夏の日における鉄道線路と同様に、処理ライン114A、114Bは曲がる可能性がある。同様に、ロードロックチャンバ108A、108Bの膨張に伴って、基板ロードステーション106A、106Bもまた、ロードロックチャンバ108A、108Bが膨張できるように移動する。
図4は、熱膨張による処理チャンバ110Bの移動を可能にする装置を示す処理チャンバ110Bの拡大図である。処理チャンバ110Bを参照して説明が行われるが、説明はロードロックチャンバ108Bにも等しく適用可能であることを理解すべきである。処理チャンバ110Bは、フレーム402上に配置されている。処理チャンバ110Bの端部304は、固定点404と、フレーム402上に配置された低摩擦材料408の部分に沿って移動することができる脚部406を有する。低摩擦材料408用に使用することができる適切な材料は、ポリテトラフルオロエチレンを含む。他の低摩擦材料も考えられることを理解すべきである。基板キャリアサービスステーション204A、204B及び基板ロードステーション106A、106Bの両者は、低摩擦材料を有するフレーム上に配置された脚部を有し、これによって基板キャリアサービスステーション204A、204B及び基板ロードステーション106A、106Bは移動することができることを理解すべきである。
図5は、排気システムを示す処理システム100の背面立面図である。図6A及び図6Bは、真空システムを接続するための排気場所を示す処理チャンバ110Bの上面図及び部分側面図である。排気ライン212、214、216、218、220、222、224、226のそれぞれは、502A〜502D垂直コンジットを有し、それはその後スプリッタコンジット504A〜504Dに結合する。各スプリッタコンジット504A〜504Dは、処理チャンバ110A、110Bに結合する2つの接続点506A〜506Hを有する。したがって、各処理チャンバ110A、110Bの各側用に4つの接続点がある。
図6Aは、処理チャンバ110B用の接続点602A〜602Dを示している。処理チャンバ110Bは、2つの基板キャリア604A、604Bを有し、それぞれに基板606A、606Bが載置されていることが示されている。ガス導入コンジット610があるので、プラズマ発生器608は中央に配置されている。プラズマ発生器608は、CVD用の処理チャンバ110A、110B内でプラズマを発生させるマイクロ波源である。プラズマ発生器608は、電源614によって給電される。図6Bに示されるように、接続点602A、602Iは、チャンバ蓋612の角部近傍に配置されている。接続点602A〜602Dは、処理チャンバ110Bの角部近傍に配置されているので、処理チャンバ110Bは、チャンバ110Bのすべての領域で実質的に均一に排気することができる。1つだけの排気点が使用された場合は、排気点近くがより遠く離れた位置と比べて、より高い真空となる可能性がある。追加の接続を含めて、他の排気接続が可能であることが理解される。
図7は、一実施形態に係る排気システム700の概略図である。単一の真空ポンプではなく、各処理チャンバ110A、110Bは、いくつかの真空ポンプ702A〜702Hを有することができる。各垂直ライン502A〜502Hは、接続点602A〜602Pに結合する前に、スプリッタコンジット504A〜504Hに分割される。スロットルバルブ704は、接続点602A〜602Pとスプリッタコンジット504A〜504Hの間に配置することができ、これによって各処理チャンバ110A、110Bの真空レベルを制御する。排気システム700は、より少ない真空ポンプを備えたシステムに適用可能であることを理解すべきである。処理チャンバに結合された真空ポンプのいずれかが機能しない場合は、処理チャンバに結合された他の真空ポンプが、機能していないポンプを補償することができ、これによって処理チャンバは、所定の真空度を維持することができる。
ロードロックチャンバ108A、108Bは、ロードロックチャンバ108A、108Bの接続点708A、708Bに結合された共通の真空ポンプ706によって排気することができる。ロードロックチャンバ108A、108Bの真空レベルを制御するために、二方弁710が、真空ポンプ706と接続点708A、708Bとの間に存在してもよい。
図8は、処理チャンバ110Bから離間したチャンバ蓋612の側面斜視図である。処理チャンバ110Bを修理するために、蓋612は、点802A、802Bで排気ライン224、226から垂直コンジット502A、502Eを切断することによって、矢印「C」によって示されるように移動させることができる。したがって、蓋612は、全体の排気システム700を分解する必要なく、又は多数の重いシステム部品を動かすことなく移動することができる。蓋612は、クレーンや油圧リフトなどの移動装置を用いて、蓋612をスライドさせることにより、処理チャンバ110Bから遠ざけることができる。
図9は、ロボット104A、104Bが、基板スタッキングモジュール102A、102Bから基板906を除去し、基板ロードステーション環境902A〜902Dに基板906を配置するためのシーケンスを示している。基板ロードステーション106A、106Bは、2つの独立した環境902A〜902Dを有することが示されている。それぞれの環境では、基板キャリア906は、別の方向を向いている。このように、基板906が基板ロードステーション環境902A〜902D内に配置されているとき、基板906は、各基板ロードステーション106A、106B内のキャリア904によって離間されている。
ロボット104Aは、基板スタッキングモジュール102Aから基板906を取り出し、環境902B又は902Dのいずれかの中に基板906を配置するために、トラック202に沿って移動する。ロボット104Aが環境902B、902D内に基板906を配置するとき、基板906はキャリア904上に配置され、これによって基板906は、キャリア904から離れて矢印「E」の方向を向く。同様に、ロボット104Bは、基板スタッキングモジュール102Bから基板906を取り出し、環境902A又は902Cのいずれかの中に基板906を配置するために、トラック202に沿って移動する。ロボット104Bが環境902A、902C内に基板906を配置するとき、基板906はキャリア904上に配置され、これによって基板906は、キャリア904から離れて矢印「D」の方向を向く。したがって、ロボット104A、104Bの両方は、同じ基板ロードステーション106A、106Bにアクセスし、同じトラック202に沿って移動することができる。しかしながら、各ロボット104A、104Bは、基板ロードステーション106A、106Bの別の環境902A〜902Dにアクセスし、特定の方向を向いている各キャリア904上に基板906を配置することだけができる。
図10A〜図10Cは、一実施形態に係るデュアル処理チャンバ110A、110Bの概略図である。デュアル処理チャンバ110A、110Bは、各処理チャンバ110A、110Bの中心に直線状に配置された複数のマイクロ波アンテナ1010を含む。アンテナ1010は、処理チャンバの上部から、処理チャンバの底部へと垂直に延びる。各マイクロ波アンテナ1010は、マイクロ波アンテナ1010に結合されている処理チャンバの上部と底部の両方に対応したマイクロ波パワーヘッド1012を有する。図10Bに示されるように、マイクロ波パワーヘッド1012は、互い違い(千鳥状)になっている。互い違いの配置は、空間的制約による可能性がある。電力は、各パワーヘッド1012を介して、アンテナ1010の各端部に独立して印加することができる。マイクロ波アンテナ1010は、300MHz〜300GHzの範囲内の周波数で動作することができる。
処理チャンバの各々は、マイクロ波アンテナ1010のそれぞれの側に1つずつ、2つの基板を処理できるように配置されている。基板は、プラテン1008及びシャドーフレーム1004によって処理チャンバ内の所定の位置に保持される。ガス導入管1014は、隣接するマイクロ波アンテナ1010の間に配置される。ガス導入管1014は、マイクロ波アンテナ1010に平行に処理チャンバの底部から上部に垂直に延在する。ガス導入管1014は、処理ガス(例えば、ケイ素前駆体及び窒素前駆体)の導入を可能にする。図10A〜図10Cには示されていないが、処理チャンバ110A、110Bは、基板キャリア1008の裏側に位置するポンプポートを通して排気することができる。
図11A及び図11Bは、別の一実施形態に係る処理チャンバ1100の概略図である。処理チャンバ1100は、チャンバ本体内を第1端部1108から第2端部1118へと垂直に延びる複数のプラズマ発生器(例えば、マイクロ波アンテナ)を含む。処理チャンバ1100は、基板を処理する際に使用するためのプラズマ発生器1102のそれぞれの側にシャドーフレーム1104を含む。図11Bに示されるように、シャドーフレーム1104が、複数のプラズマ発生器1102の両側に配置され、これによって2つの大面積基板は、単一の処理チャンバ1100内で処理され、それ故同じ処理環境に同時に又は連続して曝露することができる。
各プラズマ発生器1102は、その第1端部で第1導波管1110に、その第2端部1118で第2導波管1116に結合されている。各第1導波管1110は第1電源1112に結合され、一方、各第2導波管は第2電源1114に結合されている。電源1112、1114は、筐体1106内で導波管1110、1116に結合することができる。図11Bに最もよく示されるように、筐体1106は、互い違いの「T」字型の筐体である。互い違いの「T」字型の筐体は、空間的制約のために必要となる場合がある。このような配置では、隣接する導波管1110、1116は、端部1108、1118からそれぞれの電源1112、1114まで逆向きに平行に延びている。ガス導入管も、図10Cに関して上述したように、処理チャンバ1100内に配置することができる。
図12A及び図12Bは、別の一実施形態に係る処理チャンバ1200の概略図である。処理チャンバ1200は、チャンバ本体内を第1端部1208から第2端部1218へと垂直に延びる複数のプラズマ発生器(例えば、マイクロ波アンテナ)を含む。処理チャンバ1200は、基板を処理する際に使用するためのプラズマ発生器1202のそれぞれの側にシャドーフレーム1204を含む。図12Bに示されるように、シャドーフレーム1204が、複数のプラズマ発生器1202の両側に配置され、これによって2つの大面積基板は、単一の処理チャンバ1200内で処理され、それ故同じ処理環境に同時に又は連続して曝露することができる。
各プラズマ発生器1202は、その第1端部で第1導波管1210に、その第2端部1218で第2導波管1216に結合されている。各第1導波管1210は第1電源1212に結合され、一方、各第2導波管は第2電源1214に結合されている。電源1212、1214は、筐体1206内で導波管1210、1216に結合することができる。図12Bに最もよく示されるように、筐体1206は、すべて処理チャンバ1200の同じ側から延びている。このような配置では、隣接する導波管1210、1216は、端部1208、1218からそれぞれの電源1212、1214まで同じ向きに平行に延びている。ガス導入管も、図10Cに関して上述したように、処理チャンバ1200内に配置することができる。
図13A及び図13Bは、別の一実施形態に係る処理チャンバ1300の概略図である。処理チャンバ1300は、チャンバ本体内を第1端部1308から第2端部1318へと垂直に延びる複数のプラズマ発生器(例えば、マイクロ波アンテナ)を含む。処理チャンバ1300は、基板を処理する際に使用するためのプラズマ発生器1302のそれぞれの側にシャドーフレーム1304を含む。図13Bに示されるように、シャドーフレーム1304が、複数のプラズマ発生器1302の両側に配置され、これによって2つの大面積基板は、単一の処理チャンバ1300内で処理され、それ故同じ処理環境に同時に又は連続して曝露することができる。
各プラズマ発生器1302は、その第1端部で第1アングル導波管1310に、その第2端部1318で第2アングル導波管1316に結合されている。各第1アングル導波管1310は第1電源1312に結合され、一方、各第2アングル導波管は第2電源1314に結合されている。筐体1306は、導波管1310、1316を見る際に明確にするために、チャンバの側面から取り除かれたことによって、チャンバ1300の上部及び底部上に示されている。図13Bに最もよく見られるように、導波管1310、1316は、処理チャンバ1300の上部に沿って、それぞれの電源1312、1314に対して処理チャンバ1300の側面に沿って下へ延びている。プラズマ発生器1302の第1及び第2端部1308、1318に対する電源1312、1314の場所のため、導波管1310、1316は曲がって(アングル化されて)いる。ガス導入管も、図10Cに関して上述したように、処理チャンバ1300内に配置することができる。
縦型CVDシステムを利用することで、複数の基板を同時に処理することができる。同時に複数の基板を処理することは、製造コストを低減し、これはメーカーの利益を高める可能性がある。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (9)

  1. チャンバ本体と、
    チャンバ本体内で水平方向にセンタリングされ、チャンバ本体内で垂直方向に延在し、これによって1以上の基板が複数のプラズマ発生器の両側で処理されるための十分な空間がチャンバ本体内に残っている複数のプラズマ発生器であって、各プラズマ発生器は、チャンバ本体の底部に隣接する第1端部と、チャンバ本体の上部に隣接する第2端部を有するプラズマ発生器と、
    各プラズマ発生器の第1端部に結合された第1導波管と、
    各プラズマ発生器の第2端部に結合された第2導波管と、
    各第1導波管に結合され、チャンバ本体の外側に配置された第1電源と、
    各第2導波管に結合され、チャンバ本体の外側に配置された第2電源であって、第2電源は、隣接する第2導波管がプラズマ発生器から対応する第2電源へと反対方向に延びるように互い違いに集合的に配置されている第2電源を含む装置。
  2. チャンバ本体と、
    チャンバ本体内で水平方向にセンタリングされ、チャンバ本体内で垂直方向に延在し、これによって1以上の基板が複数のプラズマ発生器の両側で処理されるための十分な空間がチャンバ本体内に残っている複数のプラズマ発生器であって、各プラズマ発生器は、チャンバ本体の底部に隣接する第1端部と、チャンバ本体の上部に隣接する第2端部を有するプラズマ発生器と、
    各プラズマ発生器の第1端部に結合された第1導波管と、
    各プラズマ発生器の第2端部に結合された第2導波管と、
    各第1導波管に結合され、チャンバ本体の外側に配置された第1電源と、
    各第2導波管に結合され、チャンバ本体の外側に配置された第2電源であって、第2電源は、隣接する第2導波管がプラズマ発生器から対応する第2電源へと同じ方向に延びるようなパターンで集合的に配置されている第2電源を含む装置。
  3. チャンバ本体と、
    チャンバ本体内で水平方向にセンタリングされ、チャンバ本体内で垂直方向に延在し、これによって1以上の基板が複数のプラズマ発生器の両側で処理されるための十分な空間がチャンバ本体内に残っている複数のプラズマ発生器であって、各プラズマ発生器は、チャンバ本体の底部に隣接する第1端部と、チャンバ本体の上部に隣接する第2端部を有するプラズマ発生器と、
    各プラズマ発生器の第1端部に結合された第1アングル導波管と、
    各プラズマ発生器の第2端部に結合された第2アングル導波管と、
    各第1導波管に結合され、チャンバ本体の外側に配置された第1電源と、
    各第2導波管に結合され、チャンバ本体の外側に配置された第2電源であって、第2電源は、各第2導波管がチャンバ本体の側面に沿って、及びチャンバ本体の天井に沿って、各プラズマ発生器の第1端部まで上へと延びるように互い違いに集合的に配置されている第2電源を含む装置。
  4. 複数のプラズマ発生器は、マイクロ波発生器である請求項1〜3のいずれか1項記載の装置。
  5. チャンバ本体内に、複数のマイクロ波発生器に隣接して配置された複数のガス導入管を更に含む請求項4記載の装置。
  6. チャンバ本体は、複数のマイクロ波発生器にアクセスするための取り外し可能な1以上の蓋を含み、各蓋は貫通して延びる複数の開口部を有する請求項5記載の装置。
  7. チャンバ本体に結合された1以上の真空ポンプを更に含み、これによってチャンバ本体は各蓋を貫通して延びる複数の開口部を通して排気することができる請求項6記載の装置。
  8. チャンバ本体はフレーム上に配置され、チャンバ本体はフレームに固定された第1端部を有する請求項7記載の装置。
  9. フレーム上に配置されたポリテトラフルオロエチレン要素を更に含み、チャンバ本体は、ポリテトラフルオロエチレン要素上に配置され、ポリテトラフルオロエチレン要素に沿って移動可能な第2端部を有する請求項8記載の装置。
JP2013508289A 2010-04-30 2011-04-29 縦型インラインcvdシステム Expired - Fee Related JP5903429B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US33029610P 2010-04-30 2010-04-30
US61/330,296 2010-04-30
US35423010P 2010-06-13 2010-06-13
US61/354,230 2010-06-13
US41653210P 2010-11-23 2010-11-23
US61/416,532 2010-11-23
PCT/US2011/034623 WO2011137373A2 (en) 2010-04-30 2011-04-29 Vertical inline cvd system

Publications (2)

Publication Number Publication Date
JP2013526067A true JP2013526067A (ja) 2013-06-20
JP5903429B2 JP5903429B2 (ja) 2016-04-13

Family

ID=44862144

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013508288A Pending JP2013527609A (ja) 2010-04-30 2011-04-29 縦型インラインcvdシステム
JP2013508289A Expired - Fee Related JP5903429B2 (ja) 2010-04-30 2011-04-29 縦型インラインcvdシステム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2013508288A Pending JP2013527609A (ja) 2010-04-30 2011-04-29 縦型インラインcvdシステム

Country Status (6)

Country Link
US (2) US9922854B2 (ja)
JP (2) JP2013527609A (ja)
KR (2) KR101796656B1 (ja)
CN (2) CN102859655A (ja)
TW (2) TWI551718B (ja)
WO (2) WO2011137373A2 (ja)

Families Citing this family (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102859655A (zh) * 2010-04-30 2013-01-02 应用材料公司 垂直直列cvd系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9048518B2 (en) 2011-06-21 2015-06-02 Applied Materials, Inc. Transmission line RF applicator for plasma chamber
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9644268B2 (en) * 2011-08-31 2017-05-09 Alta Devices, Inc. Thermal bridge for chemical vapor deposition reactors
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6473332B2 (ja) * 2012-01-27 2019-02-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated セグメント化されたアンテナアセンブリおよびプラズマ発生装置
US20130206068A1 (en) * 2012-02-13 2013-08-15 Jozef Kudela Linear pecvd apparatus
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI582028B (zh) * 2014-01-03 2017-05-11 緯創資通股份有限公司 導引組裝料件系統及其導引料件台
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10153191B2 (en) * 2014-05-09 2018-12-11 Applied Materials, Inc. Substrate carrier system and method for using the same
JP2017515301A (ja) * 2014-05-09 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 保護カバーを有する基板キャリアシステム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN104195629A (zh) * 2014-08-20 2014-12-10 中国科学院半导体研究所 塔式多片外延生长装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102400863B1 (ko) * 2015-07-27 2022-05-24 삼성디스플레이 주식회사 플라즈마 처리 장치 및 이를 이용하여 기판을 플라즈마 처리하는 방법
US10039219B1 (en) 2015-09-28 2018-07-31 Western Digital Technologies, Inc. Method and devices for picking and placing workpieces into devices under manufacture using dual robots
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI588929B (zh) * 2016-08-01 2017-06-21 矽品精密工業股份有限公司 承載設備及其操作方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
JP2019526701A (ja) * 2017-07-24 2019-09-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 真空チャンバ内で基板を処理するための装置及びシステム、並びに真空チャンバ内でキャリアを搬送する方法
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10861692B2 (en) 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN108048818A (zh) * 2017-12-18 2018-05-18 德淮半导体有限公司 化学气相沉积装置及其使用方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI758589B (zh) 2018-03-01 2022-03-21 美商應用材料股份有限公司 電漿源組件和提供電漿的方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7231721B2 (ja) 2018-10-04 2023-03-01 アプライド マテリアルズ インコーポレイテッド 搬送システム
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11145517B2 (en) * 2018-10-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gas curtain for semiconductor manufacturing system
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11694913B2 (en) * 2018-12-18 2023-07-04 Intevac, Inc. Hybrid system architecture for thin film deposition
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
EP3722458B1 (en) * 2019-02-28 2022-01-19 Toshiba Mitsubishi-Electric Industrial Systems Corporation Film forming device
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11189516B2 (en) 2019-05-24 2021-11-30 Applied Materials, Inc. Method for mask and substrate alignment
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11538706B2 (en) 2019-05-24 2022-12-27 Applied Materials, Inc. System and method for aligning a mask with a substrate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
CN110331378B (zh) * 2019-07-18 2024-01-19 中国科学院金属研究所 金刚石薄膜连续制备使用的hfcvd设备及其镀膜方法
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US10916464B1 (en) 2019-07-26 2021-02-09 Applied Materials, Inc. Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
US11196360B2 (en) 2019-07-26 2021-12-07 Applied Materials, Inc. System and method for electrostatically chucking a substrate to a carrier
US11756816B2 (en) 2019-07-26 2023-09-12 Applied Materials, Inc. Carrier FOUP and a method of placing a carrier
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
CN115516657A (zh) * 2020-01-22 2022-12-23 应用材料公司 Oled层厚度和掺杂剂浓度的产线内监测
WO2021150524A1 (en) 2020-01-22 2021-07-29 Applied Materials, Inc. In-line monitoring of oled layer thickness and dopant concentration
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106094A (ja) * 1993-10-04 1995-04-21 Matsushita Electric Ind Co Ltd マイクロ波プラズマ発生装置
JPH07161492A (ja) * 1993-12-06 1995-06-23 Daido Steel Co Ltd マイクロ波プラズマ処理装置
JPH08138889A (ja) * 1994-09-16 1996-05-31 Daihen Corp プラズマ処理装置
JPH09223811A (ja) * 1996-02-16 1997-08-26 Canon Inc 光起電力素子の作製装置
JPH11354297A (ja) * 1998-05-29 1999-12-24 Leybold Syst Gmbh プラズマを発生させるための装置
WO2000043568A1 (fr) * 1999-01-22 2000-07-27 Toyo Kohan Co., Ltd. Appareil de depot chimique en phase vapeur a plasma par micro-ondes
JP2001192830A (ja) * 2000-01-05 2001-07-17 Ulvac Japan Ltd 大口径カーボンナノチューブ薄膜形成プラズマcvd装置及び該薄膜の形成方法
WO2009117229A2 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4274936A (en) * 1979-04-30 1981-06-23 Advanced Coating Technology, Inc. Vacuum deposition system and method
US4410558A (en) * 1980-05-19 1983-10-18 Energy Conversion Devices, Inc. Continuous amorphous solar cell production system
US4423701A (en) * 1982-03-29 1984-01-03 Energy Conversion Devices, Inc. Glow discharge deposition apparatus including a non-horizontally disposed cathode
US4474659A (en) * 1982-05-28 1984-10-02 Fazal Fazlin Plated-through-hole method
US4576830A (en) * 1984-11-05 1986-03-18 Chronar Corp. Deposition of materials
US4566403A (en) * 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
DE3750115T2 (de) 1986-10-20 1995-01-19 Hitachi Ltd Plasmabearbeitungsgerät.
US4893584A (en) * 1988-03-29 1990-01-16 Energy Conversion Devices, Inc. Large area microwave plasma apparatus
DE4029905C2 (de) * 1990-09-21 1993-10-28 Leybold Ag Vorrichtung für den Transport von Substraten
WO1992017621A1 (en) * 1991-04-04 1992-10-15 Conner Peripherals, Inc. Apparatus and method for high throughput sputtering
US5776819A (en) * 1992-05-05 1998-07-07 Midwest Research Institute Deposition of device quality, low hydrogen content, amorphous silicon films by hot filament technique using "safe" silicon source gas
US6124186A (en) * 1992-05-05 2000-09-26 Midwest Research Institute Deposition of device quality, low hydrogen content, hydrogenated amorphous silicon at high deposition rates with increased stability using the hot wire filament technique
US5397737A (en) * 1992-05-05 1995-03-14 The United States Of America As Represented By The United States Department Of Energy Deposition of device quality low H content, amorphous silicon films
JP3175333B2 (ja) * 1992-06-15 2001-06-11 日新電機株式会社 基板処理装置
EP0578047B1 (en) * 1992-06-23 1998-05-13 Nippon Telegraph And Telephone Corporation Plasma processing apparatus
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
FR2701797B1 (fr) * 1993-02-18 1995-03-31 Commissariat Energie Atomique Coupleur de transfert d'une puissance micro-onde vers une nappe de plasma et source micro-onde linéaire pour le traitement de surfaces par plasma .
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
DE4428136A1 (de) * 1994-08-09 1996-02-15 Leybold Ag Vakuum-Beschichtungsanlage
EP0702393A3 (en) * 1994-09-16 1997-03-26 Daihen Corp Plasma processing apparatus for introducing a micrometric wave from a rectangular waveguide, through an elongated sheet into the plasma chamber
DE19503205C1 (de) * 1995-02-02 1996-07-11 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
DE19631407B4 (de) * 1996-08-05 2006-05-04 Unaxis Deutschland Holding Gmbh Vorrichtung zur plasmachemischen Abscheidung von polykristallinem Diamant
DE19722272A1 (de) * 1997-05-28 1998-12-03 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
DE19739894C2 (de) 1997-09-11 2001-07-05 Muegge Electronic Gmbh Plasmabehandlungsvorrichtung
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US6251183B1 (en) * 1998-05-21 2001-06-26 Midwest Research Institute Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process
US6214706B1 (en) * 1998-08-28 2001-04-10 Mv Systems, Inc. Hot wire chemical vapor deposition method and apparatus using graphite hot rods
DE19841777C1 (de) * 1998-09-12 2000-01-05 Fraunhofer Ges Forschung Vorrichtung zur plasmatechnischen Abscheidung von polykristallinem Diamant
EP0995812A1 (en) * 1998-10-13 2000-04-26 Vacumetal B.V. Apparatus for flow-line treatment of articles in an artificial medium
US6186090B1 (en) * 1999-03-04 2001-02-13 Energy Conversion Devices, Inc. Apparatus for the simultaneous deposition by physical vapor deposition and chemical vapor deposition and method therefor
JP4017796B2 (ja) * 1999-10-26 2007-12-05 株式会社アルバック プラズマ処理装置
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
WO2001088221A1 (fr) * 2000-05-17 2001-11-22 Ishikawajima-Harima Heavy Industries Co., Ltd. Appareil de depot chimique en phase vapeur (cvd) au plasma et procede associe
JP4856308B2 (ja) * 2000-12-27 2012-01-18 キヤノンアネルバ株式会社 基板処理装置及び経由チャンバー
US6793766B2 (en) * 2001-01-04 2004-09-21 Applied Materials Inc. Apparatus having platforms positioned for precise centering of semiconductor wafers during processing
DE10114115A1 (de) 2001-03-22 2002-10-02 Muegge Electronic Gmbh Anordnung zur Erzeugung von Plasma in einem Behandlungsraum
US6638839B2 (en) * 2001-07-26 2003-10-28 The University Of Toledo Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
JP4564213B2 (ja) 2001-09-14 2010-10-20 三井造船株式会社 プラズマ生成用アンテナ及びcvd装置
JP4020679B2 (ja) * 2002-04-09 2007-12-12 シャープ株式会社 プラズマプロセス装置
JP2004055614A (ja) * 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
JP4120546B2 (ja) * 2002-10-04 2008-07-16 株式会社Ihi 薄膜形成方法及び装置並びに太陽電池の製造方法及び装置並びに太陽電池
TWI262165B (en) * 2002-10-16 2006-09-21 Sez Ag Device and method for transporting wafer-shaped articles
JP4087233B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置
TW200415726A (en) * 2002-12-05 2004-08-16 Adv Lcd Tech Dev Ct Co Ltd Plasma processing apparatus and plasma processing method
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
KR100441875B1 (ko) * 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
JP4417734B2 (ja) * 2004-01-20 2010-02-17 株式会社アルバック インライン式真空処理装置
DE102004008598B4 (de) * 2004-02-21 2006-12-28 Applied Films Gmbh & Co. Kg Verfahren für den Betrieb einer Inline-Beschichtungsanlage
TWI267917B (en) * 2004-02-24 2006-12-01 Advanced Display Proc Eng Co Apparatus for manufacturing flat-panel display
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
JP4471708B2 (ja) * 2004-03-31 2010-06-02 キヤノンアネルバ株式会社 基板搬送装置
JP2005340425A (ja) * 2004-05-26 2005-12-08 Ulvac Japan Ltd 真空処理装置
US20070048456A1 (en) * 2004-09-14 2007-03-01 Keshner Marvin S Plasma enhanced chemical vapor deposition apparatus and method
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US7267319B2 (en) * 2004-11-09 2007-09-11 General Electric Company Low-friction slide-plates for rotary machines
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
KR100667866B1 (ko) * 2004-12-22 2007-01-12 한국기계연구원 리튬이차전지 음극재료용 복합분말 및 그 제조방법과 이를이용한 음극재료
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
JP2006278643A (ja) * 2005-03-29 2006-10-12 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置及びプラズマ処理方法
KR100667886B1 (ko) * 2005-07-01 2007-01-11 주식회사 에스에프에이 인라인 스퍼터링 시스템
US20070095281A1 (en) * 2005-11-01 2007-05-03 Stowell Michael W System and method for power function ramping of microwave liner discharge sources
US7842355B2 (en) * 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
KR101225312B1 (ko) * 2005-12-16 2013-01-22 엘지디스플레이 주식회사 프로세스 장치
DE102006048814B4 (de) * 2006-10-16 2014-01-16 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur Erzeugung von Mikrowellenplasmen hoher Plasmadichte
DE102006048815B4 (de) * 2006-10-16 2016-03-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur Erzeugung von Mikrowellenplasmen hoher Leistung
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US20080127887A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Vertically mounted rotary cathodes in sputtering system on elevated rails
JP4896899B2 (ja) * 2007-01-31 2012-03-14 東京エレクトロン株式会社 基板処理装置およびパーティクル付着防止方法
KR20090110863A (ko) * 2007-02-01 2009-10-22 윌라드 앤드 켈시 솔라 그룹, 엘엘씨 유리 시트 반도체 코팅을 위한 시스템과 방법
US7972471B2 (en) 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US20100144122A1 (en) * 2007-07-07 2010-06-10 Xinmin Cao Hybrid chemical vapor deposition process combining hot-wire cvd and plasma-enhanced cvd
WO2009009606A1 (en) 2007-07-12 2009-01-15 Applied Materials, Inc. Apparatus and method for centering a substrate in a process chamber
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5058084B2 (ja) * 2007-07-27 2012-10-24 株式会社半導体エネルギー研究所 光電変換装置の作製方法及びマイクロ波プラズマcvd装置
JP2009094242A (ja) * 2007-10-05 2009-04-30 Ebatekku:Kk 基板保持機構、基板受渡機構、及び基板処理装置
JP2009105081A (ja) * 2007-10-19 2009-05-14 Ebatekku:Kk 基板処理装置
JP5307383B2 (ja) 2007-11-26 2013-10-02 株式会社アルバック 真空処理装置
JP5232801B2 (ja) * 2007-12-14 2013-07-10 株式会社アルバック チャンバ及び成膜装置
US20110076420A1 (en) * 2008-01-30 2011-03-31 Applied Materials, Inc. High efficiency low energy microwave ion/electron source
EP2299498B1 (en) * 2008-06-06 2013-12-11 Ulvac, Inc. Thin-film solar cell manufacturing apparatus
WO2009148081A1 (ja) * 2008-06-06 2009-12-10 株式会社アルバック 薄膜太陽電池製造装置
JP5657527B2 (ja) * 2008-06-09 2015-01-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板をコーティングするためのコーティングシステム及び方法
US20090324368A1 (en) * 2008-06-27 2009-12-31 Applied Materials, Inc. Processing system and method of operating a processing system
JP5511273B2 (ja) * 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
TW201129713A (en) * 2009-07-09 2011-09-01 Applied Materials Inc Curved microwave plasma line source for coating of three-dimensional substrates
CN107359103A (zh) * 2009-10-28 2017-11-17 应用材料公司 用于等离子体增强化学气相沉积的腔室
JP2011119396A (ja) * 2009-12-02 2011-06-16 Ulvac Japan Ltd 薄膜太陽電池製造装置
CN102859655A (zh) * 2010-04-30 2013-01-02 应用材料公司 垂直直列cvd系统
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106094A (ja) * 1993-10-04 1995-04-21 Matsushita Electric Ind Co Ltd マイクロ波プラズマ発生装置
JPH07161492A (ja) * 1993-12-06 1995-06-23 Daido Steel Co Ltd マイクロ波プラズマ処理装置
JPH08138889A (ja) * 1994-09-16 1996-05-31 Daihen Corp プラズマ処理装置
JPH09223811A (ja) * 1996-02-16 1997-08-26 Canon Inc 光起電力素子の作製装置
JPH11354297A (ja) * 1998-05-29 1999-12-24 Leybold Syst Gmbh プラズマを発生させるための装置
WO2000043568A1 (fr) * 1999-01-22 2000-07-27 Toyo Kohan Co., Ltd. Appareil de depot chimique en phase vapeur a plasma par micro-ondes
JP2001192830A (ja) * 2000-01-05 2001-07-17 Ulvac Japan Ltd 大口径カーボンナノチューブ薄膜形成プラズマcvd装置及び該薄膜の形成方法
WO2009117229A2 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
JP2011515582A (ja) * 2008-03-18 2011-05-19 アプライド マテリアルズ インコーポレイテッド 同軸マイクロ波支援堆積及びエッチングシステム

Also Published As

Publication number Publication date
KR20130057441A (ko) 2013-05-31
CN102859655A (zh) 2013-01-02
CN102859034B (zh) 2015-04-29
WO2011137373A2 (en) 2011-11-03
WO2011137373A4 (en) 2012-03-15
KR101796656B1 (ko) 2017-11-13
CN102859034A (zh) 2013-01-02
JP5903429B2 (ja) 2016-04-13
US20120031333A1 (en) 2012-02-09
KR101932578B1 (ko) 2018-12-28
US9922854B2 (en) 2018-03-20
WO2011137371A3 (en) 2012-03-01
WO2011137371A2 (en) 2011-11-03
TWI544107B (zh) 2016-08-01
TWI551718B (zh) 2016-10-01
JP2013527609A (ja) 2013-06-27
WO2011137373A3 (en) 2012-02-09
KR20130062942A (ko) 2013-06-13
US20120031335A1 (en) 2012-02-09
TW201204865A (en) 2012-02-01
US9324597B2 (en) 2016-04-26
TW201202472A (en) 2012-01-16

Similar Documents

Publication Publication Date Title
JP5903429B2 (ja) 縦型インラインcvdシステム
US6902624B2 (en) Massively parallel atomic layer deposition/chemical vapor deposition system
US20080202892A1 (en) Stacked process chambers for substrate vacuum processing tool
US20080206036A1 (en) Magnetic media processing tool with storage bays and multi-axis robot arms
US20080202420A1 (en) Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US20140126980A1 (en) Substrate processing apparatus
KR20110139079A (ko) 박막 증착 장치
US10483141B2 (en) Semiconductor process equipment
TWM428190U (en) Metal organics chemical vapor deposition treatment system realizing automatic base-plate transmission and in-situ base-plate test
US20080202419A1 (en) Gas manifold directly attached to substrate processing chamber
US20080206022A1 (en) Mult-axis robot arms in substrate vacuum processing tool
US20080202687A1 (en) Stacked process chambers for flat-panel display processing tool
US20080206021A1 (en) Stacked process chambers for magnetic media processing tool
US20080206023A1 (en) Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
KR20190002415A (ko) 기판을 프로세싱하기 위한 장치, 기판을 프로세싱하기 위한 프로세싱 시스템 및 기판을 프로세싱하기 위한 장치를 서비싱하기 위한 방법
TWI585232B (zh) 線性電漿輔助化學氣相沈積設備
WO2013107766A1 (en) Vacuum deposition system
US20080202686A1 (en) Self-contained process modules for magnetic media processing tool
US20230338914A1 (en) Substrate processing apparatus including exhaust duct with a bevel mask with a planar inner edge
US20080206020A1 (en) Flat-panel display processing tool with storage bays and multi-axis robot arms
CN201901701U (zh) 一种用于金属有机物化学气相沉积的真空处理系统
US20080202410A1 (en) Multi-substrate size vacuum processing tool
KR20220033786A (ko) 패브리케이션 라인
KR20230106107A (ko) 원격식 플라즈마 유닛 및 원격식 플라즈마 유닛을 포함한 기판 처리 장치
WO2021178192A1 (en) Chiller make-break connector for substrate processing systems

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140428

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150224

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150521

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150623

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160216

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160314

R150 Certificate of patent or registration of utility model

Ref document number: 5903429

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees