CN102034871A - 鳍式场效应晶体管及其形成方法 - Google Patents

鳍式场效应晶体管及其形成方法 Download PDF

Info

Publication number
CN102034871A
CN102034871A CN2010105018361A CN201010501836A CN102034871A CN 102034871 A CN102034871 A CN 102034871A CN 2010105018361 A CN2010105018361 A CN 2010105018361A CN 201010501836 A CN201010501836 A CN 201010501836A CN 102034871 A CN102034871 A CN 102034871A
Authority
CN
China
Prior art keywords
mentioned
fin formula
substrate
field effect
effect transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010105018361A
Other languages
English (en)
Other versions
CN102034871B (zh
Inventor
赖理学
郭紫微
叶致锴
万幸仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102034871A publication Critical patent/CN102034871A/zh
Application granted granted Critical
Publication of CN102034871B publication Critical patent/CN102034871B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明提供一种鳍式场效应晶体管及其形成方法,该鳍式场效应晶体管在一基底的上方具有一鳍式沟道本体。一栅极置于上述鳍式沟道本体的上方。至少一源/漏极区邻接于上述鳍式沟道本体。上述至少一源/漏极区实质上未包含任何鳍式结构。本发明可使存储单元的尺寸缩减。

Description

鳍式场效应晶体管及其形成方法
技术领域
本发明主要涉及半导体装置,特别涉及鳍式场效应晶体管(fin field effecttransistors;finFETs)及其形成方法。
背景技术
半导体集成电路(integrated circuit;IC)工业已历经快速成长。在集成电路的材料与设计等方面的技术上的进步,使得在每个集成电路的世代制造出比前一个世代还小、并更复杂的电路。例如,半导体工业热烈地致力于存储单元(memory cell)的尺寸缩减。而其中已进行的一项策略是使用多重栅极晶体管,除此之外还有鳍式场效应晶体管。
发明内容
有鉴于此,本发明提供一种鳍式场效应晶体管,包含:一鳍式沟道本体,位于一基底的上方;一栅极,置于上述鳍式沟道本体的上方;以及至少一源/漏极区,邻接于上述鳍式沟道本体,上述至少一源/漏极区实质上未包含任何鳍式结构。
在上述鳍式场效应晶体管中,较好为:上述基底具有位于一第一隔离区与一第二隔离区之间的一部分,上述至少一源/漏极区具有一外延生长区,上述外延生长区置于上述基底的上述部分的上方。
在上述鳍式场效应晶体管中,较好为:上述外延生长区外延生长区与上述基底的上述部分具有一界面,且上述界面的一中心区低于上述第一隔离区的一表面。
在上述鳍式场效应晶体管中,较好为:上述界面的上述中心区与上述第一隔离区的上述表面的距离实质上等于上述鳍式沟道本体的高度。
在上述的鳍式场效应晶体管中,较好为:上述界面的上述中心区实质上平坦。
在上述鳍式场效应晶体管中,较好为:(1)上述第一隔离区具有一角落,而上述第一隔离区的上述表面和上述第一隔离区与上述基底的上述部分之间的一界面在上述角落处交叉;(2)上述基底的上述部分具有一尖端,而上述基底的上述部分的一表面和上述第一隔离区与上述基底的上述部分之间的上述界面在上述尖端处交叉;以及(3)上述角落实质上邻接于上述尖端。
在上述鳍式场效应晶体管中,较好为:上述外延生长区是用来对上述鳍式沟道本体提供应力。
在上述鳍式场效应晶体管中,较好为:还包含一硅化物结构,其置于上述外延生长区的上方。
本发明又提供一种鳍式场效应晶体管,包含:一鳍式沟道本体,位于一基底的上方,上述基底具有位于一第一隔离区与一第二隔离区之间的一部分;一栅极,置于上述鳍式沟道本体的上方;以及至少一源/漏极区,邻接于上述鳍式沟道本体,上述至少一源/漏极区包含:一外延生长区,置于上述基底的上述部分的上方,其中上述外延生长区与上述基底部分具有一界面,且上述界面的一中心区低于上述第一隔离区的一表面;及一硅化物结构,置于上述外延生长区的上方。
在上述鳍式场效应晶体管中,较好为:上述界面的上述中心区与上述第一隔离区的上述表面之间的距离等于上述鳍式沟道本体的高度。
在上述鳍式场效应晶体管中,较好为:上述界面的上述中心区是实质上平坦的。
在上述鳍式场效应晶体管中,较好为:(1)上述第一隔离区具有一角落,而上述第一隔离区的上述表面和上述第一隔离区的一侧壁在上述角落处交叉;(2)上述基底的上述部分具有一尖端,而上述基底的上述部分的一表面和上述基底的上述部分的一侧壁在上述尖端处交叉;以及(3)上述角落实质上邻接于上述尖端。
在上述鳍式场效应晶体管中,较好为:上述外延生长区是得以对上述鳍式沟道本体提供应力。
本发明是又一种鳍式场效应晶体管的形成方法,包含:在一基底的上方形成一鳍式沟道本体;在上述鳍式沟道本体的上方形成一栅极;以及形成至少一源/漏极区,其邻接于上述鳍式沟道本体,上述至少一源/漏极区实质上未包含任何鳍式结构。
在上述鳍式场效应晶体管的形成方法中,上述鳍式沟道本体的形成较好为包含:(1)在上述基底的上方形成一鳍状物;以及(2)移除上述鳍状物的至少一末端部分,以暴露出为一隔离结构所围绕的上述基底的一部分的一表面,并形成上述鳍式沟道本体。
在上述鳍式场效应晶体管的形成方法中,较好为还包含移除上述基底的一部分,其中上述基底的上述部分的上述暴露的表面的一中心区低于上述隔离结构的一表面。
在上述鳍式场效应晶体管的形成方法中,较好为:上述基底的上述暴露的表面的上述中心区与上述隔离结构的上述表面之间的距离,实质上等于上述鳍式沟道本体的高度。
在上述鳍式场效应晶体管的形成方法,较好为还包含使上述基底的上述暴露的表面经过热能并通入氢气后,使得上述表面重流,而使上述基底的上述暴露的表面的上述中心区是实质上平坦的。
在上述鳍式场效应晶体管的形成方法中,其中上述至少一源/漏极区的形成较好为包含:从上述基底的上述部分的上述暴露的表面外延生长上述至少一源/漏极区。
在上述鳍式场效应晶体管的形成方法中,较好为更包含:(1)在上述外延生长区的上方形成一外延层;以及(2)使至少上述外延层硅化。
本发明可使存储单元的尺寸缩减。
附图说明
图1是显示一集成电路的一例示的鳍式场效应晶体管的俯视图。
图2A是显示沿着图1所示的集成电路100的剖面线2A-2A的剖面图。
图2B是显示沿着图1所示的集成电路100的剖面线2B-2B的剖面图。
图2C是显示沿着图1所示的集成电路100的剖面线2C-2C的剖面图。
图3是显示一例示的鳍式场效应晶体管的应力与距离(d)的关系的模拟结果的曲线图。
图4是显示具有基底的一部分与隔离区的另一个例示的鳍式场效应晶体管的放大的剖面图。
图5A~图5D是一系列的沿着图1的剖面线2C-2C的剖面图,是显示图1所示的鳍式场效应晶体管的一例示的形成方法。
图6是图示一例示的鳍式场效应晶体管的一例示的形成方法。
其中,附图标记说明如下:
A~曲线                B~曲线
d~距离                h~高度
2A~剖面线             2B~剖面线
2C~剖面线             100~集成电路
101~基底              102a~部分
102b~部分             102c~部分
102d~部分             102e~部分
103~隔离结构          103a~隔离区
103b~隔离区           103c~隔离区
104~界面              105a~鳍式场效应晶体管
105b~鳍式场效应晶体管 106~表面
107~间隔物层          107a~间隔物
107b~间隔物           107c~间隔物
107d~间隔物           110a~鳍式沟道本体
110b~鳍式沟道本体     115~栅极
120a~源/漏极区        120b~源/漏极区
121~外延生长区        123~外延生长区
125a~源/漏极区        125b~源/漏极区
126~外延生长区        130~栅介电质
135~鳍状物            140~硬掩模层
145~移除工艺          145a~表面
145b~表面             401~基底
402a~部分             403a~隔离区
403b~隔离区           404~表面
406~表面              408~角落
409~界面              411~尖端
421~外延生长区    610~工艺
620~工艺          630~工艺
具体实施方式
为让本发明的上述和其他目的、特征、和优点能更明显易懂,下文特举出较佳实施例,并配合附图,作详细说明如下:
一传统的鳍式场效应晶体管装置是使用从半导体基板竖起的一硅的鳍状物来制造。此装置的沟道区是形成于上述鳍状物中,而将一栅极覆盖(例如围绕)上述鳍状物,例如接触上述鳍状物的上表面与侧壁。围绕沟道区(也就是鳍状物)的优点在于可以从三侧来控制此沟道区。源/漏极区是形成于此鳍状物的二侧。
要了解的是本说明书以下的公开内容提供许多不同的实施例或范例,以实施本发明的不同特征。而本说明书以下的公开内容是叙述各个构件及其排列方式的特定范例,以求简化发明的说明。当然,这些特定的范例并非用以限定本发明。例如,若是本说明书以下的公开内容叙述了将一第一特征形成于一第一特征之上或上方,即表示其包含了所形成的上述第一特征与上述第二特征是直接接触的实施例,亦包含了尚可将附加的特征形成于上述第一特征与上述第二特征之间,而使上述第一特征与上述第二特征可能未直接接触的实施例。另外,本说明书以下的公开内容可能在各个范例中使用重复的元件符号,以使说明内容更加简化、明确,但是重复的元件符号本身不会使不同的实施例及/或结构之间产生关联。
图1是显示一集成电路的一例示的鳍式场效应晶体管的俯视图。在图1中,一集成电路100可包含至少一个鳍式场效应晶体管,例如鳍式场效应晶体管105a与105b。鳍式场效应晶体管105a与105b可在一基底101的上方各具有一鳍式沟道本体,例如分别为鳍式沟道本体110a与110b。一栅极115可置于鳍式沟道本体110a与110b的上方。至少一源/漏极区例如为源/漏极区120a-120b与125a-125b,是分别邻接于鳍式沟道本体110a与110b。源/漏极区120a与120b的至少一个是实质上未包含任何鳍式结构。
请注意鳍式沟道本体110a与110b是位于栅极115的下方,而无法从鳍式场效应晶体管105a与105b的俯视图看到鳍式沟道本体110a与110b。因此,在图1中是以虚线来标示鳍式沟道本体110a与110b,已显示其在鳍式场效应晶体管105a与105b中的位置。
在某些实施例中,鳍式沟道本体110a是指具有一鳍状结构的物体,且仅用于在源/漏极区120a与120b之间作为沟道区;在某些其他实施例中,鳍式沟道本体110a可具有一鳍状物体,其仅被栅极115所覆盖;在另外的其他实施例中,鳍式沟道本体110a可具有一鳍状物体,其仅被栅极115及在栅极115的侧壁上的间隔物107a与107b所覆盖。
在某些实施例中,基底101可包含一元素半导体,其包含结晶形式、多晶形式、或非晶质结构的硅或锗;或可包含一化合物半导体,其包含碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、或锑化铟;或可包含一合金半导体,其包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、或GaInAsP;或可包含其他适当的材料;或上述的组合。在一实施例中,上述合金半导体基底可具有一渐变的SiGe结构,其中硅与锗的成分是从在上述渐变的SiGe结构的某个位置的比例变成在上述渐变的SiGe结构的另一个位置的另一个比例;在另一个实施例中,上述合金SiGe是位于硅基底的上方;在又另一个实施例中,一SiGe基底是受到应变。另外,上述半导体基底可以是绝缘体上覆半导体,例如是绝缘体上覆硅(silicon on insulator;SOI)、或一薄膜晶体管(thinfilm transistor;TFT)。在某些实例中,上述半导体基底可具有一掺杂的外延层或一埋入层;在其他实例中,上述化合物半导体基底可具有一多层结构,或是上述基底可具有一多层的化合物半导体结构。
请参考图1,一隔离结构103可包含至少一个隔离区,例如隔离区103a~103c。隔离结构103可围绕鳍式场效应晶体管105a与105b,并电性隔离鳍式场效应晶体管105a与鳍式场效应晶体管105b。隔离结构103可包含一浅沟槽隔离(shallow trench isolation;STI)结构、一硅的局部氧化(localoxidation of silicon;LOCOS)结构、其他的隔离结构、或上述的任意组合。
请参考图1,间隔物107a与107b可邻接于栅极115。间隔物107a与107b可适当地将源/漏极区120a、120b、125a、和125b与栅极115隔开。间隔物107a与107b可以各包含至少一材料,例如氧化物、氮化物、氧氮化物、其他介电材料、或上述的任意组合。
图2A是显示沿着图1所示的集成电路100的剖面线2A-2A的剖面图。在图2A中,基底101可具有至少一个部分,例如部分102a与102b。在某些实施例中,部分102a可置于隔离区103a与103b之间。一栅介电质130可置于栅极115的下方。鳍式沟道本体110a与110b(如图1所示)是分别在源/漏极区120a与125a的背后而被其挡住。
在某些实施例中,栅介电质130可为单层或多层结构。在某些多层结构的实施例中,栅介电质130可包含一界面层与一高介电常数介电层。上述界面层可包含一介电材料,例如氧化硅、氮化硅、氧氮化硅、其它介电材料、及/或上述的组合。上述高介电常数介电层可包含高介电常数的介电材料,例如HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、其他适当的高介电常数介电材料、及/或上述的组合。上述高介电常数介电材料还可选自金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氧氮化物、金属铝酸盐、硅酸锆、铝酸锆、氧化硅、氮化硅、氧氮化硅、氧化锆、氧化钛、氧化铝、二氧化铪-氧化铝混合物、其他适当的材料、及/或上述的组合。
在某些实施例中,栅极115可包含复晶硅、硅锗(silicon-germanium)、包含金属化合物的金属材料例如铝、钼、铜、钨、钛、钽、氮化钛、氮化钽、NiSi、CoSi、其他本技术领域中已知的适当的导体材料、或上述的任意组合;在其他实施例中,栅极115可包含位于一金属层上方的一复晶硅层;在另外的其他实施例中,栅极115可具有一功函数金属层,而使其作为一金属栅极的一N型金属功函数或P型金属功函数。P型功函数材料的成分包含例如钌、钯、铂、钴、镍、与导体性金属氧化物、及/或其他适当的材料。N型功函数材料的成分包含例如铪、锆、钛、钽、铝、金属碳化物(例如碳化铪、碳化锆、碳化钛、碳化铝)、铝化物(aluminides)、及/或其他适当的材料。
在某些实施例中,源/漏极区120a与125a可各包含一外延生长区,例如分别为外延生长区121与126。外延生长区121可置于基底101的部分102a的上方,外延生长区121与部分102a可具有一界面104。在某些实施例中,界面104的一中心区可与隔离区103a的一表面106在实质上同一水平上;在其他实施例中,界面104的中心区可低于隔离区103a的表面106;在另外的其他实施例中,界面104的中心区可与隔离区103a的表面106相隔一距离“d”;在另外的其他实施例中,界面104的中心区可以是实质上平坦的。
在某些实施例中,外延生长区121与126可具有掺杂物。在某些形成N型的鳍式场效应晶体管的实施例中,外延生长区121与126可具有掺杂物例如砷(As)、磷(P)、其他V族元素、或上述的任意组合;在某些形成P型的鳍式场效应晶体管的实施例中,外延生长区121与126可具有掺杂物例如硼(B)、其他III族元素、或上述的任意组合。在某些实施例中,外延生长区121与126可具有一多层结构例如一双层或三层结构。例如一双层结构可包含在一硅顶盖层下方的一硅锗层。
在某些实施例中,鳍式场效应晶体管105a与105b可包含置于外延生长区121与126的上方的一硅化物结构(未绘示)。上述硅化物结构可包含的材料例如硅化镍(NiSi)、硅化镍-铂(NiPtSi)、硅化镍-铂-锗(NiPtGeSi)、硅化镍-锗(NiGeSi)、硅化镱(YbSi)、硅化铂(PtSi)、硅化铱(IrSi)、硅化铒(ErSi)、硅化钴(CoSi)、其他适当的材料、及/或上述的组合。
图2B是显示沿着图1所示的集成电路100的剖面线2B-2B的剖面图。在图2B中,基底101可包含至少一个部分,例如部分102c与102d,鳍式沟道本体110a则可置于部分102c的上方,鳍式沟道本体110a可具有一高度“h”。部分102c可置于隔离区103a与103b之间,而部分102c可与部分102a(示于图2A)连接。在某些实施例中,鳍式沟道本体110a与部分102c可具有相同材料,例如硅;在其他实施例中,鳍式沟道本体110a与部分102c可具有不同材料,例如分别为硅锗与硅。
图2C是显示沿着图1所示的集成电路100的剖面线2C-2C的剖面图。在图2C中,外延生长区121与123可分别置于基底101的部分102a与102e上,而鳍式沟道本体110a可置于基底101的部分102c上。如图所示,在某些实施例中,隔离结构103的界面104与表面106可具有一距离“d”,鳍式沟道本体110a可具有一高度“h”。在某些实施例中,距离“d”对于高度“h”的比值(d/h)可以是小于或等于大约10;在至少一实施例中,比值(d/h)约为1。
请参考图2C,外延生长区121与123可对鳍式沟道本体110a提供一应力,例如压应力或张应力。受到压缩化拉伸的鳍式沟道本体110a可对鳍式场效应晶体管105a提供所需的电子迁移率或空穴迁移率。在提供一压应力的某些实施例中,鳍式沟道本体110a可具有一硅材料,而外延生长区121与123可具有一硅锗材料。在提供一压应力的其他实施例中,鳍式沟道本体110a及外延生长区121与123可具有一硅锗材料,其中外延生长区121与123所具有的锗浓度可大于鳍式沟道本体110a的锗浓度。
在提供一张应力的某些实施例中,鳍式沟道本体110a可具有一硅材料,而外延生长区121与123可具有一SiC材料。在提供一张应力的其他实施例中,鳍式沟道本体110a及外延生长区121与123可具有一SiC材料,其中外延生长区121与123所具有的碳浓度可大于鳍式沟道本体110a的碳浓度。
图3是显示某些实施例的一例示的鳍式场效应晶体管的应力与距离(d)的关系的模拟结果的曲线图。在图3中,垂直的座标轴是代表作用于一鳍式沟道本体1的一应力,而水平座标轴则代表距离“d”。在此模拟作业中,鳍式沟道本体110a所具有的高度“h”是约40nm,并具有一硅材料。外延生长区121与123可具有锗浓度为36atomic%(原子百分比)的一硅锗材料。一外延硅层(未绘示)是形成于外延生长区121与123的每一个之上。曲线A是代表以一先栅极工艺(gate-first process)来形成鳍式场效应晶体管105a,曲线B是代表以一后栅极工艺(gate-last process)来形成鳍式场效应晶体管105a。
请再参考图3,在距离“d”为约0的某些实施例中,界面104的中央区是与隔离区103a的表面106实质上在同一个水平,可对以一先栅极工艺与一后栅极工艺所形成的鳍式沟道本体110a分别施加约-400Mpa与-700Mpa的应力。通过增加距离“d”,以一先栅极工艺或一后栅极工艺所形成的鳍式场效应晶体管105a的鳍式沟道本体110a的应力值便增加。在其他的实施例中,如果距离“d”实质上等于或大于高度“h”,施加于鳍式场效应晶体管105a的鳍式沟道本体110a的应力值的增幅可变慢。如前所述,外延生长区121与123是实质上未包含任何鳍式结构。外延生长区121与123的量可对鳍式场效应晶体管105a的鳍式沟道本体110a提供一所需的应力。
图4是显示具有基底的一部分与隔离区的另一个例示的鳍式场效应晶体管的放大的剖面图。图4所示元件中与图2A所示元件相同者,是以图2A的元件符号在数值上加上300来代表。在某些实施例中,一隔离区403a可具有一角落408,而隔离区403a的一表面406是和隔离区403a与基底401的部分402a之间的一界面409在角落408处交叉。基底401的部分402a具有一尖端411,而基底401的部分402a的一表面404是和隔离区403a与基底401的部分402a之间的界面409在尖端411处交叉。角落408是实质上邻接于尖端411。在某些实施例中,用以形成外延生长区421的一工艺可在一含氢的环境中的一重流工艺。上述重流工艺可使表面404的中心部分变平坦。
我们发现若角落408是实质上邻接于尖端411,可从基底401的部分402a形成一足够量的外延生长区421。外延生长区421的足够的量可对鳍式场效应晶体管的鳍式沟道本体提供一应力。外延生长区421的量亦可减少源/漏极区的电阻。在某些实施例中,通过一同步掺杂的工艺(in-situ doped process)而在外延生长区421添加掺杂物,可进一步地减少源/漏极区的电阻。
图5A~图5D是一系列的沿着图1的剖面线2C-2C的剖面图,是显示图1所示的鳍式场效应晶体管的一例示的形成方法。在图5A中,可以在基底101中及/或上方形成隔离结构103,并可在基底101的上方形成一鳍状物135,鳍状物135可具有一高度“h”。可以在鳍状物135的上方形成栅介电质130与栅极115。可以在栅极115的上方形成一硬掩模层140。可形成一间隔物层107,其顺应性地位在鳍状物135、硬掩模层140、与栅极115及栅介电质130的侧壁的上方。可通过包含例如沉积工艺、蚀刻工艺、清洁工艺、光刻工艺、及/或上述的任意组合的多道工艺,来形成隔离结构103、栅介电质130、栅极115、间隔物层107、鳍状物135、及/或硬掩模层140。
请参考图5B,可移除间隔物层107(绘示于图5A)的一些部分,以形成间隔物107a~107d。间隔物107a与107b可形成于栅极115的侧壁上,而间隔物107c与107d可形成于鳍状物135的侧壁上。在某些实施例中,间隔物107a与107b可覆盖栅极115,以避免从栅极115的侧壁作外延生长所造成的一蘑菇效应(mushroom effect)。可通过包含例如沉积工艺、蚀刻工艺、清洁工艺、光刻工艺、及/或上述的任意组合的多道工艺,来形成隔离结构103、栅介电质130、栅极115、间隔物107a与107b、鳍状物135、及/或硬掩模层140。
请参考图5C,一移除工艺145可移除鳍状物135的至少一部分,以暴露基底101的部分102a的表面145a与基底101的部分102e的表面145b,并定义鳍式沟道本体110a。移除工艺145亦可移除间隔物107c与107d。在某些实施例中,移除工艺145可实质上移除鳍状物135的上述部分,而使暴露的表面145a的一中心区可实质上与隔离结构103的表面106在同一水平面;在其他实施例中,移除工艺145可移除基底101的一部分,以使暴露的表面145a的上述中心区可低于在隔离结构103的表面106。距离“d”可定义为暴露的表面145a与隔离结构103的表面106之间的距离。距离“d”对于高度“h”的比值(d/h)可小于或等于约10。在至少一实施例中,比值(d/h)可约为1。
在某些实施例中,前文所述与图5A~图5C相关的工艺步骤,可作为在基板101的上方形成鳍式沟道本体110a、与在鳍式沟道本体110a的上方形成栅极115(图6所示的工艺610与620)的工艺。在其他实施例中,工艺610可包含用以形成鳍式沟道本体110a的一或多个的已知的半导体工艺步骤。在另外的其他实施例中,可节省前文所述与图5A~图5C相关的一或多道的工艺步骤,以执行工艺610与620。
请参考图5D,可形成邻接于鳍式沟道本体110a的至少一源/漏极区,例如源/漏极区120a与120b。在某些实施例中,源/漏极区120a与120b的形成可包含从基底101的部分102a的暴露的表面145a与基底101的部分102e的暴露的表面145b,分别外延生长出外延生长区121与123。在某些实施例中,外延生长区121与123可各具有一(100)刻面(facet)。
在某些实施例中,前文所述与图5A~图5D相关的工艺步骤,可作为形成邻接于上述鳍式沟道本体的至少一源/漏极区的一工艺,其中上述至少一源/漏极区是实质上未包含任何鳍式结构(图6中所示的工艺630)。
在某些实施例中,鳍式场效应晶体管105a的形成方法可包含在源/漏极区120a与120b中注入掺杂物。对于形成N型沟道区的存储单元的实施例,源/漏极区120a与120b可具有掺杂物例如砷(As)、磷(P)、其他V族元素、或上述的任意组合。
在其他实施例中,鳍式场效应晶体管105a的形成方法可包含在外延生长区121与123的至少一部分沉积硅化金属,外延生长区121与123的硅化物可提供所需的传导性。上述硅化物可包含的材料例如硅化镍(NiSi)、硅化镍-铂(NiPtSi)、硅化镍-铂-锗(NiPtGeSi)、硅化镍-锗(NiGeSi)、硅化镱(YbSi)、硅化铂(PtSi)、硅化铱(IrSi)、硅化铒(ErSi)、硅化钴(CoSi)、其他适当的材料、及/或上述的组合。用来产生上述硅化物的材料可使用下列方法来进行沉积:物理气相沉积法(physical vapor deposition;PVD),例如溅镀(sputtering)与蒸镀(evaporation);镀膜法(plating);化学气相沉积法(chemical vapor deposition;CVD),例如等离子体增益化学气相沉积法(plasma enhanced chemical vapordeposition;PECVD)、常压化学气相沉积法(atmospheric pressure chemicalvapor deposition;APCVD)、低压化学气相沉积法(low pressure chemical vapordeposition;LPCVD)、高密度等离子体化学气相沉积法(high density plasmachemical vapor deposition;HDPCVD)与原子级层化学气相沉积法(atomic layerchemical vapor deposition;ALCVD);其他适当的沉积工艺;及/或上述的组合。在沉积之后,可继续进行硅化金属沉积工艺,而在较高的温度之下使被沉积的物质与上述掺杂区发生反应,而上述温度的选择是根据所选用的特定材料而定,此步骤亦称之为退火,其可包含一快速热工艺(Rapid ThermalProcess;RTP)。上述已反应的硅化物可能需要单一步骤的快速热工艺或多重步骤的快速热工艺。
在某些实施例中,鳍式场效应晶体管105a的形成方法可包含在每个外延生长区121与123的上方形成一外延层(未绘示),例如一硅外延层。在形成上述硅外延层之后,上述方法可包含在上述外延层的至少一部分沉积硅化金属。我们发现从上述硅外延层形成硅化物可以令人满意地减低上述硅化物的缺陷,而可以达成具有所需的传导性的硅化物。
在其他实施例中,鳍式场效应晶体管105a的形成方法可包含一蚀刻工艺(未绘示),以移除基底101的一部分。上述蚀刻工艺可包含例如一干蚀刻工艺、一湿蚀刻工艺、及/或上述的组合。可以在前文所述与图5C相关的移除工艺145之后、及/或在前文所述与图5D相关的形成外延生长区121与123的步骤之前,来执行上述蚀刻工艺。再某些实施例中,在移除工艺145之后,隔离结构103的一上角落可能会高于基底101的部分102a的一尖端。上述蚀刻工艺可移除基底101的一部分,而使隔离结构103的一上角落实质上邻接于基底101的部分102a的一尖端,如前文所述与图4相关的部分。上述实质上在同一水平上的角落与尖端可以使得从已暴露的表面145a与145b,分别形成令人满意的量的外延生长区121与123。外延生长区121与123的令人满意的量,可对鳍式沟道本体110a提供所需的应力。
在另外的其他实施例中,鳍式场效应晶体管105a的形成方法可包含使基底101的已暴露的表面145a与145b重流(reflow),以使基底101的已暴露的表面145a与145b的中心区成为实质上平坦的。已暴露的表面145a与145b的实质上平坦的中心区,可以使得外延生长区121与123令人满意地分别从已暴露的表面145a与145b形成。在某些实施例中,可以在一含氢的环境中执行已暴露的表面145a与145b的重流,其工艺温度为约600℃~800℃、持续约30分钟。
在某些实施例中,可以在上述基底的上方形成至少一介电结构(未绘示)。上述介电结构可包含材料例如氧化物、氮化物、氧氮化物、低介电常数介电材料、超低介电常数介电材料(ultra low-k dielectric material)、或上述的任意组合。可通过例如一化学气相沉积工艺、一高密度等离子体化学气相沉积工艺、一高纵深比填沟工艺(high aspect ratio process;HARP)、其他的沉积工艺、及/或上述的任意组合。
在某些实施例中,可以在上述介电结构中形成接触插塞(contact plugs)、介层插塞(via plugs)、金属区、及/或金属线,以作为内连线。上述接触插塞、介层插塞、金属区、及/或金属线可包含材料例如钨、铝、铜、钛、钽、氮化钛、氮化钽、硅化镍、硅化钴、其他适当地导体材料、及/或上述的组合。可通过任何适当的工艺来形成上述接触插塞、介层插塞、金属区、及/或金属线,例如沉积、光刻、与蚀刻工艺、及/或上述的组合。请注意前文所述与图5A~图5D相关的方法仅为举例,其方法可以是一先栅极工艺或一后栅极工艺,但本发明的范围并不限于此。
在某些实施例中,鳍式场效应晶体管105a及/或105b可在一封装体内形成,此封装体可结构性与电性连接于一印刷线路板或一印刷电路板(printedcircuit board;PCB),已形成一电子组装体。此电子组装体可以是例如计算机、无线通信装置、计算机相关周边设备、娱乐器材、或同类装置等的一电子系统的一部分。
在某些实施例中,包含集成电路100的上述系统,可在一个集成电路中提供一整套的系统,即是所谓的系统整合芯片(system on a chip;SOC)装置或系统整合集成电路(system on integrated circuit;SOIC)装置。这些系统整合芯片装置可在单一的集成电路中,提供用以发挥一移动电话、个人数字助理(personal data assistant;PDA)、数字卡式录放影机(digital VCR)、数字摄录象机(digital camcorder)、数字相机、MP3播放器、或类似装置的功能所需的所有电路系统。
虽然本发明已以较佳实施例公开如上,然其并非用以限定本发明,任何本发明所属技术领域中的普通技术人员,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,因此本发明的保护范围当视随附的权利要求所界定的保护范围为准。

Claims (10)

1.一种鳍式场效应晶体管,包含:
一鳍式沟道本体,位于一基底的上方;
一栅极,置于该鳍式沟道本体的上方;以及
至少一源/漏极区,邻接于该鳍式沟道本体,上述至少一源/漏极区实质上未包含任何鳍式结构。
2.如权利要求1所述的鳍式场效应晶体管,其中该基底具有位于一第一隔离区与一第二隔离区之间的一部分,上述至少一源/漏极区具有一外延生长区,该外延生长区置于该基底的该部分的上方。
3.如权利要求2所述的鳍式场效应晶体管,其中该外延生长区与该基底的该部分具有一界面,且该界面的一中心区低于该第一隔离区的一表面,该界面的该中心区与该第一隔离区的该表面的距离实质上等于该鳍式沟道本体的高度。
4.如权利要求3所述的鳍式场效应晶体管,其中该界面的该中心区是实质上平坦。
5.如权利要求2所述的鳍式场效应晶体管,其中
该第一隔离区具有一角落,而该第一隔离区的该表面和该第一隔离区与该基底的该部分之间的一界面在该角落处交叉;
该基底的该部分具有一尖端,而该基底的该部分的一表面和该第一隔离区与该基底的该部分之间的该界面在该尖端处交叉;以及
该角落实质上邻接于该尖端。
6.一种鳍式场效应晶体管的形成方法,包含:
在一基底的上方形成一鳍式沟道本体;
在该鳍式沟道本体的上方形成一栅极;以及
形成至少一源/漏极区,其邻接于该鳍式沟道本体,上述至少一源/漏极区实质上未包含任何鳍式结构。
7.如权利要求6所述的鳍式场效应晶体管的形成方法,其中该鳍式沟道本体的形成包含:
在该基底的上方形成一鳍状物;以及
移除该鳍状物的至少一末端部分,以暴露出为一隔离结构所围绕的该基底的一部分的一表面,并形成该鳍式沟道本体。
8.如权利要求7所述的鳍式场效应晶体管的形成方法,还包含移除该基底的一部分,其中该基底的该部分的该暴露的表面的一中心区低于该隔离结构的一表面,其中该基底的该暴露的表面的该中心区与该隔离结构的该表面之间的距离,实质上等于该鳍式沟道本体的高度。
9.如权利要求7所述的鳍式场效应晶体管的形成方法,其中上述至少一源/漏极区的形成包含:
从该基底的该部分的该暴露的表面外延生长上述至少一源/漏极区。
10.如权利要求9所述的鳍式场效应晶体管的形成方法,还包含:
在该外延生长区的上方形成一外延层;以及
使至少该外延层硅化。
CN201010501836.1A 2009-10-01 2010-09-30 鳍式场效应晶体管及其形成方法 Active CN102034871B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US24775609P 2009-10-01 2009-10-01
US61/247,756 2009-10-01
US12/761,686 2010-04-16
US12/761,686 US8264021B2 (en) 2009-10-01 2010-04-16 Finfets and methods for forming the same

Publications (2)

Publication Number Publication Date
CN102034871A true CN102034871A (zh) 2011-04-27
CN102034871B CN102034871B (zh) 2013-10-02

Family

ID=43822531

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010501836.1A Active CN102034871B (zh) 2009-10-01 2010-09-30 鳍式场效应晶体管及其形成方法

Country Status (5)

Country Link
US (1) US8264021B2 (zh)
JP (1) JP5595856B2 (zh)
KR (1) KR101217327B1 (zh)
CN (1) CN102034871B (zh)
TW (1) TWI456760B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103227200A (zh) * 2012-01-31 2013-07-31 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN103715198A (zh) * 2012-10-04 2014-04-09 闪矽公司 三维单浮栅非易失性存储器装置
CN104170091A (zh) * 2011-12-28 2014-11-26 英特尔公司 叠置集成电路器件的晶体管的技术和构造
CN104733529A (zh) * 2013-12-20 2015-06-24 台湾积体电路制造股份有限公司 半导体器件的鳍结构
CN103928328B (zh) * 2013-01-10 2016-12-28 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN106684087A (zh) * 2015-11-11 2017-05-17 台湾积体电路制造股份有限公司 半导体器件及其静态随机存取存储器单元和制造方法

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8362572B2 (en) * 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
US8653610B2 (en) * 2010-04-21 2014-02-18 International Business Machines Corporation High performance non-planar semiconductor devices with metal filled inter-fin gaps
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8361853B2 (en) 2010-10-12 2013-01-29 International Business Machines Corporation Graphene nanoribbons, method of fabrication and their use in electronic devices
US8642996B2 (en) 2011-04-18 2014-02-04 International Business Machines Corporation Graphene nanoribbons and carbon nanotubes fabricated from SiC fins or nanowire templates
CN103021854B (zh) * 2011-09-28 2015-09-16 中国科学院微电子研究所 制作鳍式场效应晶体管的方法以及由此形成的半导体结构
US9893163B2 (en) 2011-11-04 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3D capacitor and method of manufacturing same
US8603915B2 (en) 2011-11-28 2013-12-10 International Business Machines Corporation Multi-stage silicidation process
CN108172548B (zh) * 2011-12-21 2023-08-15 英特尔公司 用于形成金属氧化物半导体器件结构的鳍的方法
CN113540080A (zh) 2011-12-22 2021-10-22 英特尔公司 具有颈状半导体主体的半导体器件以及形成不同宽度的半导体主体的方法
US8486770B1 (en) * 2011-12-30 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming CMOS FinFET device
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8609499B2 (en) 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
KR101876793B1 (ko) 2012-02-27 2018-07-11 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
US9368388B2 (en) * 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8669147B2 (en) 2012-06-11 2014-03-11 Globalfoundries Inc. Methods of forming high mobility fin channels on three dimensional semiconductor devices
US8492228B1 (en) 2012-07-12 2013-07-23 International Business Machines Corporation Field effect transistor devices having thick gate dielectric layers and thin gate dielectric layers
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN103579295B (zh) * 2012-07-25 2016-12-28 中国科学院微电子研究所 半导体器件及其制造方法
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
KR102049774B1 (ko) 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
TWI499044B (zh) * 2013-01-25 2015-09-01 Flashsilicon Inc 三度空間單一浮動閘非揮發性記憶體裝置
US9123633B2 (en) 2013-02-01 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
US9196709B2 (en) * 2013-02-01 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
US9166053B2 (en) * 2013-02-22 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device including a stepped profile structure
US9209066B2 (en) * 2013-03-01 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of semiconductor device
US9831345B2 (en) 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
US9825130B2 (en) * 2013-03-14 2017-11-21 Intel Corporation Leakage reduction structures for nanowire transistors
KR102045212B1 (ko) 2013-04-23 2019-11-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9006066B2 (en) 2013-04-26 2015-04-14 Globalfoundries Inc. FinFET with active region shaped structures and channel separation
CN105531797A (zh) * 2013-06-28 2016-04-27 英特尔公司 具有用于III-N外延的Si(100)晶片上的Si(111)平面的纳米结构和纳米特征
US20150024584A1 (en) * 2013-07-17 2015-01-22 Global Foundries, Inc. Methods for forming integrated circuits with reduced replacement metal gate height variability
US9293587B2 (en) 2013-07-23 2016-03-22 Globalfoundries Inc. Forming embedded source and drain regions to prevent bottom leakage in a dielectrically isolated fin field effect transistor (FinFET) device
US9059002B2 (en) * 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
US9112030B2 (en) * 2013-11-04 2015-08-18 United Microelectronics Corp. Epitaxial structure and process thereof for non-planar transistor
KR102175854B1 (ko) 2013-11-14 2020-11-09 삼성전자주식회사 반도체 소자 및 이를 제조하는 방법
KR102105363B1 (ko) 2013-11-21 2020-04-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102085525B1 (ko) 2013-11-27 2020-03-09 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN104733312B (zh) * 2013-12-18 2018-09-07 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US10164107B2 (en) 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
KR102151768B1 (ko) * 2014-01-27 2020-09-03 삼성전자주식회사 반도체 장치 및 그 제조방법
KR102155181B1 (ko) 2014-01-28 2020-09-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
KR102193493B1 (ko) 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP6361180B2 (ja) * 2014-03-10 2018-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US9773869B2 (en) * 2014-03-12 2017-09-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
EP3902016A1 (en) * 2014-03-27 2021-10-27 Intel Corporation Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions
KR102208063B1 (ko) 2014-04-22 2021-01-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102200345B1 (ko) 2014-06-26 2021-01-11 삼성전자주식회사 반도체 소자 및 그 제조방법
SG11201608960RA (en) 2014-06-27 2016-11-29 Intel Corp Non-linear fin-based devices
TWI615976B (zh) * 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
US9595524B2 (en) 2014-07-15 2017-03-14 Globalfoundries Inc. FinFET source-drain merged by silicide-based material
US9543167B2 (en) 2014-07-15 2017-01-10 Globalfoundries Inc. FinFET source-drain merged by silicide-based material
KR102219295B1 (ko) 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
KR102265956B1 (ko) 2014-09-29 2021-06-17 삼성전자주식회사 소스/드레인을 포함하는 반도체 소자 및 그 제조방법
US10297673B2 (en) * 2014-10-08 2019-05-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices including conductive contacts on source/drains
US9484346B2 (en) * 2014-10-15 2016-11-01 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
KR102217246B1 (ko) * 2014-11-12 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10269981B2 (en) 2014-11-17 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-channel field effect transistors using 2D-material
US9324623B1 (en) * 2014-11-26 2016-04-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having active fins
US9472470B2 (en) 2014-12-09 2016-10-18 GlobalFoundries, Inc. Methods of forming FinFET with wide unmerged source drain EPI
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US9564489B2 (en) * 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9349798B1 (en) 2015-06-29 2016-05-24 International Business Machines Corporation CMOS structures with selective tensile strained NFET fins and relaxed PFET fins
US9455331B1 (en) 2015-07-10 2016-09-27 International Business Machines Corporation Method and structure of forming controllable unmerged epitaxial material
US9922975B2 (en) 2015-10-05 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit having field-effect trasistors with dielectric fin sidewall structures and manufacturing method thereof
KR102523125B1 (ko) 2015-11-27 2023-04-20 삼성전자주식회사 반도체 소자
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US10157748B2 (en) * 2016-02-08 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin profile improvement for high performance transistor
US10304957B2 (en) * 2016-09-13 2019-05-28 Qualcomm Incorporated FinFET with reduced series total resistance
KR102360410B1 (ko) * 2017-08-30 2022-02-08 삼성전자주식회사 반도체 장치
US10446669B2 (en) * 2017-11-30 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain surface treatment for multi-gate field effect transistors
US10825931B2 (en) * 2018-02-13 2020-11-03 Nanya Technology Corporation Semiconductor device with undercutted-gate and method of fabricating the same
US11670675B2 (en) 2020-12-04 2023-06-06 United Semiconductor Japan Co., Ltd. Semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
CN1581431A (zh) * 2003-08-14 2005-02-16 三星电子株式会社 多结构的硅鳍形及制造方法
US20050280102A1 (en) * 2004-06-16 2005-12-22 Chang-Woo Oh Field effect transistor and method for manufacturing the same
CN1855539A (zh) * 2005-04-29 2006-11-01 海力士半导体有限公司 存储器的晶体管结构及其制造方法

Family Cites Families (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2833946B2 (ja) 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
KR0146203B1 (ko) 1995-06-26 1998-12-01 김광호 반도체 집적회로의 회로소자값 조정회로
US5963789A (en) 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US6065481A (en) 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
TW468273B (en) 1997-04-10 2001-12-11 Hitachi Ltd Semiconductor integrated circuit device and method for manufacturing the same
JP3660783B2 (ja) 1997-06-30 2005-06-15 松下電器産業株式会社 半導体集積回路
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
JP4037029B2 (ja) * 2000-02-21 2008-01-23 株式会社ルネサステクノロジ 半導体集積回路装置
JP4044721B2 (ja) 2000-08-15 2008-02-06 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6558477B1 (en) 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US6830994B2 (en) 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
FR2830984B1 (fr) 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4118045B2 (ja) 2001-12-07 2008-07-16 富士通株式会社 半導体装置
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
JP2004014737A (ja) 2002-06-06 2004-01-15 Renesas Technology Corp 半導体装置およびその製造方法
US6812103B2 (en) 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
JP4031329B2 (ja) 2002-09-19 2008-01-09 株式会社東芝 半導体装置及びその製造方法
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6946373B2 (en) 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US7087499B2 (en) 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US20040192067A1 (en) 2003-02-28 2004-09-30 Bruno Ghyselen Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
DE10310740A1 (de) 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
US6872647B1 (en) 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US7906441B2 (en) 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
TWI242232B (en) 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP4212435B2 (ja) 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
KR100585111B1 (ko) 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
KR100552058B1 (ko) 2004-01-06 2006-02-20 삼성전자주식회사 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
US6956277B1 (en) 2004-03-23 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Diode junction poly fuse
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050221591A1 (en) 2004-04-06 2005-10-06 International Business Machines Corporation Method of forming high-quality relaxed SiGe alloy layers on bulk Si substrates
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
KR100605104B1 (ko) 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
JP4493398B2 (ja) 2004-05-13 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置
US7157351B2 (en) 2004-05-20 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Ozone vapor clean method
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
JP5056011B2 (ja) 2004-06-10 2012-10-24 日本電気株式会社 半導体装置及びその製造方法、FinFETの製造方法
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
JP5203558B2 (ja) 2004-08-20 2013-06-05 三星電子株式会社 トランジスタ及びこれの製造方法
TWI283066B (en) 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
US7067400B2 (en) 2004-09-17 2006-06-27 International Business Machines Corporation Method for preventing sidewall consumption during oxidation of SGOI islands
EP1807545A1 (en) 2004-09-27 2007-07-18 Dow Gloval Technologies Inc. Multilayer coatings by plasma enhanced chemical vapor deposition
US7018901B1 (en) 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
KR100693783B1 (ko) 2004-11-04 2007-03-12 주식회사 하이닉스반도체 내부전원 발생장치
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
CN100533685C (zh) 2004-12-06 2009-08-26 Nxp股份有限公司 在半导体衬底上制造外延层的方法及用这种方法制造的器件
US7026232B1 (en) 2004-12-23 2006-04-11 Texas Instruments Incorporated Systems and methods for low leakage strained-channel transistor
US7351662B2 (en) * 2005-01-07 2008-04-01 Dupont Air Products Nanomaterials Llc Composition and associated method for catalyzing removal rates of dielectric films during chemical mechanical planarization
US20060151808A1 (en) 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
US7282766B2 (en) 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
CN100481345C (zh) 2005-02-24 2009-04-22 硅绝缘体技术有限公司 SiGe层的热氧化及其应用
JP2006303451A (ja) 2005-03-23 2006-11-02 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
US7338614B2 (en) 2005-04-05 2008-03-04 Analog Devices, Inc. Vapor HF etch process mask and method
JP2006324628A (ja) 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw 完全ケイ化ゲート形成方法及び当該方法によって得られたデバイス
JP4427489B2 (ja) 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
EP1744351A3 (en) 2005-07-11 2008-11-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a fully silicided gate MOSFET and devices obtained thereof
JP4774247B2 (ja) 2005-07-21 2011-09-14 Okiセミコンダクタ株式会社 電圧レギュレータ
KR101172853B1 (ko) 2005-07-22 2012-08-10 삼성전자주식회사 반도체 소자의 형성 방법
JP4749076B2 (ja) 2005-07-27 2011-08-17 ルネサスエレクトロニクス株式会社 半導体装置
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
KR101155097B1 (ko) 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
US7589387B2 (en) 2005-10-05 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. SONOS type two-bit FinFET flash memory cell
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7767541B2 (en) 2005-10-26 2010-08-03 International Business Machines Corporation Methods for forming germanium-on-insulator semiconductor structures using a porous layer and semiconductor structures formed by these methods
DE102005052055B3 (de) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070152276A1 (en) 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7410844B2 (en) 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
JP2007194336A (ja) 2006-01-18 2007-08-02 Sumco Corp 半導体ウェーハの製造方法
KR100827435B1 (ko) 2006-01-31 2008-05-06 삼성전자주식회사 반도체 소자에서 무산소 애싱 공정을 적용한 게이트 형성방법
JP2007258485A (ja) 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US7407847B2 (en) 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
KR100813527B1 (ko) 2006-04-06 2008-03-17 주식회사 하이닉스반도체 반도체 메모리의 내부 전압 발생 장치
WO2007115585A1 (en) 2006-04-11 2007-10-18 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
DE602007000665D1 (de) 2006-06-12 2009-04-23 St Microelectronics Sa Verfahren zur Herstellung von auf Si1-yGey basierenden Zonen mit unterschiedlichen Ge-Gehalten auf ein und demselben Substrat mittels Kondensation von Germanium
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US8211761B2 (en) 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US7554110B2 (en) 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7494862B2 (en) 2006-09-29 2009-02-24 Intel Corporation Methods for uniform doping of non-planar transistor structures
US7410854B2 (en) 2006-10-05 2008-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making FUSI gate and resulting structure
CN100527380C (zh) 2006-11-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 硅片浅沟槽隔离刻蚀的方法
US7534689B2 (en) 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7538387B2 (en) 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
US7456087B2 (en) 2007-02-09 2008-11-25 United Microelectronics Corp. Semiconductor device and method of fabricating the same
KR100844938B1 (ko) 2007-03-16 2008-07-09 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7727842B2 (en) 2007-04-27 2010-06-01 Texas Instruments Incorporated Method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
JP2009016418A (ja) 2007-07-02 2009-01-22 Nec Electronics Corp 半導体装置
US7851865B2 (en) 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US8063437B2 (en) 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
US7692213B2 (en) 2007-08-07 2010-04-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing a condensation process
US20090053883A1 (en) 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
JP4361102B2 (ja) 2007-09-12 2009-11-11 富士フイルム株式会社 圧電素子の製造方法
US7767579B2 (en) 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
US20090166625A1 (en) 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
CN101960570A (zh) 2008-02-26 2011-01-26 Nxp股份有限公司 制造半导体器件的方法和半导体器件
US8003466B2 (en) 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
JP5554701B2 (ja) 2008-05-29 2014-07-23 パナソニック株式会社 半導体装置
DE102008030864B4 (de) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
US8247285B2 (en) 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US8120063B2 (en) 2008-12-29 2012-02-21 Intel Corporation Modulation-doped multi-gate devices
CA2659912C (en) 2009-03-24 2012-04-24 Sarah Mary Brunet Nasal prong protector
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US7993999B2 (en) 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8088685B2 (en) 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US8785286B2 (en) 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US20110256682A1 (en) 2010-04-15 2011-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Deposition, Multiple Treatment Dielectric Layer For A Semiconductor Device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
CN1581431A (zh) * 2003-08-14 2005-02-16 三星电子株式会社 多结构的硅鳍形及制造方法
US20050280102A1 (en) * 2004-06-16 2005-12-22 Chang-Woo Oh Field effect transistor and method for manufacturing the same
CN1855539A (zh) * 2005-04-29 2006-11-01 海力士半导体有限公司 存储器的晶体管结构及其制造方法

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9812574B2 (en) 2011-12-28 2017-11-07 Intel Corporation Techniques and configurations for stacking transistors of an integrated circuit device
CN104170091A (zh) * 2011-12-28 2014-11-26 英特尔公司 叠置集成电路器件的晶体管的技术和构造
CN104170091B (zh) * 2011-12-28 2017-05-17 英特尔公司 叠置集成电路器件的晶体管的技术和构造
CN103227200A (zh) * 2012-01-31 2013-07-31 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN103715198A (zh) * 2012-10-04 2014-04-09 闪矽公司 三维单浮栅非易失性存储器装置
CN103715198B (zh) * 2012-10-04 2016-08-17 闪矽公司 三维单浮栅非易失性存储器装置
CN103928328B (zh) * 2013-01-10 2016-12-28 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN104733529A (zh) * 2013-12-20 2015-06-24 台湾积体电路制造股份有限公司 半导体器件的鳍结构
CN106684087A (zh) * 2015-11-11 2017-05-17 台湾积体电路制造股份有限公司 半导体器件及其静态随机存取存储器单元和制造方法
US10714487B2 (en) 2015-11-11 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method of a semiconductor device
CN106684087B (zh) * 2015-11-11 2020-07-31 台湾积体电路制造股份有限公司 半导体器件及其静态随机存取存储器单元和制造方法
US11355500B2 (en) 2015-11-11 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory cell and manufacturing method thereof
US11574916B2 (en) 2015-11-11 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
CN102034871B (zh) 2013-10-02
JP5595856B2 (ja) 2014-09-24
US8264021B2 (en) 2012-09-11
TW201114039A (en) 2011-04-16
TWI456760B (zh) 2014-10-11
JP2011103450A (ja) 2011-05-26
KR20110036505A (ko) 2011-04-07
KR101217327B1 (ko) 2012-12-31
US20110079829A1 (en) 2011-04-07

Similar Documents

Publication Publication Date Title
CN102034871B (zh) 鳍式场效应晶体管及其形成方法
TWI673871B (zh) 整合富含鍺之p-mos源極/汲極接觸之技術
CN101924133B (zh) 鳍式fet及其形成方法
KR101207327B1 (ko) 금속 게이트 트랜지스터, 집적 회로, 시스템 및 그 제조 방법
TWI626743B (zh) 具有金屬源極及汲極區的半導體裝置
US8617946B2 (en) Integrated circuits including metal gates and fabrication methods thereof
US10903337B2 (en) Air gap spacer with wrap-around etch stop layer under gate spacer
US9991123B2 (en) Doped protection layer for contact formation
CN105047713A (zh) 隧道场效应晶体管及其制造方法
CN105047703A (zh) 隧道场效应晶体管及其制造方法
US9153484B2 (en) Methods of forming integrated circuits
US20140203363A1 (en) Extremely Thin Semiconductor-On-Insulator Field-Effect Transistor With An Epitaxial Source And Drain Having A Low External Resistance
CN108695257A (zh) 半导体结构及其形成方法
CN109216278B (zh) 半导体结构及其形成方法
CN108206157A (zh) 半导体结构及其形成方法
CN108305830A (zh) 半导体结构及其形成方法
CN108573923B (zh) 半导体结构及其形成方法
US20230101725A1 (en) Silicon rich capping layer pre-amorphized with germanium and boron implants for thermal stability and low pmos contact resistivity
CN109727914A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant