CN101136321A - 具有减少基片上聚合物沉积部件的等离子体装置以及减少聚合物沉积的方法 - Google Patents

具有减少基片上聚合物沉积部件的等离子体装置以及减少聚合物沉积的方法 Download PDF

Info

Publication number
CN101136321A
CN101136321A CNA2007101532797A CN200710153279A CN101136321A CN 101136321 A CN101136321 A CN 101136321A CN A2007101532797 A CNA2007101532797 A CN A2007101532797A CN 200710153279 A CN200710153279 A CN 200710153279A CN 101136321 A CN101136321 A CN 101136321A
Authority
CN
China
Prior art keywords
ring
substrate
plasma
substrate support
connecting ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101532797A
Other languages
English (en)
Other versions
CN100533658C (zh
Inventor
J·东
E·H·伦兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101136321A publication Critical patent/CN101136321A/zh
Application granted granted Critical
Publication of CN100533658C publication Critical patent/CN100533658C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一种可调的RF连接环,可以减小真空处理室中基片和热边缘环之间的垂直缝隙。缝隙的减小减少了聚合物在基片和静电吸盘上的沉积,改进了晶片的处理。

Description

具有减少基片上聚合物沉积部件的等离子体装置以及减少聚合物沉积的方法
本发明是2003年8月28日提出的、名称为“具有减少基片上聚合物沉积部件的等离子体装置以及减少聚合物沉积的方法”的发明专利申请No.038222663(PCT/US2003/027055)的分案申请。
发明领域
本发明涉及一种减少基片和基片支承件上聚合物沉积的装置和方法,本发明具体涉及基片托架和基片之间间隙的调节,以便降低基片托架露出表面和基片底表面上的聚合物沉积。
先有技术说明
真空处理室一般用于在底衬上化学蒸发沉积(CVD)材料和刻蚀材料,方法是将处理气体输送到真空室,并在该气体上加上RF场(高频场)。在共有美国专利No.4340462、4948458和5200232中,公开平行板电感耦合等离子装置(TCPTM,也称为ICP)和电子回旋共振(ECR)反应器的例子。该基片在处理期间,由基片托架固定就位在真空室中。常规的基片托架包括机械夹具和静电夹具(ESC)。在共有的美国专利No.5262029和共有美国专利No.5671116中,提出了机械夹具和ESC基片托架的例子。形式为电极的底基片托架可以将高频功率输送到真空室中,如美国专利No.4579618所述。
在氧化物刻蚀工艺中,刻蚀的基片一般包括底层、要刻蚀的氧化物层以及在该氧化物层顶上形成的光敏抗蚀胶。该氧化物层可以是SiO2、BPSG、PSG,中的一种,或者其他的氧化物材料。该底层可以是Si、TiN、硅化物,或者其他的底层材料或者基片材料。在处理基片期间,在真空室表面上可能发生不需要的聚合物沉积。例如,在氧化物刻蚀时,处理室可能加热到80℃以上,因而发生反应,其中CF3形成CF2和HF。CF2的形成导致处理室表面上聚合物沉积的增加。
在等离子体反应器中刻蚀基片例如半导体基片时,聚合物可能沉积在处理室冷却的露出表面上,该表面包括基片支承件例如静电吸盘的露出表面以及围绕基片支承件的其他表面例如绝热的环形盖/聚焦环。如果沉积物削落,并落在静电吸盘的顶表面上,则这种积层会引起问题。在吸盘顶表面上这些杂质可能妨碍吸盘正确地牢固保持吸盘的作用。另外,这些杂质还可能使输送到晶片下面的作为冷却介质的氦气,从晶片的下面漏出,因而降低了晶片的冷却。这些杂质也可能沉积在晶片本身上,负面影响该晶片本身。
可以在接连的各个晶片处理之间,进行的清洁步骤,除去聚合物的沉积物。一般说来,可以将氧气注入到处理室中,点燃等离子体,使氧气与沉积的聚合物发生反应,用这种方法进行清洁,达到处理室的氧气侵蚀性清洁。
这种处理室的氧气侵蚀性清洁是不希望的,因为它增加了处理晶片的操作时间,降低了系统的生产率。另外,氧气侵蚀性清洁缩短了处理室中部件的寿命,因为离子轰击这些部件。因此希望进行基片的处理,而不需要氧气侵蚀性的清洁操作,由此可以缩短操作周期,并增加处理室部件的寿命。
图1示出真空处理室10的一个例子。该真空处理室10包含基片托架12,该托架包括电极,该电极将RF偏压加在托架支承的基片上。该基片托架12包括固定基片的静电夹具14。放在静电夹具14上的基片最好由配置在基片和静电夹具之间的氦气背面冷却装置(未示出)冷却。环16包围静电夹具14,该环16可以是陶瓷的聚焦环;聚焦环、连接环和边缘环的组合环;或者其他的组合环。
真空处理室10包括在处理室中保持高密度(例如1011-1012离子/cm3)等离子体的能源装置,例如天线18(例如平面型的螺纹线圈或者其他适合的装置),该天线配置在处理室的上面,由适当的RF电源供电。适当的RF阻抗匹配电路将RF电感耦合到处理室10中,从而得到高密度的等离子体。该处理室还包括适当的抽真空装置,使处理室的内部保持要求的压力(例如低于50毫乇,通常为1-20毫乇),在天线18和处理室10的内部之间配置绝缘窗20(例如均匀厚度的石英、氧化铝、氮化硅等的平板),该绝缘窗20在处理室10的顶部形成真空室的壁。在绝缘窗的下面配置绝缘气体分配板,这种板普通称为喷淋头22,这种分配板包括许多开孔例如圆形孔(未示出),用于将气源供应的处理气体输送到处理室10中。然而可以省去这种气体分配板22,并且可以采用其他装置例如气体环等将处理气体输送到处理室中。
在处理室中可能发生聚合物沉积的一个区域是支承在静电吸盘上的晶片14和围绕环16之间的窄缝隙30。具体是,缝隙30形成在晶片边缘的下面,该晶片悬在围绕环的上面。该缝隙30是为制造公差、热膨胀和部件的损耗准备的。然而在处理室10中的处理气体和易挥发的反应副产物可能会漂移到缝隙30中,造成不希望的聚合物沉积在缝隙中,沉积在晶片的下侧边缘上,这些沉积物可能削落,造成晶片和/或者处理室的污染。
图2是静电吸盘14′和围绕环外部的放大横截面图,该围绕环包括聚焦环16、连接环40和热边缘环42。
如图3放大图所示,当半导体晶片形式的基片S放在静电吸盘14′上,并用适当的静电固定力固定就位时,在基片S的悬着的边缘和在热边缘环42上形成的沟槽44之间便形成小的垂直缝隙30′。这种垂直缝隙30′设计成可以防止基片S悬边被升高,由此避免降低由静电吸盘14′作用的固定力。然而这种附加的垂直缝隙30′造成聚合物沉积的另一种可能性,这种聚合物积层可能削落下来,污染基片S或者静电吸盘14′。
因此需要减小热边缘环42或者其他围绕环和基片悬边之间的垂直缝隙30′。
发明概要
本发明涉及一种装置,用于调节围绕基片支承件的围绕环和基片之间的缝隙。
按照本发明的一个方面,等离子体处理装置包括:处理室;电源,该电源将处理室中内部的处理气体激发成等离子体状态,以便处理基片;基片支承件,该支承件将基片支承在处理室的内部,该支承件具有上表面;围绕基片支承件的上部环,在基片放在基片支承件上时,该上部环的一部分在基片的下面延伸;围绕基片支承件的连接环,该连接环具有相对于第二环转动的第一环,从而可以调节连接环的高度和调节上部环和基片之间的缝隙。
按照本发明的第一方面,等离子体处理装置包括:处理室;处理气体,该气体将处理室内部中的处理气体激发成等离子体状态,以便处理基片;基片支承件,该支承件将基片支承在处理室的内部,该基片支承件具有上表面;上部环,围绕基片支承件,在基片配置在基片支承件上时,该上部环的一部分在基片的下面延伸;连接环,围绕基片支承件,该连接环具有可相对于第二环转动的第一环,从而可以调节连接环的高度和调节上部环和基片之间的缝隙。
按照本发明的再一方面,降低等离子体处理系统中基片支承件上聚合物沉积的方法包括以下步骤:形成调节机构,用于调节等离子体处理装置基片和围绕环之间的缝隙,并调节基片和围绕环之间的缝隙,调节方法是,使调节机构的第一环相对于第二环转动。
附图的简要说明
下面参照示于附图中的优选实施例详细说明本发明,在这些附图中相同的部件具有相同的编号,这些附图是:
图1是真空处理室的横截面图;
图2是图1所示一部分的放大横截面图,示出静电吸盘和围绕环;
图3是图2所示部分A的放大横截面图;
图4是本发明真空处理室一部分的放大横截面图,该部分包括可调节的连接环;
图5是图4所示可调节连接环的分解示意透视图;
图6是静电吸盘和聚焦环一部分的放大横截面图,示出聚焦环和基片之间的间隙。
发明的详细说明
图4示出本发明一个实施例的真空处理室基片支承件的一部分。图4所示的基片支承件100包括静电吸盘102、聚焦环104、连接环106和热边缘环108。
如等离子体处理技术人员周知的,围绕静电吸盘的环包括聚焦环104、连接环106和热边缘环108,这些环有利于使高频感应等离子区域中的离子聚焦在基片的表面上,以便提高处理的均匀性,特别是提高基片边缘的处理均匀性。这是因为当RF功率加在基片保持吸盘102上时,在基片和底部电极的上面便形成等位场线。这些场线在高频操作期间不是静止的,而是变化的。时间平均场造成大部分等离子体是正的,而基片和静电吸盘的表面是负的。由于几何结构的因素,这些场线在基片边缘是不均匀的。该聚焦环、连接环和热边缘环有助于利用等离子体和激发电极(例如加上RF的吸盘)之间的电容作用,使大部分RF通过基片耦合到位于上面的等离子体。
热边缘环108叠加在可调RF连接环106上。该热边缘环108是围绕静电吸盘102的一种牺牲性边缘环。该热边缘环108是可替换的部件,该部件在处理基片期间容易受到高温作用,因此称为热边缘环。该热边缘环108可以用导电的电极材料例如SiC和硅制造,或者用绝缘材料例如石英制造。改变热边缘环的材料,便可以调节等离子体的耦合程度,从而在处理基片的外部分上形成要求的局部“边缘”刻蚀速度。具有较低电容阻抗的SiC一般比硅形成更快的边缘刻蚀速度。石英和其他绝缘材料对边缘刻蚀速度影响较小。
在说明的实施例中,如图6所示,缝隙130形成在基片S的上悬边缘和硅作的热边缘环108之间。该缝隙130具有由可调RF连接环106控制的垂直距离d。该可调RF连接环106能够控制该缝隙的垂直距离d,方法是使硅作的抗热边缘环108适当地沿垂直方向移动。应当注意到,垂直方向是大体平行于Y轴的任何方向,如图1和6所示。
按照本发明的一个实施例,可调RF连接环106可以活动地支承硅作的热边缘环108。该可调RF连接环106对硅作的抗热边缘环108提供机械支承,同时能将缝隙距离d控制在规定的范围内。在本发明的一个方面中,该可调RF连接环106能够形成缝隙,该有关缝隙的距离d在约0.5密尔-小于6密尔之间。
在说明的实施例中,该可调RF连接环106包括两个环110和112,如图5所示。第一环110或者顶部环包括三个突出部114,该突出部沿平行于环Y轴的方向从该环伸出。第二环112或者底部环包括三组有多个级的台阶116,这些台阶沿着环的外周配置。第一环110相对于第二环112顺时针转动将会降低连接环106的总高度,因而可以调节基片和热边缘环108之间的缝隙。
在说明的实施例中,该可调节器连接环106最好包括分级的台阶116,该分级的台阶的高度增量约在0.0001-0.01英寸之间变化比较好,最好约为0.001英寸。虽然所示实施例中,在三组台阶中各组台阶包括六个分级的台阶116,但是还可以应用其他数目的台阶,这取决于调节量和要求调节的刻度量。按照另一实施例,可以配置12个分级台阶116,以便进行12种高度调节。
在说明的实施例中,可调连接环106的顶部环110包括突出部114,该突出部的高度约等于三组多个分级台阶中一个分级台阶所有台阶116的总高度。在优选实施例中,该突出部114的高度约为0.012英寸。在说明的实施例中,该可调连接环106可以用石英制造。
本发明的可调RF连接环106可以按许多单独台高准确调节基片S和热边缘环108之间的缝隙130。该连接环106可以使操作者在处理各个基片之间的任何时间,或者在建立真空处理室期间,重新调节该连接环。该RF连接环106还可以确保在基片的所有边缘部分均匀地调节热边缘环108,并且使连接环的整个表面基本上保持水平。
该可调RF连接环106可以装在新的真空处理室中,或者用来改造现有真空处理室,以便使热边缘环108具有可调性。
可以容易地安装和调节可调的RF连接环106,如下所述。先将连接环106的底环112放在静电吸盘102的台阶上,使许多分级的台阶116面朝上。然后将顶环110放在底部环112上,使三个突出部114分别配置在分级台阶的最高台阶上。随后将热边缘环108放在装好的连接环106的顶部,并用测量装置测量该缝隙。测量装置的一个例子,是垂直支柱刻度指示器,该指示器放在基片保持吸盘102上,可以测量从吸盘顶面到热边缘环108边缘顶面的垂直距离。该缝隙130最好沿静电吸盘分开90°的角度进行测量。并在热边缘环108接近静电吸盘102的位置读取测量值。由于热边缘环的损耗正好位于基片边缘的外面,所以最靠近吸盘102的热边缘环108的区域应当是热边缘环槽中最高的地方。测量值一般显示,热边缘环108高于静电吸盘102,并且需要向下调节该热边缘环。随后取下该热边缘环108。然后顺时针转动顶部环110,调节连接环106,由此降低连接环的高度。然后换上热边缘环108,并进行重复调节,直至得到最小的缝隙距离d。
按照本发明的一个优选实施例,连接环106的环110和112包括锁定部件(未示出),该锁定部件可以将这些环锁定在准直的径向位置。锁定机构的一个例子包括在顶部环110上的锁销,该锁销可以与底部连接环112各个台阶上形成的槽相互锁合。
还应当看出,在具体的系统中,可以根据吸盘102、基片和/或者其他部件的配置,改变聚焦环104、连接环106和热边缘环108的具体形状。图4-6示出围绕吸盘的环的实际形状,这只作为例示,完全不受限制。虽然已经用配置成可以调节热边缘环的连接环说明本发明,但是也可以用连接环调节其他环。
虽然已参考优选实施例详细说明要本发明,但是技术人员可以明显看出,可以进行各种改变和改型,并可以应用等效部件,而不违背本发明的范围。

Claims (18)

1.一种在等离子体处理装置中调节基片和围绕环之间缝隙的方法,包括:
将连接环放置到在等离子体处理装置中用于支承基片的基片支承件上,该连接环包括:第一环,其具有至少三个突出部,所述突出部沿平行于连接环轴线的方向从第一环突出;和第二环,其具有至少三组许多台阶,所述台阶设置用来接收所述至少三个突出部中的每个突出部;以及
使第一环相对于第二环转动,以调节第一环和第二环的总厚度。
2.如权利要求1所述的方法,还包括将围绕环放置到连接环上。
3.如权利要求1所述的方法,其特征在于,每个台阶均具有约为0.001英寸的调节高度。
4.如权利要求1所述的方法,其特征在于,所述第一环和第二环由石英制成,且所述围绕环是热边缘环。
5.如权利要求1所述的方法,还包括将基片放置在基片支承件上,其特征在于,所述基片支承件包括一个加有RF功率的吸盘,并且所述围绕环和连接环将RF通过基片耦合到位于上面的等离子体。
6.如权利要求1所述的方法,其特征在于,所述第二环的每一组台阶包括多个具有分级高度的台阶。
7.如权利要求1所述的方法,其特征在于,在第一环相对于第二环转动期间,该第一环接触第二环。
8.如权利要求1所述的方法,其特征在于,由操作者来使所述第一环相对于第二环转动。
9.如权利要求1所述的方法,其特征在于,所述围绕环是上部环,其包括在基片下面延伸的一部分;并且使第一环相对于第二环转动,以调节基片下表面与所述在基片下面延伸的上部环那部分的上表面之间的距离。
10.如权利要求1所述的方法,还包括:把基片放置到基片支承件上;以及激发在等离子体处理装置中的处理气体以产生等离子体,并用该等离子体来处理基片。
11.一种在等离子体处理装置中安装和调节连接环的方法,包括:
将连接环放到等离子体处理装置中的基片支承件上,该连接环包括第一环和第二环;
将第三环放到连接环上;
测量从第三环顶表面到基片支承件底表面的缝隙;
移走第三环;以及
使第一环相对于第二环转动,以调节所述缝隙。
12.如权利要求11所述的方法,还包括,在所述转动之后:
将第三环放到连接环上;
测量从所述第三环表面到所述基片支承件表面的缝隙;以及
选择地重复所述移走第三环和使第一环相对于第二环转动,以重新调节所述缝隙。
13.如权利要求12所述的方法,其特征在于,
第三环包括在支承于基片支承件表面上的基片下面延伸的一部分,且所述缝隙是从该部分的顶表面到所述基片支承件表面的距离;
第一环包括沿着与连接环轴线平行的方向从第一环伸出的多个突出部;
第二环包括多组由多个台阶构成的台阶组,所述台阶设置用于接收每个所述突出部;以及
使第一环相对于第二环转动,以调节第一环和第二环的总厚度。
14.如权利要求11所述的方法,还包括:把基片放置到基片支承件上;以及激发在等离子体处理装置中的处理气体以产生等离子体,并用该等离子体来处理基片。
15.如权利要求11所述的方法,还包括向基片支承件供应RF功率,以及通过连接环来把RF功率耦合到等离子体。
16.如权利要求14所述的方法,其特征在于,所述第三环是硅边缘环,其具有位于基片下面的一部分。
17.如权利要求14所述的方法,其特征在于,所述处理包括等离子刻蚀半导体晶片。
18.如权利要求11所述的方法,还包括采用平面型天线来产生等离子体。
CNB2007101532797A 2002-09-20 2003-08-28 具有减少基片上聚合物沉积部件的等离子体装置以及减少聚合物沉积的方法 Expired - Fee Related CN100533658C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/251,179 US7252738B2 (en) 2002-09-20 2002-09-20 Apparatus for reducing polymer deposition on a substrate and substrate support
US10/251,179 2002-09-20

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB038222663A Division CN100351989C (zh) 2002-09-20 2003-08-28 具有减少基片上聚合物沉积部件的等离子体装置以及减少聚合物沉积的方法

Publications (2)

Publication Number Publication Date
CN101136321A true CN101136321A (zh) 2008-03-05
CN100533658C CN100533658C (zh) 2009-08-26

Family

ID=32028995

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2007101532797A Expired - Fee Related CN100533658C (zh) 2002-09-20 2003-08-28 具有减少基片上聚合物沉积部件的等离子体装置以及减少聚合物沉积的方法
CNB038222663A Expired - Fee Related CN100351989C (zh) 2002-09-20 2003-08-28 具有减少基片上聚合物沉积部件的等离子体装置以及减少聚合物沉积的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB038222663A Expired - Fee Related CN100351989C (zh) 2002-09-20 2003-08-28 具有减少基片上聚合物沉积部件的等离子体装置以及减少聚合物沉积的方法

Country Status (9)

Country Link
US (2) US7252738B2 (zh)
EP (1) EP1543537B1 (zh)
JP (1) JP4499567B2 (zh)
KR (1) KR101008863B1 (zh)
CN (2) CN100533658C (zh)
AT (1) ATE527679T1 (zh)
AU (1) AU2003260128A1 (zh)
TW (1) TWI324804B (zh)
WO (1) WO2004027815A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103074610A (zh) * 2012-08-28 2013-05-01 光达光电设备科技(嘉兴)有限公司 衬底支撑结构、含有上述衬底支撑结构的反应腔室

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4421305B2 (ja) * 2003-01-07 2010-02-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
KR100610010B1 (ko) * 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US7457097B2 (en) * 2004-07-27 2008-11-25 International Business Machines Corporation Pressure assisted wafer holding apparatus and control method
GB0424371D0 (en) * 2004-11-04 2004-12-08 Trikon Technologies Ltd Shielding design for backside metal deposition
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
JP5043826B2 (ja) * 2006-02-23 2012-10-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20070215607A1 (en) * 2006-03-20 2007-09-20 Wander Joseph M Apparatus and method for heating semiconductor wafers via microwares
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US20090025636A1 (en) * 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
KR100892928B1 (ko) * 2007-09-05 2009-04-09 주식회사 에이디피엔지니어링 평판표시소자 제조장치의 하부전극 조립체
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US20090162570A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Apparatus and method for processing a substrate using inductively coupled plasma technology
CN101488468B (zh) * 2008-01-17 2010-12-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种晶片夹持系统及应用该夹持系统的半导体处理设备
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
JP2010045200A (ja) * 2008-08-13 2010-02-25 Tokyo Electron Ltd フォーカスリング、プラズマ処理装置及びプラズマ処理方法
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8454027B2 (en) * 2008-09-26 2013-06-04 Lam Research Corporation Adjustable thermal contact between an electrostatic chuck and a hot edge ring by clocking a coupling ring
US20100101729A1 (en) * 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN101994087B (zh) * 2009-08-14 2013-04-24 鸿富锦精密工业(深圳)有限公司 蒸镀装置
TWI385725B (zh) * 2009-09-18 2013-02-11 Advanced Micro Fab Equip Inc A structure that reduces the deposition of polymer on the backside of the substrate
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9129795B2 (en) 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
EP2525387A1 (en) 2011-05-17 2012-11-21 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) * 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US8677586B2 (en) * 2012-04-04 2014-03-25 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
US9184030B2 (en) * 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014107387A (ja) 2012-11-27 2014-06-09 Tokyo Electron Ltd 載置台構造及びフォーカスリングを保持する方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103117239B (zh) * 2013-02-01 2017-02-01 上海华虹宏力半导体制造有限公司 一种用于干刻设备的导航片及导航方法
US9502279B2 (en) 2013-06-28 2016-11-22 Lam Research Corporation Installation fixture having a micro-grooved non-stick surface
CN105453234B (zh) * 2013-08-10 2018-11-02 应用材料公司 抛光新的或翻新的静电夹盘的方法
CN104726830B (zh) * 2013-12-24 2017-06-30 宁波江丰电子材料股份有限公司 聚焦环的矫正设备
CN104752141B (zh) * 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016134572A (ja) * 2015-01-21 2016-07-25 ルネサスエレクトロニクス株式会社 半導体製造装置およびその管理方法、並びに半導体装置の製造方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10903055B2 (en) * 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction
JP1551512S (zh) * 2015-06-12 2016-06-13
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR20170014384A (ko) * 2015-07-30 2017-02-08 삼성전자주식회사 건식 식각장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
KR101646716B1 (ko) * 2016-02-15 2016-08-08 서성환 조립식 나선계단용 스페이서 및 이를 이용한 조립식 나선계단
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6700118B2 (ja) * 2016-06-24 2020-05-27 東京エレクトロン株式会社 プラズマ成膜装置および基板載置台
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102251664B1 (ko) * 2017-03-31 2021-05-14 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 플라즈마 처리 장치를 위한 페디스털 조립체
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR20190092154A (ko) 2018-01-30 2019-08-07 삼성전자주식회사 반도체 설비의 실링 장치 및 기류 산포 제어 장치
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20200121380A (ko) 2018-03-13 2020-10-23 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 분무 코팅을 갖는 지지 링
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7023826B2 (ja) * 2018-12-07 2022-02-22 株式会社ニューフレアテクノロジー 連続成膜方法、連続成膜装置、サセプタユニット、及びサセプタユニットに用いられるスペーサセット
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102244438B1 (ko) * 2018-12-17 2021-04-27 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 플라즈마 처리 장치에 사용되는 rf 전극 조립품 및 플라즈마 처리 장치
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20220024767A (ko) * 2019-06-21 2022-03-03 램 리써치 코포레이션 양방향 인덱싱 장치
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
CN110634727B (zh) * 2019-11-18 2020-02-21 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其调节方法
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114975056A (zh) * 2021-09-08 2022-08-30 北京屹唐半导体科技股份有限公司 用于清洁等离子体加工设备的聚焦环的导电构件

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS5887824A (ja) 1981-11-20 1983-05-25 Toshiba Corp 微細加工方法
DE3275447D1 (en) 1982-07-03 1987-03-19 Ibm Deutschland Process for the formation of grooves having essentially vertical lateral silicium walls by reactive ion etching
US4529860A (en) 1982-08-02 1985-07-16 Motorola, Inc. Plasma etching of organic materials
JPS59163826A (ja) 1983-03-08 1984-09-14 Toshiba Corp ドライエツチング方法
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS60170238A (ja) 1984-02-15 1985-09-03 Toyota Central Res & Dev Lab Inc ドライエツチング方法
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JPH0211781A (ja) * 1988-06-29 1990-01-16 Hitachi Ltd ドライエッチング装置
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5298465A (en) 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
US5094712A (en) 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5217920A (en) 1992-06-18 1993-06-08 Motorola, Inc. Method of forming substrate contact trenches and isolation trenches using anodization for isolation
US5326725A (en) 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
EP0628655B1 (de) 1993-06-11 2001-05-16 Ciba SC Holding AG Bleichhilfsmittel
US5498313A (en) 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JPH07249586A (ja) 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
TW357404B (en) 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
EP0668607A1 (en) 1994-02-22 1995-08-23 Applied Materials, Inc. Erosion resistant electrostatic chuck
US5556476A (en) 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5380673A (en) 1994-05-06 1995-01-10 United Microelectronics Corporation Dram capacitor structure
US5552124A (en) * 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
US5606485A (en) 1994-07-18 1997-02-25 Applied Materials, Inc. Electrostatic chuck having improved erosion resistance
US5572398A (en) 1994-11-14 1996-11-05 Hewlett-Packard Co. Tri-polar electrostatic chuck
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5670018A (en) 1995-04-27 1997-09-23 Siemens Aktiengesellschaft Isotropic silicon etch process that is highly selective to tungsten
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
KR100469908B1 (ko) 1996-09-30 2005-02-02 램 리서치 코포레이션 기재 지지수단 상의 폴리머 증착을 감소시키는 장치
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6013984A (en) 1998-06-10 2000-01-11 Lam Research Corporation Ion energy attenuation method by determining the required number of ion collisions
DE29813326U1 (de) 1998-07-29 1998-12-10 Protec Ges Fuer Werkstoff Und Verbesserte Vorrichtung zum Schutz von elektrostatischen Haltesystemen in Anlagen zur Bearbeitung von Wafern
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
JP2000150623A (ja) * 1998-11-17 2000-05-30 Mitsui Eng & Shipbuild Co Ltd 垂直微動送り装置
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
KR100762754B1 (ko) 1999-11-30 2007-10-09 동경 엘렉트론 주식회사 플라즈마 처리 장치
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
KR100635975B1 (ko) 2000-02-14 2006-10-20 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 방법과, 플라즈마 처리 장치용 링 부재
JP4417574B2 (ja) * 2000-02-14 2010-02-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2002075969A (ja) 2000-08-25 2002-03-15 Hitachi Ltd プラズマ処理装置
US6524026B2 (en) * 2001-03-22 2003-02-25 Precision Cover Systems, Inc. Adjustable height utility access device
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
JP3505155B2 (ja) * 2001-02-13 2004-03-08 株式会社日立製作所 ウエハ保持装置
TW475222B (en) 2001-04-04 2002-02-01 Wang-Nan Wang Plasma generator
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
DE10143719B4 (de) 2001-08-31 2013-01-17 Qimonda Ag Plasmaätzanlage mit einer Lagerungsvorrichtung für einen Wafer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103074610A (zh) * 2012-08-28 2013-05-01 光达光电设备科技(嘉兴)有限公司 衬底支撑结构、含有上述衬底支撑结构的反应腔室

Also Published As

Publication number Publication date
KR101008863B1 (ko) 2011-01-17
WO2004027815A1 (en) 2004-04-01
US20040083975A1 (en) 2004-05-06
US7867356B2 (en) 2011-01-11
JP4499567B2 (ja) 2010-07-07
TW200405466A (en) 2004-04-01
AU2003260128A1 (en) 2004-04-08
EP1543537A1 (en) 2005-06-22
CN100351989C (zh) 2007-11-28
US20080041820A1 (en) 2008-02-21
JP2006500766A (ja) 2006-01-05
US7252738B2 (en) 2007-08-07
TWI324804B (en) 2010-05-11
CN1682343A (zh) 2005-10-12
KR20050057423A (ko) 2005-06-16
EP1543537B1 (en) 2011-10-05
CN100533658C (zh) 2009-08-26
ATE527679T1 (de) 2011-10-15

Similar Documents

Publication Publication Date Title
CN100533658C (zh) 具有减少基片上聚合物沉积部件的等离子体装置以及减少聚合物沉积的方法
KR102417931B1 (ko) 기판 지지 장치 및 이를 포함하는 기판 처리 장치
KR102451669B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
US7024105B2 (en) Substrate heater assembly
TWI514461B (zh) 電漿處理腔室之可移動式接地環
US5626678A (en) Non-conductive alignment member for uniform plasma processing of substrates
KR20060127041A (ko) 플라즈마 반응기 에칭 레이트 드리프트를 감소시키는 온도제어된 핫 에지 링 어셈블리
JP6861710B2 (ja) 非対称なチャンバ環境における均一なウエハ温度の実現
TW202000983A (zh) 用於在電漿增強化學氣相沉積腔室中抑制寄生電漿的設備
CN211045385U (zh) 基座
CN112501587A (zh) 化学气相沉积设备、泵浦衬套及化学气相沉积方法
CN113818003A (zh) 一种薄膜制备方法及设备
KR102614741B1 (ko) 반도체 제조 툴들에서 사용하기 위한 클록 가능한 (clockable) 기판 프로세싱 페데스탈
TWI840341B (zh) 用於基板支撐件的處理套組
US5744403A (en) Dielectric film deposition method and apparatus
JP2023536154A (ja) 低傾斜トレンチエッチングのための薄いシャドウリング
KR20220160073A (ko) 노치된 (notch) 웨이퍼들을 프로세싱하기 위한 플라즈마-배제-존 (plasma-exclusion-zone) 링들
CN112514044A (zh) 用于衬底处理系统的具有介电窗的蜂窝式喷射器

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090826

Termination date: 20140828

EXPY Termination of patent right or utility model