TWI324804B - Apparatus for reducing polymer deposition on a substrate and substrate support - Google Patents

Apparatus for reducing polymer deposition on a substrate and substrate support Download PDF

Info

Publication number
TWI324804B
TWI324804B TW092125085A TW92125085A TWI324804B TW I324804 B TWI324804 B TW I324804B TW 092125085 A TW092125085 A TW 092125085A TW 92125085 A TW92125085 A TW 92125085A TW I324804 B TWI324804 B TW I324804B
Authority
TW
Taiwan
Prior art keywords
ring
substrate
adjustment mechanism
scope
edge
Prior art date
Application number
TW092125085A
Other languages
English (en)
Other versions
TW200405466A (en
Inventor
Tong Jose
H Lenz Erich
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200405466A publication Critical patent/TW200405466A/zh
Application granted granted Critical
Publication of TWI324804B publication Critical patent/TWI324804B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Description

1324804 玫、發明說明: 【發明所屬之技術領域】 本發明有關一種減少聚合物於基板及基板支撐上之沉積 的裝置及方法,本發明尤其有關一種介於基板支架與基板 之間的間隙之調整,用以減少聚合物於基板支架之曝露表 面及基板底面上的沉積。 【先前技術】 真空處理艙通常使用於化學氣相沉積(CVD),藉著提供 處理氣體於該真空艙且施加RF場於該氣體而蝕刻基板上 之材料。平行板、感應耦合電漿(TCPTM,亦稱為ICP)、及 電子旋風器共振(ECR)反應器之實例係揭示於共擁有之美 國專利第4,340,462號、第4,948,458號及第5,200,232號中。 該基板係於真空搶内於處理期間藉基板支架保持定位。習 用基板支加係包括機械鉗及靜電鉗(ESC)。機械钳及ESC 基板支架之實例係提供於共擁有美國專利第5,262,〇29號 及共擁有美國專利第5,671,116號中。電極形式之基板支架 可於該艙内提供射頻(RF)電力,如美國專利第4,579,618號 所揭示。 於氧化物蝕刻方法中進行蝕刻之基板通常包括一底層、 —欲蝕刻之氧化物層、及一形成於氧化物層頂部之光阻層 。該氧化物層可為Si〇2、BPSG、PSG或其他氧化物材料中 之-。底層可為Si、TiN、矽化物或其他底層或基材。基板 加工期間’會於該艙表面上發生不需要之聚合物沉積。例 如,當該艙於氧化物蝕刻期間加熱至高達8〇£>c以上時,會 87832 -6 - 1324804 發生反應,其中cf3形成CFjHF〇CF2之形成導致該餘内位 於表面上之聚合物沉積增加。 基板諸如半導體晶圓於電聚反應器中蝕刻期間,聚合物 會累積於該#之冷卻、曝露表面上,包括基板支撐(諸如靜 電卡盤)之曝露表面及其他表面,諸如環繞該基板支撐之介 電環帽/聚焦環。此種累積可能導致若剝落則位於該靜電卡 盤頂面之問題。此等位於卡盤頂面上之污染會妨礙卡盤固 定支撐晶圓之正常操作。此外,該污染會使位於晶圓底部 用為冷卻介質之氦自晶圓下方洩漏,降低晶圓冷卻效果。 該污染物亦會沉積且負面地影響晶圓本身。 聚合物之累積可藉由在連續晶圓加工之間所進行之清洗 步驟清除。通常,可藉著將氧注射於該艙内,激發電漿, 使氧與所沉積之聚合物反應,以達到處理艙之侵襲式氧清 洗而進行。 該處理艙之侵襲式氧清洗因為增加該系統之晶圓循環時 間、降低通量而不受期望。此外,該侵襲式氧清洗會縮短 该處理艙内之元件壽命’因為離子撞擊此等元件。因此, 期望可進行基板處理而不需要侵襲式氧清洗步驟,以縮短 循環時間’且延長艙室組件之使用壽命。 真空處理艙10之一實例係說明於圖1中。真空處理艙丨0係 包括一基板支架12,此支架包括一電極,以於架於其上之 基板上提供RF偏壓。基板支架12係包括一靜電鉗14,以鉗 爽基板。放置於靜電鉗14上之基板以藉配置於該基板與該 靜電甜之間的氦回冷(未示)冷卻為佳。環16環繞靜電鉗。該 87832 1324804 合環40、及熱緣環42)之放大剖面圖。 如圖3工放大圖示所示,當半導體晶圓形式之基板s放置於 靜%卡盤14上且藉適當之靜電夾鉗力保持原位時,於基板s (懸掛邊緣與配置於該熱緣環42邊緣中之溝槽44之間配置一 垂直小間隙30’。此垂直間隙3〇’係設計以防止基板8之懸掛邊 緣升高,而避免藉靜電卡盤14’施加之鉗夾力降低。然而,此 附加之垂直間隙3 0,提供另一個聚合物累積的機會,而該聚合 物累積可能剝落而污染基板S或靜電卡盤14,。 因此,期望縮小介於熱緣環42或其他周圍環與懸掛邊緣 之間的垂直間隙3 0,。 【發明内容】 本發明有關一種用以調整介於環繞基板支撐之環與基板 之間的間隙之裝置。 根據本發明之一態樣,該電漿處理裝置係包括一處理艙 ;一電源,將處理艙内部之處理氣體激發成為電漿狀態, 以處理基板,一基板支撐,將基板支撐於該處理艙内部 ,孩基板支撐係具有一頂面;一環繞該基板支撐之頂環, 该頂%係具有於一當基板放置於該基板支撐上時延伸於基 板下方的部分;及一環繞該基板支撐之耦合環,該耦合環 係具有一第一環,可相對於—第二環旋轉,以調整該耦合 環之高度,且調整介於該頂環與該基板之間的間隙。 根據本發明另一態樣,該電漿處理裝置係包括一處理艙 ;一處理氣體,將處理艙内部之處理氣體激發成為電衆狀 態,以處理一基板;一基板支撐,將基板支撐於該處理艙 87832 -9- 1324804 内部,該基板支撐係具有一頂面;一環繞該基板支撐之頂 環,該頂環係具有於一當基板放置於該基板支撐上時延伸 於基板下方的部分;及一環繞該基板支撐之耦合環,該耦 合環係具有一第一環’可相對於一第二環旋轉,以調整該 耦合環之高度,且調整介於該頂環與該基板之間的間隙。 根據本發明另一態樣’減少電漿處理系統中位於一基板 支撐上之聚合物沉積的方法係包括於一電漿處理裝置中配 置一調節機構,用以調整介於一基板與一周圍環之間的間 隙’且藉由相對於該調整機構之第二環旋轉第一環而調整 介於該基板與該周圍環之間的間隙。 【實施方式】 本發明之一具體實例真空處理艙之基板支撐的一部分係 沉明於圖4中。圖1中所說明之基板支撐} 〇〇係包括一靜電卡 盤M2、一聚焦環104、一耦合環1〇6、及一熱緣環1〇8。 如熟習電漿處理技術者所熟知,環繞該靜電卡盤之環(包 括聚焦環104、耦合環106、及熱緣環1〇8)係幫助來自灯感 應包桌區之離子聚焦於基板表面上,以改善處理均勾性, 尤其是基板邊緣部分。此因當RF電力提供至基板支撐卡盤 102時人於基板及底部電極上設置等電位場線。此等電場線 並非靜怒、,而係於RF周期内呈現變動。時間平均電場導致 本體電聚係為i,而基板及靜電卡盤表面係為自。因為幾 ^因素,電場線在基板邊緣部分不均勾。該聚焦、搞合及 楮著於該電漿與該施加有電力之電極(例如具有rf 电〈卡盤)之間作為電容器,而幫助RF本體直接穿過基板 87832 •10, 2包括三組多個環繞該環之圓周的分級階度1丨6。第一環110 ,飞於第一 % 112順時針旋轉減少耦合環1 06之整體垂直高 ' °周整介於基板與熱緣環10 8之間的間隙。 /斤述之具體實例中’可調整之輕合環106較佳係包括分級 ^度116,其高度増量變化係約0.0001至0.01英吋,以約0.00i 英寸為佳。雖然所說明之具體實例係於三組階度中各包括 π個分級階度116,但亦可視調整量及所需調整之級度而使 用其他階數。根據另一具體實例’針對十二調整高度提供 十二個分級階度11 6。 所述具體實例中,可調整耦合環1〇6之頂環11〇係包括高 度約等於三組多個分級階度中之一的所有階度丨16之總高 度的突出部114。較佳具體實例中,突出部114具有約〇 〇12 英吋之高度。所述具體實例中,可調整耦合環1〇6可由石 英形成。 本發明可凋整RF耦合環1 〇 6可於多個個別階度中準確調 正介於基板S與熱緣環1 〇 8之間的間隙1 3 〇。竊合環1 〇 6使得 操作人員可於基板處理間或真空處理艙之配置期間的任何 時間下調整該耦合環。RF耦合環1〇6亦確定於基板之所有側 面皆均勻調整該熱緣環10 8,且該耦合環之頂面保持實質水 平0 可調整RF耦合環106可配置於新真空處理艙中或用以修 整現存之真玄處理餘’以提供熱緣環1 0 8之調整穩定性。 配置及調整可調整RF耦合環106之方法係如下輕易地進 行。搞合環之底環112係放置於靜電卡盤之階度上, 87832 工324804 有多個分級階度1 1 6面朝上。該頂環U 0隨乏讲哲、A、 见 < 玟置於甩環1 1 2 上,該三個突出部114各對準分級階度之最古 、、 〜取阿階。該熱緣環 108隨之放置於組合耦合環1〇6之頂部, J 1文用測I裝置測 定間隙。測量裝置之一實例係為垂直配置之盤式指示器, 放置於基板支撐卡盤102上,量測自卡盤頂部至熱緣環丄⑽ 邊緣頂部之垂直距離。該間隙130以於遠離靜電卡盤處&在 度下測定為佳。該測量係於接近靜電卡盤1〇2之熱緣環 上進行。因為該熱緣環之損壞或磨耗,基板邊緣外側該 熱緣環108最接近卡盤1〇2的區域應為該熱緣環槽之最高位 置。該測量通常表示該熱緣環108係高於靜電卡盤ι〇2,且 該熱緣環需向下調整。隨之移動該熱緣環1〇8。之後,藉著 順時針轉動頂環110調整耦合環106,以降低耦合環之高度。 該熱緣環18隨之復位,重複調整至達到最小間距d。 根據本發明之一較佳具體實例,耦合環ι〇6之環11〇及丨12 係包括鎖定特徵(未示),將該環鎖定於對準之徑向位置。鎖 定機構之-實例係包括位於頂環11〇上之轉@,與位於底 部棋合環Π 2之各階度上的溝槽連鎖。 成應明瞭在特定系統中,聚焦環1〇4、韓合環1〇6、及熱緣 環108之特定形狀可能視卡盤1〇2、基板及/或其他因素而定 因此,圖4至6中裱繞該卡盤之環的實際形狀係僅供說明 ,而不構成限制。雖已使用調整熱緣環之耦合環說明本發 明’但其他環亦可使用棋合環調整。 雖已參知、較佳具體實例詳述本發明,但熟習此項技術者 已知可在不偏離本發明的情況下進行各種改變及修飾及採 87832 1324804 用其同等型式。 【圖式簡單說明】 現在參照附圖所示之較佳具體實例更詳細地描述本發明 ’其中相同元件具有相同參考編號,其中: 圖1係為真空處理艙之剖面圖; 圖2係為圖1之一部分的放大剖面圖,出示靜電卡盤及周 圍環; 圖3係為圖2之A部分的放大剖面圖; 圖4係為本發明真空處理艙之一部分的放大剖面圖,包括 一可調整耦合環; 圖5係為圖4之可調整耦合環的分解示意透視圖;且 圖6係為靜電卡盤與聚焦環之一部分的放大剖面圖,出示 一介於聚焦環與基板之間的間隙。 【圖式代表符號說明】 S 基板 10 真空處理艙 12 基板支架 14 靜電紐 14’ 靜電卡盤 16 聚焦環 18 天線 20 介電窗 22 氣體分配板 30 狹窄間隙 87832 1324804 30’ 垂直小間隙 40 耦合環 42 熱緣環 44 溝槽 100 基板支撐 102 靜電卡盤 104 聚焦環 106 耦合環 108 熱緣環 110 第一環 114 突起部 112 第二環 116 分級階度 130 間隙 -15 - 87832

Claims (1)

  1. 8U4 第0921^25085號專利申請案 中文申請專利||圍替換本(98年12月)^日 拾、申請專利範圍: 】· 一種電漿處理裝置,包括: —處理艙; —電源,將位於該處理艙内 ’以處理一基板; 基板支揮,將一基板支撑 支撐係具有一頂面; 態,丨、,杏m “ · 處理乳體激發成電漿 於該處理艙内部,該基板 於::=板支標之頂環,該頂環係具有在該基板位 亥基板支撑上時延伸於基板下方之部分:及 —環繞該基板支撐之輕合環,_合環具有第一環, 介二:於第二環轉動,以調整該耦合環之高度,且調整 ^丨於該頂環與該基板之間的間隙。 2· ^申請專利範圍第1項之裝置,其中㈣合環係配置於 ^貝,之下及可操作使得藉著相對於該第二環旋轉該 紱,以調整介於該基板底面與該頂環延伸於基板下 方之部分的頂面之間的距離。 3. 如申請專利範圍第1項之裝置’其中該頂環係為熱緣環。 4. 如申請專利範圍第i項之裝置’其中該第二環係包括多 個分階表面。 5·如申請專利範圍第4項之裝置,其中該耗合環係包括: 、,:第一環,具有至少三個自該第一環往與該第一環軸 平行之方向延伸的突起部;及 :第二環,具有至少三組多個配置以各承接該至少三 大起。卩之階度,其中該第一及第二環之總厚度可藉由 87832-98l204.d〇c 叫4804 相對於該第二環旋轉該第一環而調整。 申π專利範圍第5項之裝置,其中該階度各真有約 0-001英吋之高度。 7. 如申請專利範圍第1項之裝置,其中該基板支播係包括 一靜電卡盤。 8. :種於電漿處理裝置中用以調整介於一基板與一邊緣 %之間的一間隙之調整機構,該調整機構係包括: —第環具有至少二個自肖第一環往與第一環軸平 行之方向延伸的突起部;及 —第二環’具有至少三組多個配置以各承接該至少三 個突起部之階度,盆中分梦 /、 ^第一及弟二環之總厚度可藉由 目’子於該第二環旋轉該第—環而調整。 9·如申請專利範圍第8項之調敕 心調整機構,其中該階度各具有 、力〇 · 0 0 1英时之高度。 〇.種於電襞處理系統中減少美;Θ U 战基板支撐上之聚合物沉積 的方法,包括: w 提供一如申請專利範圍第8 浙 巧心调正機構,以調整雪 ,裝置中;\介於—基板與一邊緣環之間的間隙;及 精著相對於該調整機構之該第二環旋轉該产 調整介於該基板與該邊緣環 衣,以 %衣之間的該間隙。 11. 如申請專利範圍第1〇 .緣環。 方法’其中該邊緣環係為-熱 12. 如申請專利範圍第u項之 於-聚焦環與該熱緣環之間其中該調整機構係放置 87832-981204.doc -2. Π.如申請專利範圍第 r„,.. 第1項之裝置,其中該第一環及該第二 孩係石英。 14. 如申請專利範圍第8 咕y 喝之調整機構,其中該第一環及該 第二環係石英。 15. 如申請專利範圍第1 a ^ 項之裝置,其中該基板支撐包括一 具有射頻(RF)電力夕上 # , ,卡盤,及當該基板位於該基板支 β上% ’邊頂%和該紅人 祸σ %係調適以透過該裝置中產生 之電聚直接RF麵合至該基板。 I置甲座玍 16. 如申請專利範圍第5項 — 、裝置,其中該第二環之階度組 之母一個包括具有多個八 夕個分級高度之階度。 17·如申請專利範圍第5項 <裝置’其中s亥第一環係接觸該 第二環。 18.如申請專利範圍第8項之調整機構,其中該第一環具有 多個均句高度之突出部’及該第二環具有多個分級高度 之階度。 其中該第一環係接 其中該第一環係調 19.如申請專利範圍第8項之調整機構, 觸該第二環。 2 0 ·如申請專利範圍第8項之調整機構, 適成相關於該第二環手動旋轉。 87832-981204.doc
TW092125085A 2002-09-20 2003-09-10 Apparatus for reducing polymer deposition on a substrate and substrate support TWI324804B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/251,179 US7252738B2 (en) 2002-09-20 2002-09-20 Apparatus for reducing polymer deposition on a substrate and substrate support

Publications (2)

Publication Number Publication Date
TW200405466A TW200405466A (en) 2004-04-01
TWI324804B true TWI324804B (en) 2010-05-11

Family

ID=32028995

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092125085A TWI324804B (en) 2002-09-20 2003-09-10 Apparatus for reducing polymer deposition on a substrate and substrate support

Country Status (9)

Country Link
US (2) US7252738B2 (zh)
EP (1) EP1543537B1 (zh)
JP (1) JP4499567B2 (zh)
KR (1) KR101008863B1 (zh)
CN (2) CN100533658C (zh)
AT (1) ATE527679T1 (zh)
AU (1) AU2003260128A1 (zh)
TW (1) TWI324804B (zh)
WO (1) WO2004027815A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI554159B (zh) * 2013-12-31 2016-10-11 Electrolyte processing device

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7850174B2 (en) * 2003-01-07 2010-12-14 Tokyo Electron Limited Plasma processing apparatus and focus ring
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
KR100610010B1 (ko) * 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US7457097B2 (en) * 2004-07-27 2008-11-25 International Business Machines Corporation Pressure assisted wafer holding apparatus and control method
GB0424371D0 (en) * 2004-11-04 2004-12-08 Trikon Technologies Ltd Shielding design for backside metal deposition
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
TWI334628B (en) * 2006-02-23 2010-12-11 Hitachi Int Electric Inc Substrate processing device and manufacturing method of semiconductor device
US20070215607A1 (en) * 2006-03-20 2007-09-20 Wander Joseph M Apparatus and method for heating semiconductor wafers via microwares
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US20090025636A1 (en) * 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
KR100892928B1 (ko) * 2007-09-05 2009-04-09 주식회사 에이디피엔지니어링 평판표시소자 제조장치의 하부전극 조립체
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US20090162570A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Apparatus and method for processing a substrate using inductively coupled plasma technology
CN101488468B (zh) * 2008-01-17 2010-12-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种晶片夹持系统及应用该夹持系统的半导体处理设备
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
JP2010045200A (ja) * 2008-08-13 2010-02-25 Tokyo Electron Ltd フォーカスリング、プラズマ処理装置及びプラズマ処理方法
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
EP2329521A4 (en) * 2008-09-26 2012-12-26 Lam Res Corp ADJUSTABLE THERMAL CONTACT BETWEEN AN ELECTROSTATIC MANDARIN AND A HOT EDGE RING BY SYNCHRONIZATION OF A COUPLING RING.
US20100101729A1 (en) * 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN101994087B (zh) * 2009-08-14 2013-04-24 鸿富锦精密工业(深圳)有限公司 蒸镀装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI385725B (zh) * 2009-09-18 2013-02-11 Advanced Micro Fab Equip Inc A structure that reduces the deposition of polymer on the backside of the substrate
DE202010014805U1 (de) * 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9129795B2 (en) 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
EP2525387A1 (en) 2011-05-17 2012-11-21 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) * 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078434A1 (en) 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
US8677586B2 (en) * 2012-04-04 2014-03-25 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
US9184030B2 (en) 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
CN103074610A (zh) * 2012-08-28 2013-05-01 光达光电设备科技(嘉兴)有限公司 衬底支撑结构、含有上述衬底支撑结构的反应腔室
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014107387A (ja) 2012-11-27 2014-06-09 Tokyo Electron Ltd 載置台構造及びフォーカスリングを保持する方法
CN103117239B (zh) * 2013-02-01 2017-02-01 上海华虹宏力半导体制造有限公司 一种用于干刻设备的导航片及导航方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9502279B2 (en) 2013-06-28 2016-11-22 Lam Research Corporation Installation fixture having a micro-grooved non-stick surface
WO2015023329A1 (en) * 2013-08-10 2015-02-19 Applied Materials, Inc. A method of polishing a new or a refurbished electrostatic chuck
CN104726830B (zh) * 2013-12-24 2017-06-30 宁波江丰电子材料股份有限公司 聚焦环的矫正设备
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016134572A (ja) * 2015-01-21 2016-07-25 ルネサスエレクトロニクス株式会社 半導体製造装置およびその管理方法、並びに半導体装置の製造方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10903055B2 (en) 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction
JP1551512S (zh) * 2015-06-12 2016-06-13
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR20170014384A (ko) * 2015-07-30 2017-02-08 삼성전자주식회사 건식 식각장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
KR101646716B1 (ko) * 2016-02-15 2016-08-08 서성환 조립식 나선계단용 스페이서 및 이를 이용한 조립식 나선계단
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6700118B2 (ja) * 2016-06-24 2020-05-27 東京エレクトロン株式会社 プラズマ成膜装置および基板載置台
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
WO2018183243A1 (en) * 2017-03-31 2018-10-04 Mattson Technology, Inc. Pedestal assembly for plasma processing apparatus
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR20190092154A (ko) 2018-01-30 2019-08-07 삼성전자주식회사 반도체 설비의 실링 장치 및 기류 산포 제어 장치
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
CN111819679A (zh) 2018-03-13 2020-10-23 应用材料公司 具有等离子体喷涂涂层的支撑环
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7023826B2 (ja) * 2018-12-07 2022-02-22 株式会社ニューフレアテクノロジー 連続成膜方法、連続成膜装置、サセプタユニット、及びサセプタユニットに用いられるスペーサセット
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11875970B2 (en) * 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220298631A1 (en) * 2019-06-21 2022-09-22 Lam Research Corporation Bidirectional indexing apparatus
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
CN110634727B (zh) * 2019-11-18 2020-02-21 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其调节方法
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114975056A (zh) * 2021-09-08 2022-08-30 北京屹唐半导体科技股份有限公司 用于清洁等离子体加工设备的聚焦环的导电构件

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS5887824A (ja) 1981-11-20 1983-05-25 Toshiba Corp 微細加工方法
DE3275447D1 (en) 1982-07-03 1987-03-19 Ibm Deutschland Process for the formation of grooves having essentially vertical lateral silicium walls by reactive ion etching
US4529860A (en) 1982-08-02 1985-07-16 Motorola, Inc. Plasma etching of organic materials
JPS59163826A (ja) 1983-03-08 1984-09-14 Toshiba Corp ドライエツチング方法
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS60170238A (ja) 1984-02-15 1985-09-03 Toyota Central Res & Dev Lab Inc ドライエツチング方法
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JPH0211781A (ja) * 1988-06-29 1990-01-16 Hitachi Ltd ドライエッチング装置
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5298465A (en) 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
US5094712A (en) 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5217920A (en) 1992-06-18 1993-06-08 Motorola, Inc. Method of forming substrate contact trenches and isolation trenches using anodization for isolation
US5326725A (en) 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
EP0628655B1 (de) 1993-06-11 2001-05-16 Ciba SC Holding AG Bleichhilfsmittel
US5498313A (en) 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JPH07249586A (ja) 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
TW357404B (en) 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
EP0668607A1 (en) 1994-02-22 1995-08-23 Applied Materials, Inc. Erosion resistant electrostatic chuck
US5556476A (en) 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5380673A (en) 1994-05-06 1995-01-10 United Microelectronics Corporation Dram capacitor structure
US5552124A (en) * 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
US5606485A (en) 1994-07-18 1997-02-25 Applied Materials, Inc. Electrostatic chuck having improved erosion resistance
US5572398A (en) 1994-11-14 1996-11-05 Hewlett-Packard Co. Tri-polar electrostatic chuck
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5670018A (en) 1995-04-27 1997-09-23 Siemens Aktiengesellschaft Isotropic silicon etch process that is highly selective to tungsten
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
AU4741497A (en) 1996-09-30 1998-04-24 Lam Research Corporation Apparatus for reducing polymer deposition on substrate support
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6013984A (en) 1998-06-10 2000-01-11 Lam Research Corporation Ion energy attenuation method by determining the required number of ion collisions
DE29813326U1 (de) 1998-07-29 1998-12-10 Protec Ges Fuer Werkstoff Und Verbesserte Vorrichtung zum Schutz von elektrostatischen Haltesystemen in Anlagen zur Bearbeitung von Wafern
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
JP2000150623A (ja) * 1998-11-17 2000-05-30 Mitsui Eng & Shipbuild Co Ltd 垂直微動送り装置
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6622650B2 (en) 1999-11-30 2003-09-23 Tokyo Electron Limited Plasma processing apparatus
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
KR100635975B1 (ko) 2000-02-14 2006-10-20 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 방법과, 플라즈마 처리 장치용 링 부재
JP4417574B2 (ja) * 2000-02-14 2010-02-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2002075969A (ja) 2000-08-25 2002-03-15 Hitachi Ltd プラズマ処理装置
US6524026B2 (en) * 2001-03-22 2003-02-25 Precision Cover Systems, Inc. Adjustable height utility access device
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) * 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
JP3505155B2 (ja) * 2001-02-13 2004-03-08 株式会社日立製作所 ウエハ保持装置
TW475222B (en) 2001-04-04 2002-02-01 Wang-Nan Wang Plasma generator
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
DE10143719B4 (de) 2001-08-31 2013-01-17 Qimonda Ag Plasmaätzanlage mit einer Lagerungsvorrichtung für einen Wafer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI554159B (zh) * 2013-12-31 2016-10-11 Electrolyte processing device

Also Published As

Publication number Publication date
AU2003260128A1 (en) 2004-04-08
CN100351989C (zh) 2007-11-28
CN101136321A (zh) 2008-03-05
US7252738B2 (en) 2007-08-07
US20040083975A1 (en) 2004-05-06
US20080041820A1 (en) 2008-02-21
KR101008863B1 (ko) 2011-01-17
TW200405466A (en) 2004-04-01
US7867356B2 (en) 2011-01-11
CN100533658C (zh) 2009-08-26
EP1543537A1 (en) 2005-06-22
JP4499567B2 (ja) 2010-07-07
KR20050057423A (ko) 2005-06-16
EP1543537B1 (en) 2011-10-05
ATE527679T1 (de) 2011-10-15
WO2004027815A1 (en) 2004-04-01
JP2006500766A (ja) 2006-01-05
CN1682343A (zh) 2005-10-12

Similar Documents

Publication Publication Date Title
TWI324804B (en) Apparatus for reducing polymer deposition on a substrate and substrate support
US10854498B2 (en) Wafer-supporting device and method for producing same
US5675471A (en) Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
TWI513374B (zh) 受到溫度控制之熱邊緣環組件
JP5437445B2 (ja) 基板洗浄チャンバ、洗浄及びコンディショニング方法
TWI382450B (zh) 半導體製程處理室
CN102867726B (zh) 一种等离子约束环组件、等离子处理装置以及处理半导体衬底的方法
TW563200B (en) Low contamination plasma chamber components and methods for making the same
JP4913603B2 (ja) プラズマ反応器のエッチング速度ドリフトを低減するための温度制御されたホットエッジリングアセンブリ
JP4889640B2 (ja) 処理領域で基板に化学気相堆積を行うためのチャンバ
US20080156441A1 (en) Plasma processing apparatus and electrode plate, electrode supporting body, and shield ring thereof
US11232933B2 (en) Temperature and bias control of edge ring
TW200949933A (en) Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
TWI670787B (zh) 具有降低之腐蝕敏感度的製程套件
CN211045385U (zh) 基座
US20210319984A1 (en) Method and aparatus for low particle plasma etching
WO2006012048A2 (en) Deposition apparatus for providing uniform low-k dielectric
JP7170422B2 (ja) 処理装置
CN117321244A (zh) 用于改善晶片边缘均匀性的方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees