WO2011072027A2 - Dispositifs au nitrure iii manipulés sur le côté verso - Google Patents

Dispositifs au nitrure iii manipulés sur le côté verso Download PDF

Info

Publication number
WO2011072027A2
WO2011072027A2 PCT/US2010/059486 US2010059486W WO2011072027A2 WO 2011072027 A2 WO2011072027 A2 WO 2011072027A2 US 2010059486 W US2010059486 W US 2010059486W WO 2011072027 A2 WO2011072027 A2 WO 2011072027A2
Authority
WO
WIPO (PCT)
Prior art keywords
layer
stack
ill
nitride
passivation layer
Prior art date
Application number
PCT/US2010/059486
Other languages
English (en)
Other versions
WO2011072027A3 (fr
Inventor
Rongming Chu
Umesh Mishra
Rakesh K. Lal
Original Assignee
Transphorm Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Transphorm Inc. filed Critical Transphorm Inc.
Priority to CN201080056241.8A priority Critical patent/CN102714219B/zh
Publication of WO2011072027A2 publication Critical patent/WO2011072027A2/fr
Publication of WO2011072027A3 publication Critical patent/WO2011072027A3/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06183On contiguous sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12032Schottky diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13062Junction field-effect transistor [JFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13064High Electron Mobility Transistor [HEMT, HFET [heterostructure FET], MODFET]

Definitions

  • This invention relates to semiconductor devices fabricated on group Ill-nitride semiconductors.
  • Group Ill-nitride based devices have many potential material advantages over silicon based devices for high power electronics applications. Amongst others, these include larger bandgap and breakdown field, high electron mobility in a two dimensional electron gas (2DEG), low thermal generation current, and the possibility of using the direct bandgap plus a great variety of band and polarization engineering techniques applicable in many of these structures for novel device functions. However, applications have been hampered by a lack of low cost substrates for device fabrication.
  • Devices are sometimes made by heteroepitaxy on suitable substrates such as silicon carbide, sapphire or silicon.
  • Techniques for applying the layers can include molecular beam epitaxy (MBE) or metal organic chemical vapor deposition (MOCVD) and hydride vapor phase epitaxy (HVPE).
  • MBE molecular beam epitaxy
  • MOCVD metal organic chemical vapor deposition
  • HVPE hydride vapor phase epitaxy
  • High voltage devices of gallium nitride (GaN) can require thick GaN layers, such as 2-6 micron thick layers. It can be difficult to grow thick gallium nitride by heteroepitaxy.
  • Various stress management techniques such as graded layers or
  • superlattices and various compensation techniques such as iron (Fe) or carbon (C) doping are used to enable growth of thick layers and to enable high resistivity buffer layers.
  • the total thickness of the GaN buffer layer can be important in some devices, it can also be important to achieve a sufficiently thick layer of material with low defect density.
  • concentrations of extended and point defects that give deep levels in the band gap as well as dopants have to be low. This can facilitate operation of the device at high voltage without the device being subject to trapping, leakage or early breakdown effects.
  • a lkV device may need an electrode spacing of 10 microns or larger.
  • high voltage lateral devices require large areas and need to be made on low cost substrates.
  • Silicon substrates are typically the most cost effective substrates for formation of III-N type devices.
  • nucleation and stress management layers may be required.
  • These layers can have a high density of dislocations and other deep trapping centers. While this approach can produce acceptable spacer, channel and barrier layers, a high quality thick buffer layer is difficult to achieve. Because the layers below the spacer layer can have a high concentration of defect levels in the bandgap, this can cause drain voltage induced current collapse and leakage at high drain biases and can also reduce breakdown voltage of the device.
  • a group Ill-nitride device that includes a stack of
  • the stack includes a channel layer, a barrier layer directly adjacent to the channel layer and a spacer layer directly adjacent to a side of the channel layer opposite to the barrier layer.
  • the channel layer includes a 2DEG channel in the channel layer adjacent to the barrier layer.
  • the first passivation layer directly contacts a surface of the spacer layer on a side opposite to the channel layer, wherein the first passivation layer is an electrical insulator and the stack of Ill-nitride layers and the first passivation layer form a structure with a reverse side proximate to the first passivation layer and an obverse side proximate to the barrier layer.
  • the second passivation layer is on the obverse side of the structure.
  • the contacts are electrically connected to the 2DEG channel.
  • a method for forming a device is described.
  • a nucleation layer is formed on a mother substrate, wherein the nucleation layer includes A1N.
  • a stress management layer is formed on the nucleation layer that is on the mother substrate, wherein the stress management layer includes a Ill-nitride material.
  • a stack of Ill-nitride layers is Attorney Docket No. 22930-0021 WO 1 formed on the stress management layer, wherein forming the stack includes forming a channel layer with a 2DEG channel therein.
  • At least a portion of the mother substrate, a portion of the nucleation layer and a portion of the stress management layer is removed, wherein the removing step only removes a portion of the mother substrate and forms a thin exoskeleton portion and a thick exoskeleton portion, wherein the mother substrate is thinner in the thin exoskeleton portion than in the thick exoskeleton portion.
  • the first passivation layer and the second passivation layer can each have a sufficiently large bandgap, sufficiently low bulk defect density and sufficiently low interface density so that breakdown of the device is improved in comparison with a device having the stack of Ill-nitride layers and lacking the first passivation layer and the second passivation layer.
  • the first passivation layer can have an active interface state density of less than 10 12 / cm2 and an active bulk trap density less than 10 20 /cm3.
  • the first passivation layer or the second passivation layer can be formed of an inorganic dielectric material.
  • the first passivation layer or the second passivation layer can include silicon nitride, silicon dioxide, silicon oxynitride, alumina or aluminum nitride.
  • the first passivation layer or the second passivation layer can be an organic dielectric material.
  • the first passivation layer or the second passivation layer can include an organic resin.
  • the organic resin can include one of polyimide, benzocyclobutene (BCB) or SU8.
  • the passivation layer can include a stack of at least one organic dielectric material and at least one inorganic dielectric material.
  • the conductive contact can be electrically connected to the reverse side of the structure.
  • the stack of Ill-nitride layers can be between 0.5 and 30 microns thick.
  • the device can include a gate contact and a gate dielectric, wherein the gate dielectric is between the stack of Ill-nitride layers and the second passivation layer.
  • One of the one or more conductive contacts can be a gate contact on the obverse side of the structure; one of the conductive contacts can be a source contact on the obverse side of the structure; one of the conductive contacts can be a drain contact on the reverse side of the structure; and the second passivation layer can cover an entirety of the obverse side of the structure including a space between the gate contact and the source contact.
  • the device can include a nucleation and stress management layer contacting the spacer layer; and a mother substrate that includes silicon, wherein the nucleation and stress management layer is between the stack of Ill-nitride layers and the mother substrate; wherein the device has a first portion including the stack of Ill-nitride layers and a second portion including the stack of Ill-nitride layers, the nucleation and stress management layer, and the mother substrate, the second portion forming an Attorney Docket No. 22930-0021 WO 1 exoskeleton and the first portion can be free of the mother substrate and the nucleation and stress management layer.
  • the device can include a dielectric layer on a side of the mother substrate that is opposite to the stack of Ill-nitride layers.
  • the device can include a conductive layer, wherein the dielectric layer is between a metallization layer and the mother substrate.
  • the exoskeleton can have a thin portion and a thick portion, wherein the mother substrate in the thin portion is thinner than the mother substrate in the thick portion and the conductive layer does not extend into the thick portion of the exoskeleton.
  • the mother substrate in the thin portion can be between about 10 and 50 microns.
  • a conductive layer can be on the obverse side that is connected to a conductive pad in the second portion.
  • the exoskeleton can maintain sufficient strain in the stack of Ill-nitride layers to create a 2DEG in the channel layer.
  • the second passivation layer can be confined to the first portion.
  • At least one of a control, protection, synchronization or drive circuit on the exoskeleton can be in either the silicon or Ill-nitride active region.
  • Forming the device can include forming conductive contacts that are in electrical contact with the 2DEG channel.
  • the stack of III- nitride layers can include a barrier layer on a first side of the channel layer and a spacer layer on a second side of the channel layer; the spacer layer can include an etch stop layer; and the removing step can etch to the etch stop layer.
  • the method can include forming an external contact to the 2DEG channel, wherein the external contacts extends through the dielectric layer.
  • the method can include fabricating one of a diode or a transistor in the stack of III- nitride layers.
  • the stack of Ill-nitride layers can include a barrier layer on a first side of the channel layer and a spacer layer on a second side of the channel layer; the spacer layer can include an etch stop layer and the removing step can etch to the etch stop layer.
  • the object of forming a high voltage lateral group III nitride device may be achieved using one or more of the following techniques.
  • An appropriate semiconductor stack can be fabricated by heteroepitaxy on a low cost substrate, which is subsequently removed after the obverse face of the epitaxial film is attached on a carrier wafer that also has appropriate metallization and vias.
  • Substrate material can be thinned, which can include thinning of the epitaxial material.
  • Defected nucleation and stress management layers that form the buffer layer can be removed.
  • the reverse surface can be treated to remove near surface defects and covered with a passivation layer. Appropriate vias and metallization can make device terminals from the obverse face accessible on the reverse.
  • Some of the devices described herein are fabricated using the layout and process best suited for a particular function in a hetero-epitaxial layer grown on a
  • the wafer/substrate on which a device is formed is then mounted on another Attorney Docket No. 22930-0021 WO 1 appropriate wafer and the initial wafer/substrate and the nucleation and stress management layers grown during hetero-epitaxy are removed; the reverse face is then passivated and contact vias opened for metallization to the upper electrodes.
  • the final substrate on either the obverse or reverse face with the appropriate structures and processes to make contact with the device metallization pads.
  • Figure 1 shows a schematic of a cross-section of a half cell of an HFET.
  • Figures 2(a) to 2(m) depict schematic cross-sections of the device at various stages of processing.
  • Figure 3 shows a schematic of the cross-section of an HFET in which the gate is accessed on the obverse face while the source and drain are accessed from the reverse face.
  • Figure 4 shows a schematic of the cross-section of a grounded gate HFET with a stepped field plate.
  • Figure 5 shows a schematic of the cross-section of a lateral Schottky diode.
  • Figures 6 and 7 show schematics of the plan and cross-section views of an
  • Figures 8(a) to (f) show schematics of the cross-sections of an implementation with an exoskeleton at various stages of processing.
  • the obverse or device face is the face of the wafer or epitaxial layer on which a lateral device is fabricated by forming electrodes that make ohmic and/or Schottky and/or metal-insulator-semiconductor (MIS) contacts to the semiconductor.
  • the reverse face is opposite to the obverse or device face.
  • Ill-nitride material or "III-N material” refers to a compound semiconductor material according to the stoichiometric formula Al x In y Ga z N, where x+y+z is equal to 1 or about 1.
  • the devices described herein are group III face devices.
  • active layer(s) are a set of Ill-nitride layers in which devices are made, wherein changes of at least one potential barrier in the active layer due to voltages applied at terminals cause currents to flow through at least a pair of terminals in a desired fashion; and "active regions” are areal regions comprising of one or more cells of a semiconductor device.
  • a cell of a power transistor for example, as referred to herein includes a source, gate and drain, and the cell of a diode includes an anode and a cathode and the access region in between.
  • devices described herein are n-channel devices, but the general concepts can apply to p-channel devices as well.
  • Some power devices formed with Ill-nitride layers on a silicon substrate utilize nucleation and stress management layers to enable proper formation of the Ill-nitride layers. Removal of the nucleation and stress management layers, which have high defect concentrations, is desirable to enable high voltage power devices to meet acceptable switching performance. To remove the defected layers one needs to access them by removing the mother substrate and then removing the defected epitaxial layers on the reverse face of the group-Ill nitride layers. To do this, the obverse face is mounted on an appropriate carrier wafer. The substrate on which the growth was initially made, i.e., the mother substrate, is removed and then the defected layers are removed.
  • a suitable passivation layer is applied.
  • the passivation layer ensures high voltage operation without the negative impact of trapping.
  • a thin active layer by itself is not capable of supporting high voltage operation and without the passivation layers on both faces, the exposed surface of the thin active layer is susceptible to trapping effects and the high surface fields may also cause dielectric breakdown of air.
  • Appropriately patterned metallizations create the necessary contacts for the device.
  • two or more contacts or other items are said to be "electrically connected” if they are connected by a material which is sufficiently conducting to ensure that the electric potential at each of the contacts or other items is about the same at all times.
  • the amount of lattice strain in the active layer during growth is so high that strain relief happens by formation of dislocations and stacking faults or other defects that relax the lattice strain and stabilize the strain in the layer.
  • the strain management layer can effectively contain the lattice mismatch between the substrate and the epi layer at the growth temperature or if the grown epi layer is thin, there is little strain. Therefore strain relief in the upper layers by the formation of defects does not occur during growth. However, as the wafer is cooled down to room temperature, strain develops in the Ill-nitride epi-layers if there is mismatch of thermal coefficients of expansion of adjacent layers.
  • the strain caused in the III-N layers by the mismatch of thermal coefficients of expansion is tensile if the substrate is silicon.
  • the polarization charge is adequate to form a 2DEG required for low on-resistance devices.
  • crystal strain does not change much when the epitaxial layer is lifted off from the substrate.
  • high quality strained films with low defect density relax substantially to relieve strain after substrate removal and polarization charge and thence the 2DEG can get substantially reduced.
  • features can be incorporated in the device structure to prevent tensile strain and thence 2DEG collapse or a suitable amount of modulation doping can be added in the AlGaN barrier layer to maintain the desired 2DEG charge density.
  • a full cell is formed by juxtaposing a laterally inverted half-cell next to the half cell.
  • a power device can include many full cells in parallel, the cells being connected by on-chip busses to bonding pads.
  • access to source or drain contacts is shown through vias located in the half cell itself. While connecting the source and drain contacts through vias in the half cell is possible for many situations, in some cases it can be a better to have vias, especially vias through the Ill-nitride layers, at nearby busses or at via pads located away from the active regions of the device. This would be an engineering decision based on many factors such as device layout, current density, device Attorney Docket No. 22930-0021 WO 1 size, etc, and this application includes those cases in which contacts are accessed at locations away from the active device.
  • a HFET is formed with gate and source contacts on an obverse face and a drain contact on the reverse face, as shown in Figure 1.
  • Layers 1, 2, and 3 are Ill-nitride semiconductors.
  • Channel layer 1 is an unintentionally doped or p " doped Ill-nitride layer in which the channel of the device is formed and a 2DEG sheet may lie.
  • Barrier layer 2 has a bandgap larger than that of the channel layer 1.
  • Spacer layer 3 has a bandgap not smaller than that of the channel layer 1 and can be a multilayer structure.
  • the spacer layer 3 separates the channel layer 1 from the reverse face passivation layer.
  • layers 1 to 3 are high quality layers, carrier trapping or scattering in these layers, which affects device current, is reduced. Defects in these layers also affect leakage current and breakdown voltage.
  • a stack of Ill-nitride semiconductor material layers 100 can include a channel layer 1 , a spacer layer 3 and a barrier layer 2, with the channel layer 1 between the spacer layer 3 and the barrier layer 2.
  • the channel layer 1, barrier layer 2 and spacer layer 3 are each formed of III-N materials.
  • the bandgap of the barrier 2 is greater than the bandgap of the channel layer 1, which enables a 2DEG to form in the channel layer 1 near the interface of layers 1 and 2.
  • a cap layer (not shown) is on an opposite side of the barrier layer 2 from the channel layer 1 and has a smaller bandgap than the barrier layer 2 and can either be unintentionally doped or p-doped.
  • the bandgap of the spacer layer 3 is at least as great as the bandgap of channel layer 1.
  • the spacer layer 3 is formed of multiple layers of material that have different composition from one another.
  • a reverse side passivation layer 4 of dielectric material such as silicon nitride, aluminum nitride, silicon oxide, alumina or various combinations of any of these or other suitable dielectrics, which may be a combination of inorganic or organic dielectrics, for example, polyimide, benzocyclobutene (BCB) or SU8 or a combination of two or more of these, is on the opposite side of the spacer layer 3 from the channel layer 1.
  • dielectric material such as silicon nitride, aluminum nitride, silicon oxide, alumina or various combinations of any of these or other suitable dielectrics, which may be a combination of inorganic or organic dielectrics, for example, polyimide, benzocyclobutene (BCB) or SU8 or a combination of two or more of these, is on the opposite side of the spacer layer 3 from the channel layer 1.
  • a device side passivation layer 5 of dielectric materials such as inorganic dielectrics, for example, silicon nitride, aluminum nitride, alumina or silicon oxide, or organic dielectrics, for example, polyimide, benzocyclobutene (BCB) or SU8 or a combination of two or more of these, can be on the opposite side of the stack of Ill-nitride semiconductor material layers 100 from the reverse side passivation layer 4.
  • the passivation layers can be formed from dielectrics that have a large conduction band offset with respect to Ill-nitrides, create fewer surface states on Attorney Docket No. 22930-0021 WO 1 the Ill-nitride cap layers and have low trap density so that there is no trap assisted tunneling or hot carrier trapping in the dielectric.
  • Gate 6 with an integral sloping field plate, is formed from an electrically conducting layer such as metal or a degenerately doped semiconductor covered with a metal that forms an ohmic contact with the degenerately doped semiconductor.
  • an appropriate dielectric (not shown) might lie between the gate 6 and the barrier layer 2.
  • Source 7 can be formed from a metal or a highly doped n-type semiconductor and a metal layer that makes an ohmic contact to the highly doped n-type semiconductor.
  • the source 7 injects electrons to the channel layer 1.
  • Drain 8 is a metal or a highly doped n- type semiconductor and a metal layer making an ohmic contact to the highly doped n-type semiconductor.
  • a metal plug 15 connects the drain 8 to reverse side drain contact 16 that is adjacent to the reverse side passivation layer 4.
  • the reverse side drain contact 16 enables the drain 8 to be connected to the reverse side of the device.
  • a thermally and electrically conductive layer 17 is on a side of the reverse side drain contact 16 opposite to the reverse side passivation layer 4. The thermally and electrically conductive layer 17 is between the reverse side drain contact 16 and a thermally and electrically conducting substrate 18.
  • FIG. 2a Various stages of one implementation of processing for a HFET (the half cell cross-section of which is shown in Figure 1) are shown schematically in Figures 2(a-m).
  • a substrate 13 such as a substrate formed of ⁇ 11 1> silicon or other suitable material, such as c-plane sapphire or SiC cation-face Ill-nitride layers are grown by an appropriate heteroepitaxial process.
  • the substrate 13 is sometimes referred to herein as the mother wafer or mother substrate.
  • the substrate 13 is eventually removed, leaving the stack of Ill-nitride semiconductor material layers 100 with little strain relaxation.
  • the cation- face Ill-nitride layers include, in the following order from the substrate 13, a nucleation layer 12, which can be AlN/Al x Gai_ x N, a stress management stack 1 1, which can be a AIN/GaN or a Al x Gai_ x N/GaN superlattice, the spacer layer 3, which can be GaN or Al x Gai_ x N with x less than 0.1, the channel layer 1, which can be GaN, and the barrier layer 2, which can be Al x Gai_ x N with x more than 0.15.
  • a nucleation layer 12 which can be AlN/Al x Gai_ x N
  • a stress management stack 1 which can be a AIN/GaN or a Al x Gai_ x N/GaN superlattice
  • the spacer layer 3 which can be GaN or Al x Gai_ x N with x less than 0.1
  • the channel layer 1 which can be GaN
  • a source 7 and drain 8 are formed from ohmic contacts that are deposited on the stack of III- nitride semiconductor material layers 100 and annealed.
  • the exposed obverse surface of barrier layer 2 is passivated by depositing an insulator material, such as silicon nitride, aluminum nitride, silicon oxide a polymeric dielectric or some combination thereof to form the device side passivation layer 5.
  • the device side passivation layer 5 can be deposited using a suitable dielectric deposition scheme, such as CVD, PECVD, atomic layer deposition (ALD), sputtering, or spin on, as shown in Figure 2c.
  • a gate trench is formed with field-reducing sloped sidewalls by recess-etching the device side passivation layer 5, as shown in Figure 2d.
  • a via is made that extends to the source 7.
  • the via and gate trench are filled with metal. Filling the gate trench forms the gate 6 and filling the via extends the source 7. Subsequently, the exposed surfaces of the gate 6 and the source 7 are passivated with a protective layer 9 that can be selectively removed for bonding wires to the source and gate bond pads. Forming the passivation layer 9 completes the fabrication steps on the obverse of the structure 1 1 1.
  • the obverse face of the structure 1 11 is attached to a handling wafer 10.
  • the handling wafer 10 can be adhered to the structure 11 1 using an adhesive 1 12 that is easily removable, such as a polymer adhesive system that loses its adhesive quality when exposed to solvent, heat or radiation, such as UV light.
  • an adhesive 1 12 that is easily removable, such as a polymer adhesive system that loses its adhesive quality when exposed to solvent, heat or radiation, such as UV light.
  • the obverse face is attached to battery powered mobile electrostatic wafer chuck.
  • the mother substrate 13 on which the Ill-nitride layer was grown is removed.
  • the substrate 13 is thinned down to below 100 micrometers by lapping or a fast coarse etch.
  • the remaining portion of the substrate 13 after thinning can be removed by wet etching or fluoride-based plasma etching followed by wet etching to the Ill-nitride nucleation layer 12.
  • the substrate 13 is formed of sapphire
  • the Ill-nitride layer can be lifted-off using near UV laser radiation or, if an appropriate interfacial layer is between the substrate 13 and nucleation layer 12, which can be removed by chemical liftoff.
  • the process used to achieve lift-off is selected to create little change of strain over the active area of the device.
  • the nucleation layer 12 is removed, such as by etching in a chlorine-based plasma or by wet etching, if need be using electrochemical wet etching.
  • Removing the nucleation layer 12 is followed by etching away the stress management layer 11, as shown in Figure 2j, such as by using a process that does not introduce point defects in the spacer layer 3.
  • a reverse side passivation layer 4 is deposited on the exposed reverse face of the spacer layer 3, as shown in Figure 2k.
  • the reverse side passivation layer 4 can be a rather thick layer so that the layer can withstand the maximum drain-source voltage the device is expected to withstand.
  • the reverse passivation layer should be at least 5 microns thick low leakage dielectric comprised of one or more inorganic or organic dielectric mentioned earlier such that the reverse side passivation layer 4 is a good electrical insulator for Ill-nitride semiconductors.
  • the reverse side passivation layer has interface state density of less than 10 12 / cm 2 , such as less than 10 / cm and a bulk trap density less than 10 /cm , such as less than 10 /cm .
  • the bulk trap density can indicate traps in the passivation layer that make a device more leaky or give their characteristics hysteresis.
  • a via is formed through the reverse side passivation layer 4, the spacer layer 3, and the channel layer 1 to reach the drain 8.
  • the via side walls are passivated by forming a passivating insulator 14 and the via is filled with a conducting metal plug 15 that makes good electrical contact to the drain contact metallization 8 on the obverse face of the device. While the via is shown to be in the active region of the device (and it might have to be located there for an enclosed drain cell configuration), it need not be so.
  • the via could be located at a via/contact pad away from the active regions of the device, because that may reduce reverse leakage and increase breakdown voltage.
  • a reverse side drain contact 16 is able to interconnect multiple drain vias when multiple half cells are formed (not shown in this figure).
  • the reverse side drain contact 16 is used for attaching the wafer to a thermally and electrically conducting layer 17.
  • the thermally and electrically conducting layer 17 can be an adhesive layer that thermally and electrically contacts a conducting substrate 18.
  • the source 7 and gate 6 can then be attached to bonded leads (not shown).
  • the gate with a sloping field plate is grounded.
  • the source 7 and drain 8 are both covered by the device-side passivation layer
  • the gate with integrated sloping field plate 6 extends over the device-side passivation layer 5, so that the device-side passivation layer 5 is between the source 7 and the gate 6 in a lateral direction and the device-side passivation layer 5 is between the drain 8 and the gate 6 in a lateral direction.
  • the lateral direction is a direction perpendicular to the direction in which the main surface of the layers of the stack of Ill-nitride semiconductor material layers 100 extends.
  • the passivating insulator 14 is on the sidewall of vias that lead to the drain 8 and source 7 contacts on the obverse face of the stack of Ill-nitride semiconductor material layers 100.
  • the passivating insulators 14 passivate and insulate the part of the active layer, that is, the stack of Ill-nitride semiconductor material layers 100, from the metal plugs 15 and 25 that respectively connect the drain contact 8 and the source contact 7 to the drain interconnect metallization 16 and the source interconnect metallization 26 on the reverse face.
  • the reverse face is covered with a passivating dielectric layer 20 in which contact holes are open at bonding pads for the source and the drain.
  • Carrier wafer 19 is an electrically and thermally conducting wafer to which the obverse face of the device 120 is bonded.
  • the source is to be accessed from the reverse face, vias to the source 7 are not required on the obverse face and there is no need to cover the gate metallization with a protective coat (such as layer 9 in Figure 2e) as in the previous process.
  • a protective coat such as layer 9 in Figure 2e
  • the wafer is permanently attached to the conducting carrier wafer 19.
  • the vias to the drain and source contacts can be made in the same process steps.
  • an HFET is formed with a stepped field plate connected to the grounded gate. Additional metal layers 21 and 22 are in contact with the gate 6 and with the bonding layer 29.
  • the gate 6 along with the additional metal layers 21 and 22 form a stepped field plate 96.
  • the stepped field plate 96 can be formed by a series of lithography steps, including partial etch of dielectric material and metal deposition.
  • the stepped field plate 96 includes part of the gate layer 6 that overlays the gate dielectric 5, and metal layers 21 and 22.
  • the stepped field plate 96 is formed of layers that are deposited in the recess of the device side passivation layer 75, which Attorney Docket No.
  • the passivation layer 75 can be deposited in a series of steps. A recess is formed in the passivation layer at each step of the deposition. Thus, the first field plate layer 21 is formed in a recess in one of the first sublayers of the passivation layer 75.
  • the passivation layer 75 is further patterned and another metallization to form the second field plate layer 22 is made so that the formed field plate over the device side passivation layer 75 is in contact with the lower field plate layer 21. Because the three portions are in electrical connected with one another, they function as a single component.
  • the stepped gate and field plate 96 can be formed from more or fewer sections than the three that are shown, using other deposit-pattern-deposit processes that form the metal or other conducting material structure in the dielectric layer.
  • the wafer 121 with the device fabrication complete on the obverse face is attached to a conducting carrier wafer 19 with a conducting layer 29 and the reverse face processed as for the device in Figure 3.
  • a lateral Schottky diode can be formed using the techniques described herein.
  • a Schottky diode is formed by an appropriately chosen metal making contact with a semiconductor which is called the Schottky layer.
  • the Schottky diode materials and structure are engineered to obtain acceptable forward voltage (such as ⁇ 0.5 V at 1 mA/mm) and forward on resistance (R on ⁇ 10 ⁇ /mm for a 1000 V device).
  • AlGaN stack forms the active layer with an ohmic contact to the 2DEG forming the cathode and the metal of the Schottky contact forming the anode.
  • the top AlGaN layer is etched so that the anode metal layer makes direct contact to the Schottky layer as shown in Figure 5.
  • the stack of Ill-nitride semiconductor material forming the active layer 200 can include a Schottky layer that also serves as the barrier access layer which we shall call the Schottky- cum-access layer 201, a spacer layer 203 and a polarization induced dipole (PID) layer 202, with the Schottky-cum-access layer 201 between the spacer layer 203 and the PID layer 202.
  • the active stack is designed so that a 2 DEG forms in the Schottky-cum-access layer when the complete sandwich is intact.
  • there is a cap layer on top of PID layer 202 that has a smaller bandgap than the PID layer 202 and is unintentionally or p " doped.
  • the spacer layer 203 has a bandgap not smaller than the bandgap of the Schottky- cum-access layer 201 and can be a single or multilayer structure.
  • the Schottky-cum-access layer 201 is an unintentional or n " doped Ill-nitride layer which forms the Schottky layer of the diode where the anode metal 206 makes contact and the lateral access layer elsewhere, Attorney Docket No. 22930-0021 WO 1 with the 2-DEG forming a low resistance access path to the cathode contact 8.
  • the doping of the Schottky-cum-access layer affects the forward cut-in voltage of the diode. The higher the n-type doping, the lower the saturation current and the larger the forward cut-in voltage of the Schottky diode. However, as one raises the n-type doping the 2-DEG mobility drops and the access region resistivity increases.
  • a passivating dielectric 205 is deposited on the active layer.
  • the dielectric layer 205 and the PID layer 202 are etched where the anode metal 206 must make a Schottky contact with the Schottky layer 201. Because the PID layer is removed, there is no polarization induced dipole and therefore 2-DEG below the anode metal 206 and a good Schottky barrier is formed. The PID layer and 2-DEG however remain in the access regions.
  • Anode 206 is formed of a metal with a work function that provides the requisite turn-on voltage.
  • an integral sloping field plate is formed with the anode by the formation of a sloped recess in the passivation layer 205 before the deposition of the anode metal 206 and then a conducting metal 207 on it to reduce the on-resistance of the diode.
  • the cathode contact is an ohmic contact 208 which is an electron collecting layer forming good electrical contact to the 2DEG in the access region.
  • Contact 208 is a metal alloy or a highly doped n-type semiconductor with a layer of metal making an ohmic contact to the highly doped n-type semiconductor.
  • the reverse face is processed using processes similar to those for the HFETs described earlier, such that the mother wafer and the defected layers are removed, a first reverse passivation layer 4 is deposited, vias are etched in it and the cathode contact brought to the reverse face using metal plug 15 and metallization 16.
  • a second reverse face passivation layer 20 protects the reverse face and is opened only where contacts are required for one or more bonding pads for the cathode on the reverse face (not shown).
  • the diode includes an implanted guard ring in the barrier layer to reduce leakage currents.
  • a stiff adhesive layer is used to anchor the device face to a carrier wafer.
  • polymeric dielectrics with a Young's modulus less than one hundredth that of GaN are not used for the device side passivation layer 5, because of the strain relief that can occur due to the plasticity of the dielectric.
  • WO 1 amorphous silicon dioxide with its Young's modulus one fifth that of GaN may be inadequate to prevent an adjacent GaN active layer, the channel layer 1 and the barrier layer 2, from strain relaxation.
  • the stiffness of silicon nitride may be adequate to maintain strain in the active layer if it is rigidly bonded to it. However, hydrogen and nitrogen broken bonds in the silicon nitride layer can lead to trapping effects which may be undesirable in a high voltage device.
  • strain in the Ill-nitride active layers is maintained by using sufficiently hard and thick passivation layers, such that strain is maintained in the Ill-nitride layers and one can then attach the device to a carrier wafer that can handle the heat dissipation and if need be the electrical contact.
  • Engineering the electrical, mechanical and thermal properties of the obverse and reverse face passivation layers is a challenge and again not addressed here.
  • some of the substrate on which the device is formed is retained so that a Ill-nitride membrane is maintained with the tensile strain created during hetero-epitaxy and cool down.
  • a planar exoskeleton is used to lock in-plane tensile strain in all layers of the active layer.
  • An exemplary plan view of such a die is shown in Figure 6.
  • the die 30 includes cell regions 31 each including multiple cells that form the power device or other high voltage drive circuits. Each region 31 may have from a few to tens of cells with the reverse face processed such that the defected layers that have traps have been removed. Bonding pads 32, 33 for the gate and the source are at either end of the die 30.
  • the die 30 can include more than one bonding pad for each terminal to satisfy impedance matching and current handling requirements of the power device plus control and feedback inputs and outputs if on-chip control is present.
  • On-chip control and drive circuits that handle low voltage (such as, ⁇ 30 V) which could be in the active GaN layer 34 or in the silicon below 35 (both indicated areally) are optionally placed on the die 30.
  • Areas 36 surrounding the cell regions 31, the bonding pads 32, 33 and on-chip control and drive circuits 34, including areas below the optional silicon control electronics regions 35, indicate the areal location of the strain maintaining exoskeleton, formed by keeping portions of the substrate on which the device is formed intact.
  • FIG. 7 the cross-section along plane X1X2X 3 in Figure 6 is shown.
  • Cross- sections above A, B and C respectively depict the cross-sections in the active device region (around Xi) or the high voltage device region, the inner exoskeleton region (around X 2 ) and Attorney Docket No. 22930-0021 WO 1 the outer exoskeleton region (around X3 in the bond pad region).
  • the metallization on the reverse face that connects the drain vias is extended to the bond/solder pads on the inner exoskeleton.
  • Region B is the inner exoskeleton that is next to the device region (around X 2 ) and can maintain the tensile strain in the Ill-nitride film in the active region.
  • a layer of silicon (43) from the mother wafer is between about 10 and 50 microns thick. This thickness enables the tensile strain to be maintained and at the same time enables the drain metallization from the active region 16 to extend over to the inner exoskeleton (Region B) through metallization 49.
  • a thicker inner exoskeleton would require an unnecessarily large area for the sloped portion that enables reproducible and reliable interconnect coverage on the sidewall of the exoskeleton.
  • Thick dielectric layers 44, 45 insulate the silicon 43 from the drain metallization 49.
  • nucleation and stress management layers 42 are not removed in the exoskeleton regions B and C, which helps to keep the active Ill-nitride layer 41 firmly attached to the exoskeleton.
  • the active Ill-nitride layer 41 can include channel layer 1, barrier layer 2 and spacer layer 3 and can be isolated electrically by oxygen implantation along the perimeter of the active region.
  • Layer 46 comprises the passivation 5 -metallization 6/7-passivation 9 stack of layers, which carry source or gate connections to bonding pads in Region C.
  • an additional areal stress management layer can be added between the perimeter of the active region and the inner perimeter of the exoskeleton.
  • Region C is the outer exoskeleton and it lies along the perimeter of the die 30, below bond pads on the obverse face and other regions that have low voltage electronics. As shown in Figure 7 the outer exoskeleton retains thicker silicon from the mother wafer - the thickness being adequate to enable handling of the wafer during processing, dicing and packaging subsequent to the thinning operation while preventing damage to the assembly. For smaller wafers (such as 2" wafers) and small area dies (less than 3x3 mm 2 ), the thickness of the silicon in Regions B & C can be kept the same to reduce processing steps.
  • layer 47 represents the obverse face passivation 5-metallizations 6/7- passivation 9 layers with the top passivation removed near the bond pad, where an additional metal layer (48) might be added, the latter necessary for some bonding and packaging situations. Additionally, a layer of silver might be added as layer 49 if soldered contacts are needed, whereas, ultrasonic bonding could be done directly to a pad on a gold bus.
  • the metallizations (6/7) are thickened at the main bus level and there may be more than one bond/solder pad for one terminal.
  • the thicker exoskeleton can also support Attorney Docket No. 22930-0021 WO 1 any low voltage control and synchronization electronics on the silicon mother wafer, such as in non-active regions of the power device.
  • the process flow can be similar in the early phase to the processes we have presented earlier.
  • a basic high voltage device with gate and source contacts on the obverse or device face is fabricated and attached to a handling wafer with thermal or UV release polymer, as described herein.
  • the mother substrate is thinned down on the reverse face to a desired thickness.
  • a photoresist or oxide is selectively applied to the reverse face in Region C, such as by using a coarse double sided alignment, the exposed pockets of Regions A and B are etched, such as by a deep RIE process to the requisite depths.
  • a second photolithography step is performed to protect Regions B & C, and the silicon plus the nucleation and stress management layers from the Region A are removed. These layers can be removed by deep RIE and a sloping sidewall with a slope between about 30° to 75° can be formed in the silicon exoskeleton since steeper sidewalls could create discontinuities in metallization from the active region to the inner exoskeleton as explained earlier. Completion of etch through a layer can be conveniently determined by end point detectors based on measuring the intensity of silicon or gallium emissions. The etch process is terminated by either a wet etch or a nitrogen plasma low temperature anneal to reduce defect generation in the active layers.
  • a thick layer e.g., a layer about 15 ⁇ thick on 1000 V device, of passivating strain-free insulator, such as silicon dioxide or silicon oxynitride, is deposited using a chemical vapor deposition technique such as ICP-CVD.
  • the handling wafer is detached and the deposited dielectric is densified by either a thermal or excimer laser anneal to improve passivation at high fields and reduce long term moisture diffusion - thence device drift - into the passivation layer.
  • the handling wafer can be reused.
  • a via to the drain contact is made on the reverse face to the drain metallization on the obverse face, the sidewalls passivated, and the via is filled with metal such as copper or gold.
  • a patterned metallization that connects the drain via to the bond/solder pads on the inner exoskeleton is formed. If required an additional bond/solder pad metallization is performed and only the bond pads exposed through a final passivation layer. Devices are tested and diced for downstream processes.
  • the metallization occurs late in the process of forming the device, but can enable a much higher quality passivation, produce devices with higher breakdown voltage and lower trapping (thence lesser current collapse and hysteresis in Attorney Docket No. 22930-0021 WO 1 characteristics), utilize fewer process steps, does not require an expensive double sided aligner and can enable better integration of silicon and Ill-nitride processes.
  • the active layer 100 is grown by heteroepitaxy on a support stack of a ⁇ 1 11> silicon mother wafer 13, a nucleation layer 12 and a stress management layer 11.
  • the active layer 100 comprises three essential layers, with acceptably low defect density, that are the spacer layer, the channel layer and the obverse barrier layer, plus other optional layers that might include a reverse barrier layer, a cap layer and a alloy scattering screening layer.
  • a passivation stack 105 is a sandwich of one or more inorganic dielectrics such as aluminum nitride, silicon nitride and silicon dioxide.
  • the passivation stack 105 can be deposited by a low to moderate temperature CVD process.
  • FIG. 8c shows the cross section spanning the active region, the inner exoskeleton and the outer exoskeleton after the reverse face is processed.
  • the obverse face of the wafer is attached by a UV sensitive adhesive layer (not shown) to a double sided polished quartz wafer 150.
  • a photoresist pattern is used to protect a region of the mother wafer 13 that will form the outer exoskeleton and expose regions that will form the inner exoskeleton.
  • the exposed silicon is etched, such as with a deep reactive ion etch process, for example, the Bosch process until the desired thickness of the inner exoskeleton is reached.
  • a second lithography step is performed to pattern the active areas and the remaining silicon and nucleation and stress management Ill-nitride layers are removed by dry and wet etching, leaving only the active layer intact.
  • a conformal coating of a sandwich of inorganic dielectric material is deposited to form passivation layer 51, such as by a low temperature remote plasma CVD process on the micromachined reverse face.
  • the quartz handling wafer is then detached. If the adhesive was a UV decomposable polymeric adhesive, UV light is shone on the adhesive through the quartz wafer 150, causing the adhesive to lose its adhesive quality. This is followed by a medium temperature anneal to densify the passivation layers and reduce dielectric traps and fixed oxide charges.
  • the passivation layer 51 is patterned for implantation of an n + -drain 52, the field shaping region and contact to the 2DEG layer which is closer to the obverse side.
  • a high temperature anneal is used for implant activation and to reduce traps in inorganic dielectrics.
  • the high temperature anneal produces a far higher quality dielectric than is possible by lower temperature anneals.
  • High temperature annealing that is annealing at temperatures over 750 °C may not be possible after metallization, because the high Attorney Docket No. 22930-0021 WO 1 temperatures can cause the metallization to melt or alloy, and so cannot be done with the early metallization processes described previously.
  • the obverse face is patterned and metalized to form the source ohmic contact 54 .
  • the wafer is optionally annealed at a high temperature for a short time after metallization to form good ohmic contacts to both the source and drain in the active layer 100 next to metallizations 54 and 53.
  • the obverse face is further patterned for the Schottky gate metallization 55, if need be, by depositing it over a thin gate dielectric.
  • the Schottky gate metallization 55 formation can be followed by a mid temperature anneal (400-600 °C) to improve the Schottky barrier interface.
  • the wafer is patterned on both faces and the metallization to form busses and bond/solder pads is thickened by electro or electroless deposition. Both faces of the wafer are passivated, such as by using a low temperature CVD deposition of silicon nitride or depositing some other capping passivation dielectric. Contact holes are opened to the bond/solder pads.
  • the wafer is diced for downstream package and test processes. A modification of the process for medium voltage power devices can include forming the gate, source and drain access on the obverse face. The n+-drain implant and the drain
  • metal alloys for the ohmic contacts and the gate metal require similar post-metallization anneal conditions and that enables further simplification of the process sequence.
  • control, synchronization and drive electronics can include fabrication of control, synchronization and drive electronics on the silicon exoskeleton if silicon devices are required.
  • control, synchronization and drive electronics could be hybrid silicon-GaN or only GaN with the low voltage GaN devices located in the active regions or the exoskeleton regions.
  • Yet another modification of the device forms the source and drain access on the reverse face.
  • a lateral Schottky diode with the barrier accessed by one or more 2DEG layers can be formed using the methods described herein.
  • the anode can be accessed on the obverse face and the cathode the reverse face.
  • Nucleation and stress management layers are grown by heteroepitaxy followed by a p-type /unintentionally doped GaN layer, an unintentionally doped AlGaN layer, an unintentionally or lightly doped GaN layer and an in situ silicon nitride layer.
  • the device area is delineated by implant or mesa isolation.
  • Additional dielectric layers can be deposited.
  • the dielectric layers are removed after Attorney Docket No. 22930-0021 WO 1 patterning and the metal forming the ohmic contact with the 2DEG is deposited and annealed.
  • the obverse face is then patterned for the Schottky contact and the dielectric layers are removed by etching.
  • another lithography step is performed to define a guard ring, after which the metal to form the Schottky barrier is deposited and annealed.
  • a thick dielectric is deposited and patterned to expose areas where the metal needs to be thickened.
  • the anode electrode bus is further thickened by electroless plating of nickel or gold.
  • the wafer is planarized until the top of the thickened metal and a metal coating is exposed. This face is then attached to an electrically and thermally conducting wafer using a conducting interlayer. The wafer on the obverse face is protected by an appropriate coating and the original substrate is removed by etching.
  • the nucleation and stress management layers are etched and the reverse surface is treated with an appropriate wet etch or nitrogen plasma to remove residual surface damage and charge.
  • the reverse face is next suitably passivated with silicon nitride or aluminum nitride and if need be an additional layer of silicon dioxide.
  • Other organic, such as BCB, or inorganic, such as alumina, dielectrics can alternatively or also be used.
  • One or more vias through the passivation layer and the underlying group Ill-nitride layers are etched to the cathode bus. Terminal metallization is performed with a two step deposit and plate process. The wafer is then sawed to form separate dies for downstream processing.
  • the process of formation can be as follows. Nucleation and stress management layers are grown by heteroepitaxy followed by a p- type/unintentionally doped GaN layer, an unintentionally doped AlGaN layer, an
  • Additional dielectric layers can be deposited. After implant isolation, dielectric layers are removed. After patterning and the metal forming the ohmic source and drain contacts with the 2DEG is deposited and annealed. The obverse face is then patterned for the Schottky gate contact between the source and drain and the dielectric layers are removed by etching. This can be followed by another lithography step to define a field plate, after which the metal to form the gate contact is deposited and annealed. Dielectric deposition and patterning is then performed to form the gate interconnect and bus. The gate and source electrode busses are further thickened by electroless plating of nickel or gold or copper. Next a thick dielectric is deposited and the wafer is planarized until the top of the thickened metal is exposed.
  • the wafer is then attached to a handling wafer.
  • the wafer on the obverse face is protected by an appropriate coating and the original substrate is removed by etching.
  • the Attorney Docket No. 22930-0021 WO 1 nucleation and stress management layers are etched and the reverse surface is treated with an appropriate wet etch or nitrogen plasma to remove residual surface damage and charge.
  • the reverse face is next suitably passivated with silicon nitride or aluminum nitride and if need be an additional layer of silicon dioxide.
  • Other organic, such as BCB, or inorganic, such as alumina, dielectrics can also be used.
  • One or more vias through the passivation layer and the underlying group III nitride layers are etched to the drain bus and via filled with metal plug.
  • the reverse face is then bonded to an electrically and thermally conducting substrate so that the drain vias are in electrical contact with the wafer and the dielectrics in mechanical contact.
  • the handling wafer is then removed to expose the source and gate metallization busses.
  • the top is then coated with a organic or inorganic passivation layer that is open at only the source and gate bonding pads. The wafer is then sawed to form separate dies for downstream processing.
  • Modifications to the techniques and devices can include one or more of the following.
  • An etch stop layer such as a layer of AlGaN, can be inserted into the spacer layer 3 to facilitate backside selective dry etching using fluoride- and chloride-based chemistry mixtures. This can provide better control of the remaining thickness of the spacer layer after the backside processing.
  • Another possible modification is to introduce an insulating dielectric layer under the gate 6 to suppress gate leakage current.
  • Possible gate dielectric includes but are not limited to silicon nitride, silicon oxide, aluminum nitride, aluminum oxide, gallium oxide and high K dielectrics.
  • An epitaxially grown Ill-nitride semiconductor layer instead of a dielectric layer to form device side passivation layer 5.
  • a field-reducing plate can be applied on the reverse side passivation layer 4 for the grounded gate.
  • the field plate can be connected either to the source or to the gate. This field plate layer overlaps gate 6 on the obverse face. A certain lateral distance can be kept between the field plate layer and the drain 8 on the obverse face so that there is no shorting at high voltages.
  • the Ill-nitride layers can be grown as nitrogen faced layers, as described in U.S. Application No. 12/209,504 , filed on September 12, 2008, and is incorporated herein by reference, instead of Ill-face layers.
  • the Ill-nitride layers can be grown with non-polar m-plane or a-plane, or semi- polar faces instead of c-plane faces.
  • the channel layer 1 can be modulation doped in structures in which there is no polarization charge due to strain collapse or due to use of a non-polar face orientation of the Ill-nitride active layer.
  • HFET, MISFET or JFET devices with gate and source contacts accessed on the device face and the drain contact accessed on the reverse face, and Schottky diodes with the cathode accessed via the reverse face and the anode accessed via the device face.
  • Other combinations of gate, source, drain or anode and cathode locations are possible as well.
  • the gate, source and drain can all be on either the obverse or the reverse face.
  • the drain and gate can be on one side, such as the reverse side, while the source can be on the opposite side, such as the obverse side, or vice versa.
  • the cathode can be on the obverse face and the anode on the reverse face.
  • other combinations of electrode access are possible, the optimum depending on the device layout, configuration and application.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulating Bodies (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

La présente invention a pour objet des dispositifs au nitrure du Groupe III qui comprennent un empilement de couches de nitrure III, de couches de passivation, et de contacts conducteurs. L'empilement comprend une couche de canal pourvue d'un canal 2DEG, une couche barrière et une couche d'espacement. Une couche de passivation est au contact direct d'une surface de la couche d'espacement sur un côté opposé à la couche de canal et est un isolant électrique. L'empilement de couches de nitrure III et la première couche de passivation forment une structure pourvue d'un côté verso proche de la première couche de passivation et un côté recto proche de la couche barrière. Une autre couche de passivation se trouve sur le côté recto de la structure. Des couches de nucléation défectueuse et de gestion des contraintes qui forment une couche tampon pendant le procédé de formation peuvent être éliminées en partie ou en totalité.
PCT/US2010/059486 2009-12-10 2010-12-08 Dispositifs au nitrure iii manipulés sur le côté verso WO2011072027A2 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201080056241.8A CN102714219B (zh) 2009-12-10 2010-12-08 反侧设计的iii-氮化物器件

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/635,405 US8389977B2 (en) 2009-12-10 2009-12-10 Reverse side engineered III-nitride devices
US12/635,405 2009-12-10

Publications (2)

Publication Number Publication Date
WO2011072027A2 true WO2011072027A2 (fr) 2011-06-16
WO2011072027A3 WO2011072027A3 (fr) 2011-09-22

Family

ID=44141943

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2010/059486 WO2011072027A2 (fr) 2009-12-10 2010-12-08 Dispositifs au nitrure iii manipulés sur le côté verso

Country Status (3)

Country Link
US (3) US8389977B2 (fr)
CN (1) CN102714219B (fr)
WO (1) WO2011072027A2 (fr)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8692294B2 (en) 2009-08-28 2014-04-08 Transphorm Inc. Semiconductor devices with field plates
US9041065B2 (en) 2008-12-10 2015-05-26 Transphorm Inc. Semiconductor heterostructure diodes
US9087718B2 (en) 2013-03-13 2015-07-21 Transphorm Inc. Enhancement-mode III-nitride devices
US9142659B2 (en) 2011-03-04 2015-09-22 Transphorm Inc. Electrode configurations for semiconductor devices
US9171910B2 (en) 2012-07-16 2015-10-27 Transphorm Inc. Semiconductor electronic components with integrated current limiters
US9196716B2 (en) 2008-04-23 2015-11-24 Transphorm Inc. Enhancement mode III-N HEMTs
US9257547B2 (en) 2011-09-13 2016-02-09 Transphorm Inc. III-N device structures having a non-insulating substrate
US9496137B2 (en) 2009-12-10 2016-11-15 Transphorm Inc. Methods of forming reverse side engineered III-nitride devices
US9634100B2 (en) 2012-06-27 2017-04-25 Transphorm Inc. Semiconductor devices with integrated hole collectors
US9685323B2 (en) 2012-02-03 2017-06-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US9690314B2 (en) 2008-09-23 2017-06-27 Transphorm Inc. Inductive load power switching circuits
US9842922B2 (en) 2013-07-19 2017-12-12 Transphorm Inc. III-nitride transistor including a p-type depleting layer
US9865719B2 (en) 2013-03-15 2018-01-09 Transphorm Inc. Carbon doping semiconductor devices
US9935190B2 (en) 2014-07-21 2018-04-03 Transphorm Inc. Forming enhancement mode III-nitride devices
US10224401B2 (en) 2016-05-31 2019-03-05 Transphorm Inc. III-nitride devices including a graded depleting layer
US11322599B2 (en) 2016-01-15 2022-05-03 Transphorm Technology, Inc. Enhancement mode III-nitride devices having an Al1-xSixO gate insulator

Families Citing this family (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7915643B2 (en) 2007-09-17 2011-03-29 Transphorm Inc. Enhancement mode gallium nitride power devices
US7965126B2 (en) 2008-02-12 2011-06-21 Transphorm Inc. Bridge circuits and their components
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8742459B2 (en) 2009-05-14 2014-06-03 Transphorm Inc. High voltage III-nitride semiconductor devices
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8785973B2 (en) * 2010-04-19 2014-07-22 National Semiconductor Corporation Ultra high voltage GaN ESD protection device
US8742460B2 (en) 2010-12-15 2014-06-03 Transphorm Inc. Transistors with isolation regions
US8643062B2 (en) * 2011-02-02 2014-02-04 Transphorm Inc. III-N device structures and methods
US8786327B2 (en) 2011-02-28 2014-07-22 Transphorm Inc. Electronic components with reactive filters
US8772842B2 (en) 2011-03-04 2014-07-08 Transphorm, Inc. Semiconductor diodes with low reverse bias currents
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10312361B2 (en) 2011-06-20 2019-06-04 The Regents Of The University Of California Trenched vertical power field-effect transistors with improved on-resistance and breakdown voltage
WO2015175915A1 (fr) * 2014-05-15 2015-11-19 The Regents Of The University Of California Transistors à effet de champ à puissance verticale à tranchées présentant une meilleure résistance à l'état passant et une meilleure tension de claquage
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9496138B2 (en) * 2011-07-08 2016-11-15 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing oxide semiconductor film, method for manufacturing semiconductor device, and semiconductor device
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8901604B2 (en) 2011-09-06 2014-12-02 Transphorm Inc. Semiconductor devices with guard rings
JP6017125B2 (ja) 2011-09-16 2016-10-26 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8598937B2 (en) 2011-10-07 2013-12-03 Transphorm Inc. High power semiconductor electronic components with increased reliability
US8643134B2 (en) * 2011-11-18 2014-02-04 Avogy, Inc. GaN-based Schottky barrier diode with field plate
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8530978B1 (en) 2011-12-06 2013-09-10 Hrl Laboratories, Llc High current high voltage GaN field effect transistors and method of fabricating same
KR101869045B1 (ko) * 2012-01-11 2018-06-19 삼성전자 주식회사 고전자이동도 트랜지스터 및 그 제조방법
KR101920715B1 (ko) * 2012-03-06 2018-11-21 삼성전자주식회사 고 전자 이동도 트랜지스터 및 그 제조방법
US9093366B2 (en) 2012-04-09 2015-07-28 Transphorm Inc. N-polar III-nitride transistors
WO2013163137A1 (fr) * 2012-04-23 2013-10-31 Massachusetts Institute Of Technology Technique de passivation pour dispositifs semi-conducteurs à large bande interdite
US8981432B2 (en) * 2012-08-10 2015-03-17 Avogy, Inc. Method and system for gallium nitride electronic devices using engineered substrates
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9082748B2 (en) * 2012-10-05 2015-07-14 Micron Technology, Inc. Devices, systems, and methods related to removing parasitic conduction in semiconductor devices
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN105164811B (zh) 2013-02-15 2018-08-31 创世舫电子有限公司 半导体器件的电极及其形成方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US11721547B2 (en) * 2013-03-14 2023-08-08 Infineon Technologies Ag Method for manufacturing a silicon carbide substrate for an electrical silicon carbide device, a silicon carbide substrate and an electrical silicon carbide device
US9773884B2 (en) * 2013-03-15 2017-09-26 Hrl Laboratories, Llc III-nitride transistor with engineered substrate
US9059076B2 (en) 2013-04-01 2015-06-16 Transphorm Inc. Gate drivers for circuits based on semiconductor devices
US8759879B1 (en) * 2013-05-03 2014-06-24 Texas Instruments Incorporated RESURF III-nitride HEMTs
US9054027B2 (en) 2013-05-03 2015-06-09 Texas Instruments Incorporated III-nitride device and method having a gate isolating structure
US9552979B2 (en) * 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
US9768016B2 (en) 2013-07-02 2017-09-19 Ultratech, Inc. Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations
US9537425B2 (en) 2013-07-09 2017-01-03 Transphorm Inc. Multilevel inverters and their components
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI493617B (zh) * 2013-10-07 2015-07-21 Nat Univ Tsing Hua 部分隔離矽基板之三族氮化物半導體裝置之製作方法
KR101758082B1 (ko) * 2013-12-30 2017-07-17 한국전자통신연구원 질화물 반도체 소자의 제조 방법
CN104851778B (zh) * 2014-02-17 2018-02-06 中芯国际集成电路制造(上海)有限公司 一种晶圆级封装工艺中致密化钝化层的方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9659854B2 (en) * 2014-04-16 2017-05-23 Gan Systems Inc. Embedded packaging for devices and systems comprising lateral GaN power transistors
EP3134920A4 (fr) * 2014-04-25 2017-11-29 HRL Laboratories, LLC Transistor fet sur une structure de matériau iii-v avec transfert de substrat
DE102014107560A1 (de) 2014-05-28 2015-12-03 Infineon Technologies Austria Ag Halbleiterbauelement und Verfahren
US10276712B2 (en) 2014-05-29 2019-04-30 Hrl Laboratories, Llc III-nitride field-effect transistor with dual gates
CN104037219B (zh) * 2014-07-02 2017-01-18 西安电子科技大学 一种基于栅结构的增强型AlGaN/GaN HEMT器件结构及其制作方法
CN104037217B (zh) * 2014-07-02 2017-01-25 西安电子科技大学 一种基于复合偶极层的AlGaN/GaN HEMT开关器件结构及制作方法
CN104037215B (zh) * 2014-07-02 2017-01-18 西安电子科技大学 一种基于聚合物的增强型AlGaN/GaN MISHEMT器件结构及其制作方法
CN104037216B (zh) * 2014-07-02 2016-11-16 西安电子科技大学 一种基于偶极层的高压AlGaN/GaN MISHEMT器件结构及其制作方法
CN104037221B (zh) * 2014-07-02 2017-01-25 西安电子科技大学 一种基于极化效应的复合场板高性能AlGaN/GaN HEMT器件结构及制作方法
CN104037220B (zh) * 2014-07-02 2017-01-25 西安电子科技大学 一种基于偶极子层浮栅结构的增强型AlGaN/GaN MISHEMT器件结构及其制作方法
US9543940B2 (en) 2014-07-03 2017-01-10 Transphorm Inc. Switching circuits having ferrite beads
US9590494B1 (en) 2014-07-17 2017-03-07 Transphorm Inc. Bridgeless power factor correction circuits
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104332498B (zh) * 2014-09-01 2018-01-05 苏州捷芯威半导体有限公司 一种斜场板功率器件及斜场板功率器件的制备方法
CN104241400B (zh) * 2014-09-05 2017-03-08 苏州捷芯威半导体有限公司 场效应二极管及其制备方法
US9647476B2 (en) 2014-09-16 2017-05-09 Navitas Semiconductor Inc. Integrated bias supply, reference and bias current circuits for GaN devices
US9571093B2 (en) 2014-09-16 2017-02-14 Navitas Semiconductor, Inc. Half bridge driver circuits
US9960154B2 (en) 2014-09-19 2018-05-01 Navitas Semiconductor, Inc. GaN structures
WO2016054545A1 (fr) * 2014-10-02 2016-04-07 University Of Florida Research Foundation, Incorporated Transistors à haute mobilité d'électrons avec dissipation thermique améliorée
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9536966B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Gate structures for III-N devices
US9536967B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Recessed ohmic contacts in a III-N device
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9812532B1 (en) 2015-08-28 2017-11-07 Hrl Laboratories, Llc III-nitride P-channel transistor
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN108292678B (zh) 2015-11-19 2021-07-06 Hrl实验室有限责任公司 具有双栅极的iii族氮化物场效应晶体管
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10038051B2 (en) * 2016-02-19 2018-07-31 Infineon Technologies Austria Ag Vertical potential short in the periphery region of a III-nitride stack for preventing lateral leakage
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9831867B1 (en) 2016-02-22 2017-11-28 Navitas Semiconductor, Inc. Half bridge driver circuits
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10217827B2 (en) * 2016-05-11 2019-02-26 Rfhic Corporation High electron mobility transistor (HEMT)
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) * 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106920747A (zh) * 2017-02-17 2017-07-04 昆山华太电子技术有限公司 一种高可靠性hemt制作方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
WO2019005031A1 (fr) * 2017-06-28 2019-01-03 Intel Corporation Diodes à hétérojonction au nitrure de groupe iii n polaire
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10332876B2 (en) * 2017-09-14 2019-06-25 Infineon Technologies Austria Ag Method of forming compound semiconductor body
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10840264B2 (en) 2017-09-28 2020-11-17 International Business Machines Corporation Ultra-thin-body GaN on insulator device
US11373995B2 (en) 2017-09-29 2022-06-28 Intel Corporation Group III-nitride antenna diode
US11545586B2 (en) 2017-09-29 2023-01-03 Intel Corporation Group III-nitride Schottky diode
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10630285B1 (en) 2017-11-21 2020-04-21 Transphorm Technology, Inc. Switching circuits having drain connected ferrite beads
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN109950323B (zh) * 2017-12-20 2022-04-08 中国科学院苏州纳米技术与纳米仿生研究所 极化超结的ⅲ族氮化物二极管器件及其制作方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
CN110459610A (zh) * 2018-05-08 2019-11-15 山东浪潮华光光电子股份有限公司 一种GaN基斜型栅极HEMT器件及其制备方法
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (fr) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Procédés de dépôt cyclique pour former un matériau contenant du métal et films et structures comprenant le matériau contenant du métal
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10756207B2 (en) 2018-10-12 2020-08-25 Transphorm Technology, Inc. Lateral III-nitride devices including a vertical gate module
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2022525654A (ja) 2019-03-21 2022-05-18 トランスフォーム テクノロジー,インコーポレーテッド Iii族窒化物デバイスのための集積設計
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
EP3783663A1 (fr) * 2019-08-21 2021-02-24 Infineon Technologies AG Dispositif à semiconducteur et procédé
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112993005B (zh) 2019-12-02 2024-01-09 联华电子股份有限公司 具有平台结构的半导体元件及其制作方法
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111129122B (zh) * 2019-12-13 2022-05-06 合肥中科微电子创新中心有限公司 基于氧化镓的异质结半导体结构及其器件
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11749656B2 (en) 2020-06-16 2023-09-05 Transphorm Technology, Inc. Module configurations for integrated III-Nitride devices
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11342248B2 (en) 2020-07-14 2022-05-24 Gan Systems Inc. Embedded die packaging for power semiconductor devices
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP2023537713A (ja) 2020-08-05 2023-09-05 トランスフォーム テクノロジー,インコーポレーテッド 空乏層を有するiii族窒化物デバイス
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11682721B2 (en) * 2021-01-20 2023-06-20 Raytheon Company Asymmetrically angled gate structure and method for making same
CN115249741A (zh) * 2021-04-25 2022-10-28 联华电子股份有限公司 超晶格结构
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113659001A (zh) * 2021-09-14 2021-11-16 苏州英嘉通半导体有限公司 倾斜场板的制造方法、hemt器件及其制造方法
CN113659000B (zh) * 2021-09-14 2024-04-30 苏州英嘉通半导体有限公司 倾斜场板的制造方法、hemt器件及其制造方法
CN117223107A (zh) * 2021-12-27 2023-12-12 华为技术有限公司 一种集成电路、其制备方法及电子设备
CN114709256B (zh) * 2022-05-25 2022-08-23 深圳市时代速信科技有限公司 一种半导体器件和半导体器件的制备方法
WO2024055276A1 (fr) * 2022-09-16 2024-03-21 Innoscience (suzhou) Semiconductor Co., Ltd. Dispositif semi-conducteur à base de nitrure et son procédé de fabrication

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060011915A1 (en) * 2004-07-14 2006-01-19 Kabushiki Kaisha Toshiba Nitride semiconductor device
US20060102929A1 (en) * 2002-12-16 2006-05-18 Yasuhiro Okamoto Field-effect transistor
US20080283844A1 (en) * 2007-05-16 2008-11-20 Oki Electric Industry Co., Ltd. Method for manufacturing a field effect transistor having a field plate

Family Cites Families (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US102929A (en) * 1870-05-10 emery
US4155769A (en) * 1977-11-14 1979-05-22 J. M. Huber Corporation Non-settling coating composition and flatting pigment
US4155169A (en) * 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
DE3571220D1 (en) * 1985-04-17 1989-08-03 Ibm Remote centre compliance system
US4645562A (en) 1985-04-29 1987-02-24 Hughes Aircraft Company Double layer photoresist technique for side-wall profile control in plasma etching processes
US4674351A (en) * 1985-12-23 1987-06-23 Sundstrand Corporation Compliant gear
US4728826A (en) 1986-03-19 1988-03-01 Siemens Aktiengesellschaft MOSFET switch with inductive load
US4821093A (en) 1986-08-18 1989-04-11 The United States Of America As Represented By The Secretary Of The Army Dual channel high electron mobility field effect transistor
JPH07120807B2 (ja) 1986-12-20 1995-12-20 富士通株式会社 定電流半導体装置
US4896239A (en) * 1987-03-30 1990-01-23 Seagate Technology, Inc. Bi-compliant rotor stepper motor for an actuator in a disc drive
US4831897A (en) * 1987-10-05 1989-05-23 Sundstrand Corporation Torsionally compliant gear for use in multiple load path transmissions
US4903536A (en) * 1988-04-21 1990-02-27 Massachusetts Institute Of Technology Compact cable transmission with cable differential
US5501498A (en) * 1988-08-31 1996-03-26 The Trustees Of The University Of Pennsylvania Methods and apparatus for mechanically intelligent grasping
JP2825623B2 (ja) * 1990-07-23 1998-11-18 富士重工業株式会社 組立ロボット用ドリリングユニット
US5329147A (en) 1993-01-04 1994-07-12 Xerox Corporation High voltage integrated flyback circuit in 2 μm CMOS
US6097046A (en) 1993-04-30 2000-08-01 Texas Instruments Incorporated Vertical field effect transistor and diode
US5420489A (en) * 1993-11-12 1995-05-30 Rockwell International Corporation Robotic end-effector with active system compliance and micro-positioning capability
US5740192A (en) 1994-12-19 1998-04-14 Kabushiki Kaisha Toshiba Semiconductor laser
US5646069A (en) 1995-06-07 1997-07-08 Hughes Aircraft Company Fabrication process for Alx In1-x As/Gay In1-y As power HFET ohmic contacts
US5650704A (en) * 1995-06-29 1997-07-22 Massachusetts Institute Of Technology Elastic actuator for precise force control
JP3677350B2 (ja) 1996-06-10 2005-07-27 三菱電機株式会社 半導体装置、及び半導体装置の製造方法
US6008684A (en) 1996-10-23 1999-12-28 Industrial Technology Research Institute CMOS output buffer with CMOS-controlled lateral SCR devices
US5714393A (en) 1996-12-09 1998-02-03 Motorola, Inc. Diode-connected semiconductor device and method of manufacture
JP3222847B2 (ja) 1997-11-14 2001-10-29 松下電工株式会社 双方向形半導体装置
JP2000012950A (ja) 1998-04-23 2000-01-14 Matsushita Electron Corp 半導体レ―ザ装置
US6316793B1 (en) 1998-06-12 2001-11-13 Cree, Inc. Nitride based transistors on semi-insulating silicon carbide substrates
JP3180776B2 (ja) 1998-09-22 2001-06-25 日本電気株式会社 電界効果型トランジスタ
US6344062B1 (en) * 1999-03-18 2002-02-05 The State University Rutgers Biomimetic controller for a multi-finger prosthesis
JP2000058871A (ja) 1999-07-02 2000-02-25 Citizen Watch Co Ltd 電子機器の集積回路
US6984571B1 (en) * 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6586781B2 (en) 2000-02-04 2003-07-01 Cree Lighting Company Group III nitride based FETs and HEMTs with reduced trapping and method for producing the same
JP5130641B2 (ja) 2006-03-31 2013-01-30 サンケン電気株式会社 複合半導体装置
JP3751791B2 (ja) 2000-03-28 2006-03-01 日本電気株式会社 ヘテロ接合電界効果トランジスタ
US7125786B2 (en) 2000-04-11 2006-10-24 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6475889B1 (en) 2000-04-11 2002-11-05 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6580101B2 (en) 2000-04-25 2003-06-17 The Furukawa Electric Co., Ltd. GaN-based compound semiconductor device
US6624452B2 (en) 2000-07-28 2003-09-23 The Regents Of The University Of California Gallium nitride-based HFET and a method for fabricating a gallium nitride-based HFET
US6727531B1 (en) 2000-08-07 2004-04-27 Advanced Technology Materials, Inc. Indium gallium nitride channel high electron mobility transistors, and method of making the same
US6548333B2 (en) 2000-12-01 2003-04-15 Cree, Inc. Aluminum gallium nitride/gallium nitride high electron mobility transistors having a gate contact on a gallium nitride based cap segment
TW466768B (en) 2000-12-30 2001-12-01 Nat Science Council An In0.34Al0.66As0.85Sb0.15/InP HFET utilizing InP channels
US7233028B2 (en) * 2001-02-23 2007-06-19 Nitronex Corporation Gallium nitride material devices and methods of forming the same
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6849882B2 (en) 2001-05-11 2005-02-01 Cree Inc. Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer
AU2002357640A1 (en) 2001-07-24 2003-04-22 Cree, Inc. Insulting gate algan/gan hemt
JP4177048B2 (ja) 2001-11-27 2008-11-05 古河電気工業株式会社 電力変換装置及びそれに用いるGaN系半導体装置
US7030428B2 (en) 2001-12-03 2006-04-18 Cree, Inc. Strain balanced nitride heterojunction transistors
JP2003244943A (ja) 2002-02-13 2003-08-29 Honda Motor Co Ltd 電源装置の昇圧装置
US6876213B2 (en) * 2002-02-22 2005-04-05 Johnstech International Corporation Compliant actuator for IC test fixtures
US7919791B2 (en) 2002-03-25 2011-04-05 Cree, Inc. Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
US6982204B2 (en) 2002-07-16 2006-01-03 Cree, Inc. Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
CA2494363C (fr) * 2002-08-22 2009-04-28 Victhom Human Bionics, Inc. Positionnement de propriocepteurs artificiels des extremites inferieures
AU2003265691A1 (en) 2002-08-26 2004-03-11 University Of Florida GaN-TYPE ENHANCEMENT MOSFET USING HETERO STRUCTURE
KR20050061574A (ko) 2002-10-29 2005-06-22 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 반도체 스위치 및 이를 포함하는 시스템
JP3994956B2 (ja) * 2002-12-18 2007-10-24 ソニー株式会社 ロボット装置、並びに負荷吸収装置及び負荷吸収方法
US7169634B2 (en) 2003-01-15 2007-01-30 Advanced Power Technology, Inc. Design and fabrication of rugged FRED
KR20050090372A (ko) 2003-02-04 2005-09-13 그레이트 웰 세미컨덕터 양-방향 파워 스위치
JP2004260114A (ja) 2003-02-27 2004-09-16 Shin Etsu Handotai Co Ltd 化合物半導体素子
US7112860B2 (en) 2003-03-03 2006-09-26 Cree, Inc. Integrated nitride-based acoustic wave devices and methods of fabricating integrated nitride-based acoustic wave devices
US6979863B2 (en) 2003-04-24 2005-12-27 Cree, Inc. Silicon carbide MOSFETs with integrated antiparallel junction barrier Schottky free wheeling diodes and methods of fabricating the same
CA2427039C (fr) 2003-04-29 2013-08-13 Kinectrics Inc. Commutateur bidirectionnel haute vitesse a semiconducteurs
US7036769B2 (en) * 2003-05-14 2006-05-02 The Regents Of The University Of California Microstructures using carbon fiber composite honeycomb beams
US7078743B2 (en) * 2003-05-15 2006-07-18 Matsushita Electric Industrial Co., Ltd. Field effect transistor semiconductor device
US7033961B1 (en) * 2003-07-15 2006-04-25 Rf Micro Devices, Inc. Epitaxy/substrate release layer
US7274840B2 (en) * 2003-07-23 2007-09-25 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Clean and test for fluid within a reflection optical switch system
US7501669B2 (en) 2003-09-09 2009-03-10 Cree, Inc. Wide bandgap transistor devices with field plates
EP2592655B1 (fr) 2003-09-09 2019-11-06 The Regents of The University of California Fabrication de plaques de champ de grille unique ou multiple
WO2005062745A2 (fr) 2003-10-10 2005-07-14 The Regents Of The University Of California Transistors gan/al/gan a mobilite elevee d'electrons exempts de dispersion
US7268375B2 (en) 2003-10-27 2007-09-11 Sensor Electronic Technology, Inc. Inverted nitride-based semiconductor structure
US6867078B1 (en) 2003-11-19 2005-03-15 Freescale Semiconductor, Inc. Method for forming a microwave field effect transistor with high operating voltage
US7071498B2 (en) 2003-12-17 2006-07-04 Nitronex Corporation Gallium nitride material devices including an electrode-defining layer and methods of forming the same
US20050133816A1 (en) 2003-12-19 2005-06-23 Zhaoyang Fan III-nitride quantum-well field effect transistors
US7901994B2 (en) 2004-01-16 2011-03-08 Cree, Inc. Methods of manufacturing group III nitride semiconductor devices with silicon nitride layers
US7045404B2 (en) 2004-01-16 2006-05-16 Cree, Inc. Nitride-based transistors with a protective layer and a low-damage recess and methods of fabrication thereof
US8174048B2 (en) 2004-01-23 2012-05-08 International Rectifier Corporation III-nitride current control device and method of manufacture
US7382001B2 (en) 2004-01-23 2008-06-03 International Rectifier Corporation Enhancement mode III-nitride FET
US7170111B2 (en) 2004-02-05 2007-01-30 Cree, Inc. Nitride heterojunction transistors having charge-transfer induced energy barriers and methods of fabricating the same
US7612390B2 (en) 2004-02-05 2009-11-03 Cree, Inc. Heterojunction transistors including energy barriers
US7465997B2 (en) 2004-02-12 2008-12-16 International Rectifier Corporation III-nitride bidirectional switch
US7550781B2 (en) 2004-02-12 2009-06-23 International Rectifier Corporation Integrated III-nitride power devices
US7084475B2 (en) 2004-02-17 2006-08-01 Velox Semiconductor Corporation Lateral conduction Schottky diode with plural mesas
US7573078B2 (en) 2004-05-11 2009-08-11 Cree, Inc. Wide bandgap transistors with multiple field plates
US7550783B2 (en) 2004-05-11 2009-06-23 Cree, Inc. Wide bandgap HEMTs with source connected field plates
US7432142B2 (en) 2004-05-20 2008-10-07 Cree, Inc. Methods of fabricating nitride-based transistors having regrown ohmic contact regions
US7332795B2 (en) 2004-05-22 2008-02-19 Cree, Inc. Dielectric passivation for semiconductor devices
JP5084262B2 (ja) 2004-06-24 2012-11-28 日本電気株式会社 半導体装置
JP4744109B2 (ja) 2004-07-20 2011-08-10 トヨタ自動車株式会社 半導体装置とその製造方法
JP2006033723A (ja) 2004-07-21 2006-02-02 Sharp Corp 電力制御用光結合素子およびこの電力制御用光結合素子を用いた電子機器
US7238560B2 (en) 2004-07-23 2007-07-03 Cree, Inc. Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
JP2006114886A (ja) 2004-09-14 2006-04-27 Showa Denko Kk n型III族窒化物半導体積層構造体
US7155786B2 (en) * 2004-10-15 2007-01-02 Illinois Tool Works Inc Quick release buckle
US7108428B2 (en) * 2004-10-19 2006-09-19 American Axle & Manufacturing, Inc. Axle assembly with bearing adjustment mechanism
US7265399B2 (en) 2004-10-29 2007-09-04 Cree, Inc. Asymetric layout structures for transistors and methods of fabricating the same
FR2877227B1 (fr) * 2004-11-03 2008-01-04 Braun Medical Soc Par Actions Systeme medical d'injection a optimisation de therapie
JP4650224B2 (ja) 2004-11-19 2011-03-16 日亜化学工業株式会社 電界効果トランジスタ
JP4637553B2 (ja) 2004-11-22 2011-02-23 パナソニック株式会社 ショットキーバリアダイオード及びそれを用いた集積回路
US7709859B2 (en) 2004-11-23 2010-05-04 Cree, Inc. Cap layers including aluminum nitride for nitride-based transistors
US7456443B2 (en) 2004-11-23 2008-11-25 Cree, Inc. Transistors having buried n-type and p-type regions beneath the source region
US7161194B2 (en) 2004-12-06 2007-01-09 Cree, Inc. High power density and/or linearity transistors
US7834380B2 (en) 2004-12-09 2010-11-16 Panasonic Corporation Field effect transistor and method for fabricating the same
US7217960B2 (en) 2005-01-14 2007-05-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device
US7429534B2 (en) 2005-02-22 2008-09-30 Sensor Electronic Technology, Inc. Etching a nitride-based heterostructure
US7253454B2 (en) 2005-03-03 2007-08-07 Cree, Inc. High electron mobility transistor
US11791385B2 (en) 2005-03-11 2023-10-17 Wolfspeed, Inc. Wide bandgap transistors with gate-source field plates
US7321132B2 (en) 2005-03-15 2008-01-22 Lockheed Martin Corporation Multi-layer structure for use in the fabrication of integrated circuit devices and methods for fabrication of same
US7465967B2 (en) 2005-03-15 2008-12-16 Cree, Inc. Group III nitride field effect transistors (FETS) capable of withstanding high temperature reverse bias test conditions
US7439557B2 (en) * 2005-03-29 2008-10-21 Coldwatt, Inc. Semiconductor device having a lateral channel and contacts on opposing surfaces thereof
JP4912604B2 (ja) 2005-03-30 2012-04-11 住友電工デバイス・イノベーション株式会社 窒化物半導体hemtおよびその製造方法。
JP4756557B2 (ja) 2005-04-22 2011-08-24 ルネサスエレクトロニクス株式会社 半導体装置
US7615774B2 (en) 2005-04-29 2009-11-10 Cree.Inc. Aluminum free group III-nitride based high electron mobility transistors
US7544963B2 (en) 2005-04-29 2009-06-09 Cree, Inc. Binary group III-nitride based high electron mobility transistors
US7326971B2 (en) 2005-06-08 2008-02-05 Cree, Inc. Gallium nitride based high-electron mobility devices
US7364988B2 (en) 2005-06-08 2008-04-29 Cree, Inc. Method of manufacturing gallium nitride based high-electron mobility devices
US7408399B2 (en) 2005-06-27 2008-08-05 International Rectifier Corporation Active driving of normally on, normally off cascoded configuration devices through asymmetrical CMOS
US7855401B2 (en) 2005-06-29 2010-12-21 Cree, Inc. Passivation of wide band-gap based semiconductor devices with hydrogen-free sputtered nitrides
KR101045573B1 (ko) 2005-07-06 2011-07-01 인터내쇼널 렉티파이어 코포레이션 Ⅲ족 질화물 인헨스먼트 모드 소자
EP1899592A1 (fr) * 2005-07-06 2008-03-19 Team Orion Europe SA Moteur a deux temps, notamment pour des modeles reduits de vehicules terrestres, marins ou aeriens
JP4712459B2 (ja) * 2005-07-08 2011-06-29 パナソニック株式会社 トランジスタ及びその動作方法
JP4730529B2 (ja) 2005-07-13 2011-07-20 サンケン電気株式会社 電界効果トランジスタ
US20070018199A1 (en) 2005-07-20 2007-01-25 Cree, Inc. Nitride-based transistors and fabrication methods with an etch stop layer
US7548112B2 (en) 2005-07-21 2009-06-16 Cree, Inc. Switch mode power amplifier using MIS-HEMT with field plate extension
KR100610639B1 (ko) 2005-07-22 2006-08-09 삼성전기주식회사 수직 구조 질화갈륨계 발광다이오드 소자 및 그 제조방법
JP4751150B2 (ja) 2005-08-31 2011-08-17 株式会社東芝 窒化物系半導体装置
WO2008027027A2 (fr) 2005-09-07 2008-03-06 Cree, Inc Transistors robustes avec traitement au fluor
CA2622750C (fr) 2005-09-16 2015-11-03 The Regents Of The University Of California Transistor a effet de champ a enrichissement, au nitrure de gallium/nitrure d'aluminium et de gallium a polarite n
US7482788B2 (en) 2005-10-12 2009-01-27 System General Corp. Buck converter for both full load and light load operations
WO2007059220A2 (fr) 2005-11-15 2007-05-24 The Regents Of The University Of California Procedes permettant de mettre en forme le champ electrique dans des dispositifs electroniques, de passiver des dislocations et des defauts ponctuels, et d'ameliorer le rendement de la luminescence de dispositifs optiques
JP2007149794A (ja) * 2005-11-25 2007-06-14 Matsushita Electric Ind Co Ltd 電界効果トランジスタ
US7932539B2 (en) 2005-11-29 2011-04-26 The Hong Kong University Of Science And Technology Enhancement-mode III-N devices, circuits, and methods
JP2007150074A (ja) 2005-11-29 2007-06-14 Rohm Co Ltd 窒化物半導体発光素子
TW200723624A (en) 2005-12-05 2007-06-16 Univ Nat Chiao Tung Process of producing group III nitride based reflectors
KR100661602B1 (ko) 2005-12-09 2006-12-26 삼성전기주식회사 수직 구조 질화갈륨계 led 소자의 제조방법
JP2007165446A (ja) 2005-12-12 2007-06-28 Oki Electric Ind Co Ltd 半導体素子のオーミックコンタクト構造
US7419892B2 (en) 2005-12-13 2008-09-02 Cree, Inc. Semiconductor devices including implanted regions and protective layers and methods of forming the same
JP5065595B2 (ja) 2005-12-28 2012-11-07 株式会社東芝 窒化物系半導体装置
CN101390201B (zh) 2005-12-28 2010-12-08 日本电气株式会社 场效应晶体管和用于制备场效应晶体管的多层外延膜
US7592211B2 (en) 2006-01-17 2009-09-22 Cree, Inc. Methods of fabricating transistors including supported gate electrodes
US7709269B2 (en) 2006-01-17 2010-05-04 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes
JP2007215331A (ja) 2006-02-10 2007-08-23 Hitachi Ltd 昇圧回路
US7566918B2 (en) 2006-02-23 2009-07-28 Cree, Inc. Nitride based transistors for millimeter wave operation
JP2007242853A (ja) 2006-03-08 2007-09-20 Sanken Electric Co Ltd 半導体基体及びこれを使用した半導体装置
EP2175494B1 (fr) 2006-03-16 2015-03-25 Fujitsu Limited Dispositif semi-conducteur en matériau composite et son procédé de fabrication
TW200742076A (en) 2006-03-17 2007-11-01 Sumitomo Chemical Co Semiconductor field effect transistor and method of manufacturing the same
DE112007000667T5 (de) 2006-03-20 2009-01-29 International Rectifier Corp., El Segundo Vereinigter Gate-Kaskoden-Transistor
US7388236B2 (en) 2006-03-29 2008-06-17 Cree, Inc. High efficiency and/or high power density wide bandgap transistors
US7745851B2 (en) 2006-04-13 2010-06-29 Cree, Inc. Polytype hetero-interface high electron mobility device and method of making
US7629627B2 (en) 2006-04-18 2009-12-08 University Of Massachusetts Field effect transistor with independently biased gates
EP1883141B1 (fr) 2006-07-27 2017-05-24 OSRAM Opto Semiconductors GmbH LD ou DEL avec une couche de revêtement superréseau
TW200830550A (en) 2006-08-18 2008-07-16 Univ California High breakdown enhancement mode gallium nitride based high electron mobility transistors with integrated slant field plate
KR100782430B1 (ko) 2006-09-22 2007-12-05 한국과학기술원 고전력을 위한 내부전계전극을 갖는 갈륨나이트라이드기반의 고전자 이동도 트랜지스터 구조
JP4282708B2 (ja) 2006-10-20 2009-06-24 株式会社東芝 窒化物系半導体装置
US7692263B2 (en) 2006-11-21 2010-04-06 Cree, Inc. High voltage GaN transistors
JP5114947B2 (ja) 2006-12-28 2013-01-09 富士通株式会社 窒化物半導体装置とその製造方法
JP2008199771A (ja) 2007-02-13 2008-08-28 Fujitsu Ten Ltd 昇圧回路制御装置、及び昇圧回路
US7655962B2 (en) 2007-02-23 2010-02-02 Sensor Electronic Technology, Inc. Enhancement mode insulated gate heterostructure field-effect transistor with electrically isolated RF-enhanced source contact
US7501670B2 (en) 2007-03-20 2009-03-10 Velox Semiconductor Corporation Cascode circuit employing a depletion-mode, GaN-based FET
US8110425B2 (en) 2007-03-20 2012-02-07 Luminus Devices, Inc. Laser liftoff structure and related methods
TWI467759B (zh) 2007-03-29 2015-01-01 Univ California 具有低緩衝漏電及低寄生阻抗之氮面高電子遷移電晶體
US20090085065A1 (en) 2007-03-29 2009-04-02 The Regents Of The University Of California Method to fabricate iii-n semiconductor devices on the n-face of layers which are grown in the iii-face direction using wafer bonding and substrate removal
FR2914500B1 (fr) 2007-03-30 2009-11-20 Picogiga Internat Dispositif electronique a contact ohmique ameliore
JP5292716B2 (ja) 2007-03-30 2013-09-18 富士通株式会社 化合物半導体装置
CN101312207B (zh) 2007-05-21 2011-01-05 西安捷威半导体有限公司 增强型hemt器件及其制造方法
JP4478175B2 (ja) 2007-06-26 2010-06-09 株式会社東芝 半導体装置
US8003525B2 (en) * 2007-06-29 2011-08-23 Fujitsu Limited Semiconductor device and method of manufacturing the same
TWI460857B (zh) 2007-08-03 2014-11-11 Univ Hong Kong Science & Techn 可靠之常關型iii族-氮化物主動裝置結構,以及相關方法與系統
JP4775859B2 (ja) 2007-08-24 2011-09-21 シャープ株式会社 窒化物半導体装置とそれを含む電力変換装置
US7875537B2 (en) 2007-08-29 2011-01-25 Cree, Inc. High temperature ion implantation of nitride based HEMTs
EP2887402B1 (fr) 2007-09-12 2019-06-12 Transphorm Inc. Commutateurs bidirectionnels en III nitrure
US7795642B2 (en) 2007-09-14 2010-09-14 Transphorm, Inc. III-nitride devices with recessed gates
US20090075455A1 (en) 2007-09-14 2009-03-19 Umesh Mishra Growing N-polar III-nitride Structures
US7915643B2 (en) 2007-09-17 2011-03-29 Transphorm Inc. Enhancement mode gallium nitride power devices
US20090072269A1 (en) 2007-09-17 2009-03-19 Chang Soo Suh Gallium nitride diodes and integrated components
CN101897029B (zh) 2007-12-10 2015-08-12 特兰斯夫公司 绝缘栅e模式晶体管
US7965126B2 (en) 2008-02-12 2011-06-21 Transphorm Inc. Bridge circuits and their components
CN101971308B (zh) 2008-03-12 2012-12-12 日本电气株式会社 半导体器件
US8519438B2 (en) 2008-04-23 2013-08-27 Transphorm Inc. Enhancement mode III-N HEMTs
US7985986B2 (en) 2008-07-31 2011-07-26 Cree, Inc. Normally-off semiconductor devices
TWI371163B (en) 2008-09-12 2012-08-21 Glacialtech Inc Unidirectional mosfet and applications thereof
US8289065B2 (en) 2008-09-23 2012-10-16 Transphorm Inc. Inductive load power switching circuits
JP2010087076A (ja) 2008-09-30 2010-04-15 Oki Electric Ind Co Ltd 半導体装置
US7898004B2 (en) 2008-12-10 2011-03-01 Transphorm Inc. Semiconductor heterostructure diodes
US7884394B2 (en) 2009-02-09 2011-02-08 Transphorm Inc. III-nitride devices and circuits
US8742459B2 (en) 2009-05-14 2014-06-03 Transphorm Inc. High voltage III-nitride semiconductor devices
US8390000B2 (en) 2009-08-28 2013-03-05 Transphorm Inc. Semiconductor devices with field plates
US8138529B2 (en) 2009-11-02 2012-03-20 Transphorm Inc. Package configurations for low EMI circuits
US8389977B2 (en) 2009-12-10 2013-03-05 Transphorm Inc. Reverse side engineered III-nitride devices
US8624662B2 (en) 2010-02-05 2014-01-07 Transphorm Inc. Semiconductor electronic components and circuits
US8643062B2 (en) 2011-02-02 2014-02-04 Transphorm Inc. III-N device structures and methods
US8786327B2 (en) 2011-02-28 2014-07-22 Transphorm Inc. Electronic components with reactive filters
US8716141B2 (en) 2011-03-04 2014-05-06 Transphorm Inc. Electrode configurations for semiconductor devices
US8598937B2 (en) 2011-10-07 2013-12-03 Transphorm Inc. High power semiconductor electronic components with increased reliability
US8648643B2 (en) 2012-02-24 2014-02-11 Transphorm Inc. Semiconductor power modules and devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060102929A1 (en) * 2002-12-16 2006-05-18 Yasuhiro Okamoto Field-effect transistor
US20060011915A1 (en) * 2004-07-14 2006-01-19 Kabushiki Kaisha Toshiba Nitride semiconductor device
US20080283844A1 (en) * 2007-05-16 2008-11-20 Oki Electric Industry Co., Ltd. Method for manufacturing a field effect transistor having a field plate

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9941399B2 (en) 2008-04-23 2018-04-10 Transphorm Inc. Enhancement mode III-N HEMTs
US9196716B2 (en) 2008-04-23 2015-11-24 Transphorm Inc. Enhancement mode III-N HEMTs
US9690314B2 (en) 2008-09-23 2017-06-27 Transphorm Inc. Inductive load power switching circuits
US9041065B2 (en) 2008-12-10 2015-05-26 Transphorm Inc. Semiconductor heterostructure diodes
US8692294B2 (en) 2009-08-28 2014-04-08 Transphorm Inc. Semiconductor devices with field plates
US9831315B2 (en) 2009-08-28 2017-11-28 Transphorm Inc. Semiconductor devices with field plates
US10199217B2 (en) 2009-12-10 2019-02-05 Transphorm Inc. Methods of forming reverse side engineered III-nitride devices
US9496137B2 (en) 2009-12-10 2016-11-15 Transphorm Inc. Methods of forming reverse side engineered III-nitride devices
US9142659B2 (en) 2011-03-04 2015-09-22 Transphorm Inc. Electrode configurations for semiconductor devices
US9257547B2 (en) 2011-09-13 2016-02-09 Transphorm Inc. III-N device structures having a non-insulating substrate
US9685323B2 (en) 2012-02-03 2017-06-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US9634100B2 (en) 2012-06-27 2017-04-25 Transphorm Inc. Semiconductor devices with integrated hole collectors
US9171910B2 (en) 2012-07-16 2015-10-27 Transphorm Inc. Semiconductor electronic components with integrated current limiters
US9443849B2 (en) 2012-07-16 2016-09-13 Transphorm Inc. Semiconductor electronic components with integrated current limiters
US9087718B2 (en) 2013-03-13 2015-07-21 Transphorm Inc. Enhancement-mode III-nitride devices
US10043898B2 (en) 2013-03-13 2018-08-07 Transphorm Inc. Enhancement-mode III-nitride devices
US10535763B2 (en) 2013-03-13 2020-01-14 Transphorm Inc. Enhancement-mode III-nitride devices
US9865719B2 (en) 2013-03-15 2018-01-09 Transphorm Inc. Carbon doping semiconductor devices
US10043896B2 (en) 2013-07-19 2018-08-07 Transphorm Inc. III-Nitride transistor including a III-N depleting layer
US9842922B2 (en) 2013-07-19 2017-12-12 Transphorm Inc. III-nitride transistor including a p-type depleting layer
US9935190B2 (en) 2014-07-21 2018-04-03 Transphorm Inc. Forming enhancement mode III-nitride devices
US11322599B2 (en) 2016-01-15 2022-05-03 Transphorm Technology, Inc. Enhancement mode III-nitride devices having an Al1-xSixO gate insulator
US10224401B2 (en) 2016-05-31 2019-03-05 Transphorm Inc. III-nitride devices including a graded depleting layer
US10629681B2 (en) 2016-05-31 2020-04-21 Transphorm Technology, Inc. III-nitride devices including a graded depleting layer
US11121216B2 (en) 2016-05-31 2021-09-14 Transphorm Technology, Inc. III-nitride devices including a graded depleting layer

Also Published As

Publication number Publication date
US20170025267A1 (en) 2017-01-26
CN102714219A (zh) 2012-10-03
US9496137B2 (en) 2016-11-15
US10199217B2 (en) 2019-02-05
US20130210220A1 (en) 2013-08-15
WO2011072027A3 (fr) 2011-09-22
CN102714219B (zh) 2015-06-03
US20110140172A1 (en) 2011-06-16
US8389977B2 (en) 2013-03-05

Similar Documents

Publication Publication Date Title
US10199217B2 (en) Methods of forming reverse side engineered III-nitride devices
US11735460B2 (en) Integrated circuit devices with an engineered substrate
TWI538199B (zh) 三族氮化物元件結構與形成方法
US8698162B2 (en) Gallium nitride based semiconductor devices and methods of manufacturing the same
CN111512415B (zh) 用于工程化衬底上的集成式器件的系统和方法
WO2019194042A1 (fr) Procédé de fabrication d'un transistor
US8546207B2 (en) Method for fabricating semiconductor wafers for the integration of silicon components with HEMTs, and appropriate semiconductor layer arrangement
CN115663015B (zh) 一种半导体器件结构及其制备方法
US20230420542A1 (en) Method for producing a transistor with a high degree of electron mobility, and produced transistor

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080056241.8

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10836619

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10836619

Country of ref document: EP

Kind code of ref document: A2