TWI398403B - 以離子性液體改良嵌段共聚物薄膜自我組裝之長程有序的方法 - Google Patents

以離子性液體改良嵌段共聚物薄膜自我組裝之長程有序的方法 Download PDF

Info

Publication number
TWI398403B
TWI398403B TW098108789A TW98108789A TWI398403B TW I398403 B TWI398403 B TW I398403B TW 098108789 A TW098108789 A TW 098108789A TW 98108789 A TW98108789 A TW 98108789A TW I398403 B TWI398403 B TW I398403B
Authority
TW
Taiwan
Prior art keywords
block copolymer
block
substrate
trench
polymer
Prior art date
Application number
TW098108789A
Other languages
English (en)
Other versions
TW201004862A (en
Inventor
Jennifer Kahl Regner
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW201004862A publication Critical patent/TW201004862A/zh
Application granted granted Critical
Publication of TWI398403B publication Critical patent/TWI398403B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/34Applying different liquids or other fluent materials simultaneously
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • B05D3/0254After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L53/00Compositions of block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Compositions of derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L53/00Compositions of block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Compositions of derivatives of such polymers
    • C08L53/005Modified block copolymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/12Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain a coating with specific electrical properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24174Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
    • Y10T428/24182Inward from edge of web or sheet

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Graft Or Block Polymers (AREA)
  • Laminated Bodies (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)
  • Formation Of Insulating Films (AREA)
  • Micromachines (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Description

以離子性液體改良嵌段共聚物薄膜自我組裝之長程有序的方法
本發明之實施例係關於製造自我組裝嵌段共聚物薄膜之方法及自此等方法產生的裝置。
隨著奈米級機械、電力、化學及生物裝置及系統的加速發展,人們需要用以製造奈米級裝置及組件之新穎方法及材料。隨著半導體特徵之尺寸縮小至藉由習用微影術不可容易地獲得之大小,與導線進行電接觸已變成一個重大挑戰。光學微影蝕刻加工方法難以在低於60奈米級別上製造結構及特徵。自我組裝二嵌段共聚物之使用為在奈米級尺寸上進行圖案化提供另一途徑。二嵌段共聚物膜可藉由聚合物構成嵌段在退火後(例如,藉由在高於該聚合物之玻璃態轉變溫度時實施熱退火或藉由溶劑退火)進行微相分離而自發地組裝成週期性結構,從而在奈米級尺寸上形成有序結構域。
可藉由二嵌段共聚物AB嵌段之分子量及體積分率來控制膜形態(包括微相分離結構域之大小及形狀)以主要產生薄片狀、圓柱體或球形形態。舉例而言,對於二嵌段聚合物兩個嵌段(AB)之比例大於約80:20的體積分率而言,嵌段共聚物膜可微相分離並自我組裝成週期性球形結構域,其中聚合物A之基體包圍聚合物B之球體。對於兩嵌段之比例介於約60:40與80:20間之情形而言,該二嵌段共聚物組裝成週期性六方形密堆積或蜂窩狀陣列之聚合物B在聚合物A基體內之圓柱體。對於介於約50:50與60:40間之比例而言,可形成該等嵌段之薄片狀結構域或交替條紋圖案。結構域大小通常介於5-50nm之間。
人們已試圖控制自我組裝嵌段共聚物材料之定向及長程有序。諸如氯化鈉及氯化鉀(NaCl、KCl)等鹽已經顯示出可改良嵌段共聚物材料在基板上之長程有序。然而,鈉(Na)及鉀(K)具有高度移動性,此在加工期間可能污染其他裝置結構。其他研究向二嵌段共聚物中添加有機表面活性劑以改良在自我組裝期間之長程有序。然而,在對嵌段共聚物材料實施高溫/真空退火期間,該有機表面活性劑會在自我組裝制程完成前自膜蒸發出,從而限制了可使用的退火條件。
提供可克服此等問題並提供自我組裝聚合物結構域之增強長程有序的製造有序奈米結構膜之方法可為有用的。
參照附圖,下列說明提供本發明實施例之裝置及方法的說明性實例。此說明僅出於闡明本發明之目的而非出於對本發明加以限制之目的。
在本申請案之上下文中,術語「半導體基板」或「半導電性基板」或「半導電性晶圓片段」或「晶圓片段」或「晶圓」應理解為意指包含半導體材料(包括但不限於體型半導電性材料)之任一構造,例如,半導體晶圓(單獨或其上包含其他材料之總成)及半導電性材料層(單獨或包含其他材料之總成)。術語「基板」係指任一支承結構,包括但不限於上述半導電性基板、晶圓片段或晶圓。
如本文所用「L o 」係若干結構之固有週期性或間距值(區段週期或重複單元),該等結構在退火後會自一自我組裝(SA)嵌段共聚物自我組裝。如本文所用「L B 」係嵌段共聚物與一種或多種其構成均聚物之摻合物的週期性或間距值。「L 」在本文中用以指示該嵌段共聚物或摻合物之中心至中心圓柱體間距或各圓柱體之間隔,且對於純淨嵌段共聚物而言,其等於「L o 」且對於共聚物摻合物而言,其等於「L B 」。
在本發明之實施例中,藉由嵌段共聚物之經引導自我組裝來製備聚合物材料(例如,膜、層),其中兩種聚合物結構域皆係在空氣介面處。嵌段共聚物材料可藉由聚合物構成嵌段在退火後進行微相分離而自發地組裝成週期性結構,從而在奈米級尺寸上形成有序結構域。在本發明之實施例中,在溝槽內自薄片態嵌段共聚物材料形成與溝槽側壁對齊之有序線性陣列圖案。在其他本發明實施例中,在溝槽內自圓柱態嵌段共聚物材料形成一維(1-D)垂直定向圓柱體陣列。
本發明之實施例係關於藉由向嵌段共聚物材料中添加適當離子性液體而賦予的改良長程有序,所選擇此離子性液體在該嵌段共聚物摻合物中應可實現一個或多個功能,例如,表面活性劑/增塑劑作用及相選擇性錯合作用。
在自我組裝後,隨後可使用在該基板上所形成垂直定向薄片或圓柱體圖案以(例如)形成可藉由選擇性地移除該自我組裝嵌段共聚物之一種嵌段來在下伏基板中圖案化奈米大小特徵之蝕刻遮罩。由於此方法中所涉及結構域大小及週期(L )係由嵌段共聚物(MW)之鏈長度確定,因此解析度可超過其他技術(例如,習用光微影術)。使用該技術之處理成本顯著低於遠紫外線(EUV)光微影術,但其具有相當的解析度。
參照圖1-6,繪示一種根據本發明一個實施例製造可界定一列奈米級、垂直定向薄片之自我組裝嵌段共聚物材料的方法。
所述實施例涉及與製圖外延技術組合之經離子性液體調配之薄片態嵌段共聚物材料的退火,其利用微影蝕刻界定之溝槽作為引導,該溝槽具有:底面,其由對兩種聚合物嵌段中性潤濕之材料組成;及側壁和端部,其對一種聚合物嵌段優先潤濕並在引導該嵌段共聚物材料自我組裝中起限制造用。在退火時,該嵌段共聚物材料會自我組裝成若干列或若干行垂直於該溝槽底面定向並與該等側壁對齊之薄片。
如在圖1-1A中所描繪,提供基板10,其可為(例如)矽、氧化矽、氮化矽、氧氮化矽、氧碳化矽、及其他材料。
在所繪示實施例中,在基板10上形成中性潤濕材料12(例如,無規共聚物)。材料層14(或一個或多個材料層)隨後可在該中性潤濕材料上形成並經蝕刻以形成在圖2-2A中所示溝槽16。材料層14之若干部分在該等溝槽之間及外部形成臺面或間隔體18。溝槽16具有下述結構:相對側壁20、相對端部22、底面24、寬度(w t )、長度(l t )及深度(D t )。
在圖3-4中所繪示另一實施例中,材料層14'可形成於基板10'上,經蝕刻以形成溝槽16',且中性潤濕材料12'隨後可形成於溝槽底面24'上。舉例而言,可使無規共聚物材料沈積於該等溝槽內並交聯以形成中性潤濕材料層。接下來可移除該等溝槽外部表面上(例如,間隔體18'上)之材料(例如,未經交聯之無規共聚物)。
可使用具有能夠以L級別(例如,約10-100nm)進行圖案化之暴露系統的微影蝕刻工具在材料層14中形成單個或多個溝槽16(如圖所示)。此等暴露系統包含(例如)如此項技術中已知及使用的遠紫外光(EUV)微影術、近接X射線及電子束(e-beam)微影術。習用光微影術可獲得(最小)約58nm特徵。
亦可使用一稱為「間距加倍」或「間距增倍」之方法使光微影技術能超越其最小間距,如在(例如)美國專利第5,328,810號(Lowrey等人)、美國專利第7,115,525號(Abatchev等人)、US 2006/0281266(Wells)及US 2007/0023805(Wells)中所述。簡言之,線路圖案係以光微影蝕刻方式形成於上覆消耗性材料層之光阻劑材料中,而該光阻劑材料上覆基板,該可消耗材料層經蝕刻以形成預留位或心軸,剝離該光阻劑,於該等心軸之側部上形成間隔體,且接著移除該等心軸,從而留下該等間隔體作為圖案化該基板之遮罩。因此,當初始光微影術形成界定一個特徵及一個空間之圖案時,相同寬度現界定兩個特徵及兩個空間,其中該等空間由該等間隔體界定。因此,能夠藉由光微影技術形成之最小特徵大小有效地下降至約30nm或更小。
概言之,溝槽側壁、邊緣及底面可影響在該等溝槽內之奈米結構陣列之結構化。影響在該等溝槽內形成單行或多行垂直定向薄片之因素包括溝槽寬度(w t )、擬達成期望間距(L )之嵌段共聚物或摻合物的調配、及溝槽內嵌段共聚物材料在退火時之厚度(t )。溝槽側壁在x軸及y軸上之邊界條件產生其中每一溝槽含有n個薄片之結構。
在本發明實施例中,溝槽之寬度(w t )可依據垂直定向薄片之期望列數(例如,n 行薄片)而有所變化。溝槽之寬度(w t )通常為嵌段共聚物材料之多個固有間距值(L ),等於或約為n *L ,通常介於約n *10至約n *100nm之間(其中n 係特徵或結構(例如,薄片)之數量)。
在所繪示實施例中,構造具有大於嵌段共聚物(或摻合物)之L 或間距值之寬度(w t )的溝槽16以便於薄片態嵌段共聚物材料會在退火時自我組裝從而沿該溝槽之長度形成跨越該溝槽之寬度(w t )並與側壁對齊之多列薄片的單層,其中具有中心至中心間距距離(p )之結構域(例如,PMMA薄片)的重複間隔係約L 值。溝槽16之長度(l t )依據各行薄片之期望長度而定。在兩毗鄰溝槽間之臺面或間隔體18的寬度可有所變化且通常為約L 至約n *L 。在某些實施例中,該溝槽尺寸係約50-3,500nm寬(w t )且長度(l t )為約100-25,000nm,深度(D t )為約10-500nm。
在所述實施例中,溝槽底面24結構化為對該嵌段共聚物之兩種嵌段具有中性潤濕(均等親和力)以引導垂直於該等溝槽底面定向之薄片形聚合物結構域形成,且溝槽側壁20及端部22結構化為受該嵌段共聚物之一種嵌段優先潤濕以在該等聚合物嵌段自我組裝時引導該等薄片與該等側壁平行排列並對齊。
為了提供優先潤濕側壁及端部,材料層14可由本質上優先潤濕次要(優先)聚合物嵌段(例如,PS-b-PMMA材料之PMMA)之材料形成,或者,在其他實施例中,可將優先潤濕材料選擇性地施加於溝槽16之側壁上。舉例而言,材料層14可由本質上優先潤濕材料組成,例如,潔淨的矽表面(及自生氧化物)、氧化物(例如,氧化矽、SiOx )、氮化矽、氧碳化矽、氧化銦錫(ITO)、氧氮化矽、及抗蝕劑材料(例如,以甲基丙烯酸酯為主之抗蝕劑及聚二甲基戊二醯亞胺抗蝕劑)以及其他材料。此等材料尤其對PMMA或PVP呈現優先潤濕。
在利用PS-b-PMMA之其他實施例中,可將諸如經含-OH部分改性之聚甲基丙烯酸甲酯(PMMA)聚合物(例如,甲基丙烯酸羥乙基酯)等優先潤濕材料選擇性地施加於溝槽之側壁上,在其中中性潤濕材料12位於溝槽底面24上適當位置之實施例中,如在圖2A及圖4中所示。否則,如在圖3中所示,在溝槽底面24'處之基板10'可由與經OH改性之PMMA不反應之材料組成。舉例而言,可藉由旋轉塗覆且隨後加熱(例如,至約170℃)以使端OH基團末端接枝至該等溝槽之氧化物側壁20及端部22來施加經OH改性之PMMA。未接枝之材料可藉由用適當的溶劑(例如,甲苯)沖洗來移除。參見,例如,Mansky等人,Science ,1997,275,1458-1460及In等人,Langmuir ,2006,22,7855-7860。
化學中性潤濕溝槽底面24使該嵌段共聚物材料之兩種嵌段潤濕該溝槽之底面並形成垂直定向薄片佈局。中性潤濕材料12可藉由下述步驟提供:將中性潤濕聚合物(例如,中性潤濕無規共聚物)施加至基板10上、隨後形成上覆材料層14並蝕刻溝槽16以暴露下伏中性潤濕材料,如在圖2-2A中所繪示。
在圖3-4所繪示另一實施例中,中性潤濕材料可在形成溝槽16'後藉由澆注或旋轉塗覆至該等溝槽中而作為(例如)毯層施加,如在圖4中所描繪。舉例而言,可施加無規共聚物材料且隨後對其實施熱處理以使該材料藉由毛細管作用流入該等溝槽之底部,此產生由經交聯之中性潤濕無規共聚物組成之層(墊)12'。在另一實施例中,該等溝槽內之無規共聚物材料可曝光(例如,經由遮罩或光罩)以使該無規共聚物在該等溝槽內交聯從而形成中性潤濕材料12'。接下來可移除該等溝槽外部(例如,在間隔體18'上)未經交聯之無規共聚物材料。
中性潤濕表面可以特定方式加以製備,此可藉由施加由與彼等存于嵌段共聚物中者相同之單體組成且經調整以使每一單體之莫耳分率適合形成中性潤濕表面的無規共聚物來達成。舉例而言,在使用PS-b-PMMA嵌段共聚物時,中性潤濕材料12可自光可交聯之無規PS-r-PMMA之薄膜形成,其展示對PS及PMMA之非優先或中性潤濕,該中性潤濕材料可澆注至基板10上(例如,藉助旋轉塗覆)。該無規共聚物材料可藉由化學接枝(於氧化物基板上)或藉由熱或光交聯(任一表面)而固定在適當位置以形成對PS及PMMA中性潤濕之墊,且由於該交聯,在嵌段共聚物材料澆注至其上時不易溶解。
在其中基板10係矽(及自生氧化物)之實施例中,可藉由氫端接矽來提供PS-b-PMMA之另一中性潤濕表面。可(例如)藉助氫電漿來蝕刻溝槽16之底面24以移除氧化物材料並形成氫端接矽,該氫端接矽係對嵌段共聚物材料之兩種嵌段具有均等親和力之中性潤濕材料。可藉助習用製程製備氫端接矽,例如,藉由暴露於氟化氫(HF)及緩衝HF或氟化銨(NH4 F)之水性溶液對矽基板(存在自生氧化物,約12-15)實施氟離子蝕刻,藉由HF蒸氣處理或藉由氫電漿處理(例如,原子氫)。
H-端接矽基板可藉由將無規共聚物(例如,PS-r-PMMA、PS-r-PVP等)選擇性地接枝至基板上以產生對應嵌段共聚物(例如,PS-b-PMMA、PS-b-PVP等)之中性潤濕表面來進一步加以處理。舉例而言,藉由使用可將該聚合物連接至H-端接矽表面之二烯連接基團(例如,二乙烯基苯)對苯乙烯與甲基丙烯酸甲酯實施原位自由基聚合以產生約10-15nm厚之膜來提供PS-r-PMMA無規共聚物之中性潤濕層。
在又一實施例中,可藉由接枝基於三氯矽烷之SAM(例如,接枝至氧化物(例如,SiO2 )之3-(對-甲氧基苯基)丙基三氯矽烷)的自我組裝單層(SAM)來提供中性潤濕表面(例如,PS-b-PMMA及PS-b-PEO),例如,如由D.H. Park在Nanotechnolog 18(2007),第355304頁中所述。
對PS-b-PMMA中性潤濕之表面亦可藉由旋轉塗覆諸如苯乙烯及甲基丙烯酸甲酯之苯并環丁烯-或疊氮基甲基苯乙烯-官能化無規共聚物(例如,聚(苯乙烯-r-苯并環丁烯-r-甲基丙烯酸甲酯(PS-r-PMMA-r-BCB))等光或熱可交聯無規共聚物之毯層來製備。舉例而言,此無規共聚物可包括約42%的PMMA、約(58-x)%的PS及x%(例如,約2-3%)的聚苯并環丁烯或聚(對-疊氮基甲基苯乙烯))。疊氮基甲基苯乙烯-官能化無規共聚物可經UV光交聯(例如,1-5MW/cm^2暴露約15秒至約30分鐘)或經熱交聯(例如,在約170℃下交聯約4小時)以形成作為中性潤濕層之交聯聚合物墊。苯并環丁烯-官能化無規共聚物可經熱交聯(例如,在約200℃下交聯約4小時或在約250℃下交聯約10分鐘)。
在另一實施例中,可藉由在約160℃下加熱約48小時使聚苯乙烯(PS)、具有羥基之聚甲基丙烯酸酯(PMMA)(例如,甲基丙烯酸2-羥基乙基酯(P(S-r-MMA-r-HEMA))之中性潤濕無規共聚物(例如,約58% PS)作為厚約5-10nm之中性潤濕層選擇性地接枝至基板10(例如,氧化物)上。參見,例如,In等人,Langmuir ,2006,22,7855-7860。
在又一實施例中,羥基端接均聚物與相應低分子量嵌段共聚物之摻合物可接枝(共價鍵結)至基板上以形成PS-b-PMMA及PS-b-P2VP以及其他嵌段共聚物之中性潤濕介面層(例如,約4-5nm)。該嵌段共聚物在接枝前可起到乳化均聚物摻合物的作用。舉例而言,可將約20-50wt-%(或約30-40wt-%)OH-端接均聚物(例如,Mn =6K)及約80-50wt-%(或約70-60wt-%)低分子量嵌段共聚物(例如,5K-5K)之摻合物的約1wt-%溶液(例如,在甲苯中)旋轉塗覆於基板10(例如,SiO2 )上、加熱(烘烤)(例如,在160℃下)並藉由(例如)用溶劑(例如,甲苯)沖洗移除未接枝之(未鍵結之)聚合物材料。舉例而言,可自約30wt-% PS-OH(Mn =6K)及PMMA-OH(Mn =6K)(重量比率為4:6)與約70wt-% PS-b-PMMA(5K-5K)之摻合物或自PS-OH(6K)、P2VP-OH(6K)與PS-b-2PVP(8K-8K)之三元摻合物等製備該中性潤濕材料。
現在參照圖5-5A,固有間距為或約為L o 之自我組裝薄片態嵌段共聚物材料26(或經摻和以具有為或約為L B 之間距之嵌段共聚物與均聚物的三元摻合物)隨後可沈積至溝槽16中,通常作為膜。嵌段共聚物材料之薄層26a可沈積於該等溝槽外部之材料層14上,例如,在臺面/間隔體18上。
在本發明之實施例中,嵌段共聚物材料與離子性液體組合。
該嵌段共聚物或摻合物經構造以使所有該等聚合物嵌段在退火期間對溝槽底面上之化學中性潤濕材料會具有均等偏好。二嵌段共聚物之實例尤其包括(例如)聚(苯乙烯)-b-聚(甲基丙烯酸甲酯)(PS-b-PMMA)或其他PS-b-聚(丙烯酸酯)或PS-b-聚(甲基丙烯酸酯)、聚(苯乙烯)-b-聚(乙烯基吡啶)(PS-b-PVP)、聚(苯乙烯)-b-聚(氧化乙烯)(PS-b-PEO)、聚(苯乙烯)-b-聚(丙交酯)(PS-b-PLA)、聚(苯乙烯)-b-聚(丙烯酸第三丁基酯)(PS-b-PtBA)、及聚(苯乙烯)-b-聚(乙烯-共-丁烯(PS-b-(PS-共-PB))、聚(異戊二烯)-b-聚(甲基丙烯酸甲酯)(PI-b-PMMA)、及聚(異戊二烯)-b-聚(氧化乙烯)(PI-b-PEO),其中在所繪示實施例中使用PS-b-PMMA。該嵌段共聚物之一種聚合物嵌段應能夠容易地選擇性地移除以便於自經退火膜製造蝕刻遮罩或模板。
可利用的二嵌段共聚物之另一實例係具有可解離連接點之PS-b-PEO嵌段共聚物,例如,在PS與PEO嵌段間之三苯甲基(trityl)醚連接基團,其在溫和條件下可選擇性地移除PEO結構域。存在具有鉀或鋰陽離子之極性基團錯合之文獻實例,但此等元素會對半導體裝置產生高度移動性污染物。在某些實施例中,諸如三氟甲烷磺酸1-乙基-3-甲基咪唑鎓等有效濃度之極性離子性液體在無需使用諸如鉀或鋰等潛在污染物時可用于與嵌段共聚物之供體原子(例如,PMMA或PEO中之氧原子)有效地錯合。
可利用的二嵌段共聚物之另一實例係摻雜有經PEO塗覆之金奈米粒子的PS-b-PMMA嵌段共聚物,該等金奈米粒子之大小係小於自我組裝圓柱體之直徑(Park等人,Macromolecules ,2007,40(11),8119-8124)。
儘管在闡釋性實施例中使用二嵌段共聚物,但亦可使用其他類型之嵌段共聚物(即,三嵌段或三嵌段或多嵌段共聚物)。三嵌段共聚物之實例包括諸如聚(苯乙烯-b-甲基丙烯酸甲酯-b-氧化乙烯)(PS-b-PMMA-b-PEO)等ABC聚合物及諸如(尤其是)PS-PMMA-PS、PMMA-PS-PMMA及PS-b-PI-b-PS等ABA共聚物。
膜形態(包含微相分離之結構域的結構域大小及週期(L ))可藉由嵌段共聚物之鏈長度(分子量,MW)及二嵌段共聚物之AB嵌段的體積分率加以控制以產生期望形態(例如,圓柱體、薄片等)。在其中使用形成薄片之二嵌段共聚物的實施例中,兩種嵌段(AB)之體積分率通常在介於約50:50與60:40間之比率下以使該二嵌段共聚物會微相分離並自我組裝成聚合物A與聚合物B之交替薄片結構域。形成薄片之對稱性二嵌段共聚物之實例係重量比率為約50:50(PS:PMMA)且總分子量(Mn )為約51kg/mol之PS-b-PMMA(L ~35nm)以形成20nm寬薄片(例如,寬度為約0.5*L )。為了獲得其中薄片表面被暴露之經退火膜,聚合物嵌段(例如,PS及PMMA)在常用退火溫度下之Chi 值通常較小以使空氣介面對兩種嵌段具有均等潤濕性或非選擇性潤濕性。
可藉由(例如)調節嵌段共聚物之分子量來改變該嵌段共聚物之L 值。嵌段共聚物材料亦調配為二元或三元摻合物(其包含嵌段共聚物及作為嵌段共聚物之聚合物嵌段的同類型聚合物之一種或多種均聚物(HP))以產生可增大聚合物結構域之大小及增加L 值的摻合物。該摻合物之均聚物的濃度可介於0重量%至約60重量%之間。三元二嵌段共聚物摻合物之實例係PS-b-PMMA/PS/PMMA摻合物,例如,60wt-% 46K/21K PS-b-PMMA,20wt-% 20K聚苯乙烯及20wt-% 20K聚(甲基丙烯酸甲酯)。另一實例係60:20:20(wt-%)PS-b-PEO/PS/PEO之摻合物、或約85-90wt-% PS-b-PEO及至多10-15wt-% PEO之摻合物;據信,所添加PEO均聚物至少在一定程度上可起到將PEO結構域之表面能量降低至PS之表面能量的作用。
該嵌段共聚物材料與相容性離子性液體(或離子性液體之摻合物)組合。
離子性液體之特徵通常在於非水性熔融鹽樣化合物,其可保持液體低於100℃且在可忽視至極低蒸氣壓下不揮發。獨特的特徵係該化合物之低溫熔點。熔點可低於室溫或在相對低的高溫(例如,150℃)下以使該(等)離子性液體在其液體狀態時可與聚合物膜相容。離子性液體可溶于水、有機溶劑、或可溶于水與有機溶劑二者中。離子性液體係由陽離子與陰離子構成且可藉由通式B+ A- 表示,其中B+ 係陽離子且A- 係陰離子。
本發明之實施例利用離子性液體之固有特徵(包括,在室溫下呈液態、十分低的揮發性及/或藉由特定陽離子/陰離子配對可調節之溶解度特徵範圍)來製造有序奈米結構之膜,此可克服某些用以增強自我組裝聚合物結構域之長程有序性之現用添加劑所存在的限制性。
在本發明之實施例中,利用可起表面活性劑作用之離子性液體並對該離子性液體本身實施結構化以包括非極性組份(例如,烷基鏈)及極性或水溶性組份以與該嵌段共聚物材料之兩個相互相作用,類似於習用陰離子型或陽離子型表面活性劑。在其中期望作用係嵌段共聚物極性嵌段之一種供體原子錯合的其他本發明實施例中,該離子性液體之陽離子(B+ )與陰離子(A- )經鹼化以使最終化合物或分子含有可與一個嵌段共聚物材料相之反應性(極性)基團(例如,聚(氧化乙烯)(PEO)或聚(甲基丙烯酸甲酯)(PMMA)之含氧官能團、或聚(乙烯基吡啶)(PVP)之含氮官能團)選擇性地錯合之陽離子。在某些實施例中,該有機陽離子可能較小以增強與該嵌段共聚物材料之相互作用。在某些實施例中,該離子性液體係由有機陽離子及相對較小的有機或無機陰離子組成。
另外,在該方法之實施例中,該離子性液體不包括諸如鈉、鉀、或鋰等可在半導體處理中成為污染物之元素。
有機陽離子(B+ )類別尤其包括單-、二-及三-經取代之咪唑鎓(例如,1-烷基-3-甲基-咪唑鎓)、吡啶鎓(例如,1-烷基吡啶鎓)、吡咯啶鎓(例如,N-甲基-N-烷基吡咯啶鎓、N-丁基-N-甲基吡咯啶鎓、N,N-二甲基吡咯啶鎓)、鏻(例如,四烷基鏻、四級鏻)、銨(例如,四烷基銨、四級銨、芳香族銨)、胍鎓、脲鎓、異脲鎓、硫脲鎓、及鋶(例如,三級鋶)。
陰離子(A- )類別尤其包括甲酸根、硫酸根(例如,烷基硫酸根、辛基硫酸根)、磺酸根(例如,甲烷磺酸根、三氟甲烷磺酸酯、p -甲苯磺酸根)、醯胺、醯亞胺(例如,雙(三氟甲烷)磺醯亞胺)、甲烷、硼酸根(例如,四氟硼酸根、有機硼酸根)、磷酸根(例如,烷基磷酸根、六氟磷酸根、叁(五氟乙基)三氟磷酸根或FAP)、羥乙酸根、銻酸根、四羰基鈷、三氟乙酸根、及癸酸根。儘管對於半導體制程較不佳,但鹵素(例如,氯、溴、碘)可作為另一類陰離子(A- )。在某些實施例中,陰離子係(例如)諸如甲酸根等未經鹵化有機陰離子、烷基硫酸根、烷基磷酸根或羥乙酸根。
離子性液體尤其闡述於(例如)美國專利第7,252,791號(Wasserscheid等人)、美國專利第6,998,152號(Uhlenbrock;Micron Technology,Inc.)、美國專利第6,924,341號(Mays等人,UAB Research Foundation)及美國公開申請案2006/0211871(Dai等人)中。離子性液體之非限制性實例尤其包括乙基硫酸1-乙基-3-甲基-咪唑鎓(Emim EtOSO3 )、1-乙基-3-甲基-咪唑鎓雙(三氟甲基磺醯基)醯亞胺([Emim][TFSI])、四氟硼酸1-乙基-3-甲基-咪唑鎓([Emim][BF4 ])、四氟硼酸1-丁基-3-甲基-咪唑鎓([Bmim][BF4 ])、六氟磷酸1-丁基-3-甲基-咪唑鎓([Bmim][PF6 ])、氫氧化1-丁基-3-甲基-咪唑鎓([Bmim]OH)、四氟硼酸1-(2-羥乙基)-3-甲基咪唑鎓([HEmim][BF4 ])、及甲基硫酸三-(2-羥乙基)-甲基銨(MTEOA MeOSO3 )。
離子性液體(鹽)可溶於(例如)水或有機溶劑(例如,甲醇或丙酮)中且與嵌段共聚物材料組合,其可溶於有機溶劑,例如,甲苯、苯、甲苯、二甲苯、二甲氧基乙烷、乙酸乙酯、環己酮等;或者,儘管不太期望某些半導體制程,但亦可使用鹵化溶劑,例如,二氯甲烷(CH2 Cl2 )、二氯乙烷(CH2 Cl2 )、氯仿、二氯甲烷、氯仿/辛烷混合物等。
該嵌段共聚物材料亦可與兩種或更多種相容性離子性液體之混合物或摻合物組合。
在某些實施例中,該離子性液體/嵌段聚合物組合物或摻合物可包括可有效地改良在澆注期間之配合或氫鍵結並促進在各聚合物結構域於退火期間更迅速地或以更佳長程有序性自我組裝之量的水,舉例而言,以該組合物之總重量計,約0.1-10重量%之水。
該嵌段共聚物與該離子性液體二者之結構可經調整以滿足該應用之長度規定、定向、組織及功能要求。該離子性液體在該嵌段共聚物材料中之濃度可有所變化且在該方法之實施例中,係約0.1-50重量%或約20-50重量%,其餘部分為嵌段共聚物。在某些實施例中,嵌段共聚物與離子性液體之比率(例如,以重量%計)係依據可用於與離子性液體之陽離子分子(B+ )選擇性地配合或錯合之所選擇嵌段共聚物結構域(例如,PEO)的反應性原子(例如,氧原子及/或氮原子)數量來確定,例如,PEO之氧與該離子性液體之陽離子(B+ )的莫耳比率或單體與離子性液體比率([O]/[K],例如,64)。在其他實施例中,該離子性液體可以較高數量(例如,約1-50重量%)納入以提供錯合及可有效地增強鏈移動性並促進聚合物結構域在退火期間自我組裝之量的表面活性劑。
在某些實施例中,一種聚合物嵌段(例如,次要結構域)在退火期間可選擇性地經摻雜或結構化以納入無機組份或物質(例如,填充劑組份),其不會干擾離子性液體功能且在選擇性地移除其他聚合物結構域(例如,主要結構域)或(在某些實施例中)移除主要及次要聚合物結構域時會作為抗蝕劑材料(例如,遮罩)保持在基板上。納入無機物質之嵌段共聚物可藉由業內已知技術來製備,例如,藉由直接合成技術、或藉由與一種聚合物嵌段之反應性基團錯合或配合納入無機物質之原子。
舉例而言,該嵌段共聚物可與無機耐熱性材料或其前體摻和在一起,該無機耐熱性材料或其前體對該嵌段共聚物之一種聚合物鏈具有高親和性且在退火期間會與聚合物相分開,該無機耐熱性材料或其前體可為(例如)金屬鹽、有機金屬鹽(例如,乙醯丙酮酸鋰(lithium 2,4-pentanedionate)、乙醯丙酮酸釕(ruthenium 2,4-pentanedionate)等)、金屬氧化物凝膠、金屬烷氧化物聚合物(例如,烷氧基矽烷及烷基烷氧基矽烷)、金屬氧化物前體(例如,聚倍半氧矽烷)、金屬氮化物前體及金屬微細粒子。金屬之實例尤其包括矽(Si)、鉻(Cr)、鈦(Ti)、鋁(Al)、鉬(Mo)、金(Au)、鉑(Pt)、釕(Ru)、鋯(Zr)、鎢(W)、釩(V)、鉛(Pb)、及鋅(Zn)。參見,例如,US 2007/0222995及US 2007/0289943(Lu;Agilent Technologies Inc.)及USP 6,565,763(Asakawa等人)。
納入無機物質之嵌段共聚物亦可藉由直接合成技術(例如,如在US 2007/0222995中所述)來製備。舉例而言,不含金屬之單體(例如,苯乙烯單體)以及含有無機物質之單體(例如,二茂鐵基乙基甲基矽烷單體)的依序活性聚合可用于合成含有無機物質之嵌段共聚物(例如,聚(苯乙烯)-b-聚(二茂鐵基甲基乙基矽烷)(PS-b-PFEMS)。
納入無機物質之二嵌段共聚物的實例尤其包括聚(苯乙烯)-b-聚(二甲基矽氧烷)(PS-b-PDMS)、聚(異戊二烯)-b-聚(二甲基矽氧烷)(PI-b-PDMS)、PS-b-PFEMS、聚(異戊二烯)-b-聚(二茂鐵基甲基乙基矽烷)(PI-b-PFEMS)、聚(苯乙烯)-b-聚(乙烯基甲基矽氧烷)(PS-b-PVMS)、聚(苯乙烯)-b-聚(丁二烯)(PS-b-PB)(其中該聚丁二烯(PB)可藉由四氧化鋨(OSO4 )獲得)、及聚(苯乙烯)-b-聚(乙烯基吡啶)(PS-b-PVP),其中吡啶基與無機物質形成配合鍵結。
在該等聚合物嵌段經退火並自我組裝成垂直定向薄片後,可實施氧化制程(例如,紫外線(UV)-臭氧化或氧電漿蝕刻)以移除一種或兩種聚合物結構域之有機組份並轉化該無機物質以形成非揮發性無機氧化物,該非揮發性無機氧化物保留在基板上且可用作後續蝕刻制程之遮罩。舉例而言,PDMS及PFEM嵌段共聚物之無機物質係矽及鐵,其在氧化時會形成非揮發性氧化物,例如,氧化矽(SiOx )及氧化鐵(Fex Oy )。
現在參照圖5-5A,可將薄片態嵌段共聚物材料26澆注或沈積於溝槽16中至達到或約為該嵌段共聚物材料之固有間距或L值之厚度(t )(例如,約L ±0%)。可藉由旋轉澆注(旋轉塗覆)自共聚物存于諸如(例如)二氯乙烷(CH2 Cl2 )或甲苯等適當有機溶劑中之稀溶液(例如,約0.25-2wt%溶液)沈積該嵌段共聚物材料。毛細管力將過量嵌段共聚物材料(例如,大於單層)拉到溝槽16中。嵌段共聚物材料26之厚度可藉助(例如)橢圓測量技術來量測。如圖中所示,嵌段共聚物材料之薄層或薄膜26a可沈積並保留在該等溝槽外部之材料層14上(例如,在間隔體18上)。在退火時,薄膜26a會流入該等溝槽中,俯視可見在材料層14上留下無結構刷層。
隨後實施退火制程(箭頭↓,圖6A)以因應于溝槽表面優先及中性潤濕而使各聚合物嵌段發生相分離並形成自我組裝聚合物材料28,如圖6-6A中所繪示。
在本發明方法之實施例中,藉由熱退火對聚合物材料26實施退火,此可在高於該共聚物材料之各組份嵌段的玻璃態轉變溫度(Tg )下實施。舉例而言,可在約180-230℃溫度下於真空烘箱中對PS-b-PMMA共聚物材料實施退火約1-24小時以達成自我組裝形態。可使用(例如)原子力顯微鏡(AFM)、穿透式電子顯微鏡(TEM)、掃描式電子顯微鏡(SEM)檢查所得經退火共聚物材料28之形態(例如,垂直定向之薄片30、32)。
該嵌段共聚物材料可經全面加熱或,在其他實施例中,可對該嵌段共聚物材料之若干部分或區段實施區域或局部性熱退火。舉例而言,可移動該基板而橫跨位於基板上方或下方之熱至冷溫度梯度(或熱源可相對於該基板移動)以便於該嵌段共聚物材料在經過該熱源後冷卻時自我組裝。只有加熱至高於該等聚合物組成嵌段之玻璃態轉變溫度之彼等嵌段共聚物材料部分會自我組裝,且未經充分加熱之材料區保持無序且沒有自我組裝。橫跨基板「拉動」該經加熱區域相對於全面熱退火可更快速地處理並產生更佳有序結構。
在某些實施例中,嵌段共聚物材料26(例如,PS-b-PEO)經溶劑退火以形成自我組裝聚合物材料28。溶劑退火通常涉及兩個相。在第一相中,將該BCP材料暴露於溶劑蒸氣,該溶劑蒸氣可起塑化膜之作用並增加鏈移動性,從而造成結構域混雜並喪失澆注該聚合物材料之固有有序性。所利用有機溶劑至少在一定程度上基於其在該嵌段共聚物材料中之溶解度以便於使足夠溶劑分子進入該嵌段共聚物材料中從而促進該聚合物結構域之有序-無序過渡並促成期望分子重排。溶劑之實例尤其包括芳香族溶劑,例如,苯、甲苯、二甲苯、二甲氧基乙烷、乙酸乙酯、環己酮等;及氯化溶劑,例如,氯仿、二氯甲烷、氯仿/辛烷混合物。在第二相中,自溶劑蒸氣移除基板10並使溶劑及溶劑蒸氣緩慢地自該聚合物材料擴散並蒸發。該嵌段共聚物材料會隨著溶劑自該材料蒸發而開始「變幹」。溶劑蒸發具有高度定向性並形成自BCP材料「頂部」(表面)延伸至BCP材料「底部」(在溝槽底面24處)之溶劑濃度梯度,此可誘導始於空氣表面介面並向下到達溝槽16之底面24之結構的定向及自我組裝,其中垂直定向之薄片結構域30、32之形成係由溝槽側壁20引導並自空氣介面完全延伸至溝槽底面24。
在該嵌段共聚物材料上方使用部分飽和或近飽和溶劑蒸氣相可提供中性潤濕介面。將接近BCP材料表面之蒸氣介面處之空氣中的溶劑濃度維持在溶劑自BCP材料蒸發時之飽和度或低於該飽和度以維持中性潤濕介面從而使兩種(或所有)聚合物嵌段會均等地潤濕該蒸氣介面且隨著溶劑蒸發會出現相分離。當溶劑在該BCP材料中於空氣介面處之濃度變得足夠低時,BCP喪失塑性且在該空氣介面處之相分離結構域「被鎖住」。隨著溶劑濃度向下透過該BCP材料降低,在空氣介面處所形成結構域會「強化」或促進向下自我組裝以便於結構域垂直於基板10定向且薄片特徵自該空氣介面完全延伸至溝槽底面24。
因應於溝槽表面20、22、24之潤濕性質,在退火後,薄片態嵌段共聚物26會形成由寬度(w d )為約0.5*L (例如,5-50nm、或例如,約20nm)之垂直定向薄片結構域30、32之單層組成的自我組裝聚合物層28,其沿長度方向延伸並跨越溝槽16之寬度。嵌段共聚物材料之優先嵌段(例如,PMMA結構域)會與溝槽16之側壁20及端部22分開以形成薄介面刷層或潤濕層32a,其中潤濕層32a之厚度通常為約L值之四分之一。熵力促使中性潤濕表面(例如,底面24)受到兩種嵌段潤濕,且熵力促使優先潤濕表面(例如,側壁20,端部22)受到優先嵌段(例如,次要嵌段)潤濕。
另外,離子性液體(鹽)之陽離子(B+ )與一種聚合物嵌段之反應性基團(例如,PMMA或PEO之含氧基團等)的選擇性相互作用與錯合可增強及/或引導該等聚合物結構域在退火時之垂直定向並幫助控制及改良聚合物材料(例如,PS-b-PMMA)之薄片結構域30、32(例如,PS、PMMA)在溝槽內之長程有序性及/或減少在自我組裝圖案中之圖案錯誤(例如,向錯等)數量。
在其中嵌段共聚物材料26包括諸如金屬等無機物質(例如,Si、Fe等)之實施例中,該無機物質會在退火時與一種聚合物相分開。
概言之,在溝槽外之嵌段共聚物薄膜26a(例如,在臺面/間隔體18上)會具有不足以導致自我組裝之厚度。視情況,可藉由(例如)蝕刻技術或平面化制程移除未經結構化薄膜26a。
視情況,該共聚物材料可經處理以使一種聚合物結構域交聯從而固定並增強該等聚合物嵌段之強度。舉例而言,一種聚合物嵌段(例如,PS結構域)可結構化為內在交聯(例如,在暴露於紫外線(UV)輻射時,包含深紫外線(DUV)輻射)或該聚合物嵌段可經調配以含有交聯劑。舉例而言,可經由光罩(未顯示)選擇性地暴露該等溝槽區以僅使溝槽16內之自我組裝聚合物材料28交聯且隨後可用適當的溶劑(例如,甲苯)實施洗滌以移除嵌段共聚物材料26a之未經交聯部分,從而在該溝槽內留下對齊之自我組裝聚合物材料28並暴露在該等溝槽上方/外部之材料層14的表面。在另一實施例中,對經退火聚合物材料28實施全面交聯,可施加光阻劑材料以圖案化並暴露在該等溝槽區外部之聚合物材料26a區,且可(例如)藉由氧(O2 )電漿處理來移除聚合物材料26a之暴露部分。
使用該自我組裝聚合物材料28作為蝕刻遮罩之實施例繪示於圖7-7A中。如圖中所描繪,可選擇性地移除一種薄片結構域32以形成結構34,其係由藉由其餘薄片結構域30分開之線路開孔(狹縫)36組成,薄片結構域30隨後可用作蝕刻下伏基板10之遮罩。
舉例而言,在使用PS-b-PMMA嵌段共聚物時,可藉由(例如)UV暴露/乙酸顯影來選擇性地移除PMMA結構域32。
可藉由(例如)將自我組裝嵌段共聚物材料28(視情況交聯)暴露於氫碘酸水溶液或單獨暴露于水來實施水溶性PEO相結構域32之移除,此會將PEO拉動至表面,而不會解離與PS結構域之鍵結。在其中PS-b-PEO嵌段共聚物包括位於兩聚合物嵌段間之酸可解離連接基團(例如,三苯甲醇連接基團)之實施例中,可將(經交聯)自我組裝聚合物材料28暴露於水性酸(例如,三氟乙酸)或暴露於酸蒸氣來將該聚合物解離成PEO及PS片段(S. Yurt等人,"Scission of Diblock Copolymers into Their Constituent Blocks,"Macromolecules 2006,39,1670-1672)。隨後可用水沖洗以移除解離之PEO結構域32。在其他實施例中,暴露于水以將該等PEO結構域拉動至表面,繼而亦可實施短暫氧(O2 )電漿蝕刻以移除該等PEO結構域。
在某些實施例中,所得膜可具有界定寬約5-50nm且長度為若干微米(例如,約10-4000μm)之奈米級平行細狹縫(開孔)36線型圖案的褶皺表面,個別狹縫藉由寬約之聚合物基體30分開。舉例而言,移除該PMMA結構域可提供亞微影蝕刻尺寸(例如,間距為約35nm)之PS遮罩(17.5nm PS結構域)。可藉由使用較低分子量二嵌段共聚物來調控在較小間距。
其餘聚合物結構域30(例如,PS)隨後可用作在溝槽底面24處蝕刻(箭頭↓)下伏基板10的微影蝕刻模板或遮罩以形成一系列溝渠或溝槽38(以幻影顯示),例如,使用選擇性反應性離子蝕刻(RIE)或其他制程。
如在圖8-8A中所描繪,可藉由(例如)用以移除有機材料之UV-臭氧化或氧電漿蝕刻來移除殘留聚合物基體30,且在基板10中之線路開孔38可填充有諸如金屬或金屬合金等材料40(例如,尤其為Cu、Al、W、Si及Ti3 N4 )以形成導線陣列,或填充有諸如SiO2 、Al2 O3 、HfO2 、ZrO2 、SrTiO3 及諸如此類等絕緣(介電)材料。隨後,可按照需要實施進一步處理。
在其中一種聚合物結構域包括無機物質(例如,Si、Fe等)之本發明實施例中,可實施諸如UV-臭氧化或氧電漿蝕刻等氧化制程以移除有機材料(即,聚合物結構域)並將無機物質轉化成非揮發性無機氧化物(例如,氧化矽(SiOx )、氧化鐵(Fex Oy )等),該非揮發性無機氧化物可保留在基板上且可用作後續蝕刻制程之遮罩。舉例而言,組合(例如,摻雜)有含Si-及/或Fe之添加劑及Si及/或Fe物質的諸如PS-b-PMMA等嵌段共聚物材料26可與PMMA結構域32及潤濕層32a分開(圖6-6A)。參照圖9-9A,可實施氧化制程(箭頭↓)以移除PS與PMMA兩種薄片(30、32)並將在PMMA薄片內之Si及/或Fe物質轉化成無機氧化物(例如,SiOx 及/或Fex Oy ),在基板10'上產生非揮發性無機氧化物線路32b'。氧化物線路32b'隨後可用作蝕刻基板10'之線路開孔38'(例如,溝槽)(以幻影顯示)的遮罩,例如,使用各項異性選擇性反應性離子蝕刻(RIE)制程。隨後可使用(例如)基於氟化物之蝕刻劑來移除殘留氧化物線路32b'且可用合意的材料(40)填充基板開孔38',類似於圖8-8A。
該等膜可在模板化基板之寬覆蓋領域內提供具有長程有序且對齊之線性陣列。該等膜可用作產生小間距奈米級溝渠及長度為若干微米之凹槽的蝕刻遮罩以產生諸如用於奈米級尺寸NAND型快閃記憶體之浮動閘極等特徵。藉由對比,光微影技術在無高花費時不能夠產生寬度遠遠低於60nm之溝渠。解析度可超過其他技術(例如,習用光微影術),而利用本發明方法之製造成本遠遠低於具有相當解析度之電子束(E-beam)或EUV光微影術。
圖10-12繪示一種根據本發明一個實施例製造可界定一維(1-D)奈米級、垂直定向圓柱體陣列之自我組裝嵌段共聚物材料的方法。該方法涉及與製圖外延技術組合之經離子性液體調配之圓柱態嵌段共聚物材料的退火以在聚合物基體內形成1-D垂直定向圓柱體陣列。
如在圖10-10B中所描繪,提供基板10",其可為矽、氧化矽、氮化矽、氧氮化矽、氧碳化矽以及其他材料。如進一步描繪,導線42"陣列(或其他有效區,例如半導電區域)位於基板10"內,在所繪示實施例中,導線42"具有為或約為該嵌段共聚物材料之L 值的中心至中心距離(或間距,p L )。
在所繪示實施例中,中性潤濕材料12"(例如,無規共聚物)已形成於基板10"上方,如先前所述且在該中性潤濕材料上形成材料層14"並蝕刻之以形成溝槽16",其中臺面/間隔體18"在該等溝槽外部及在兩溝槽之間。
單個溝槽或多個溝槽(如圖中所示)可形成於該材料層中並橫跨線路陣列(或其他有效區)之整個寬度。在本發明實施例中,溝槽16"可形成于有效區42"(例如,線路)上以便於當對在溝槽內之圓柱態嵌段共聚物材料實施退火時,每一圓柱體會位於單一有效區42"(例如,導線)之上方並與之對準。在某些實施例中,以使每一個毗鄰溝槽16"之端部22"對準或以使彼此稍微偏移小於5%L 之方式形成多個溝槽以便於毗鄰溝槽之各圓柱體對準並位於相同線路42"上方。
如先前參照使用薄片態嵌段共聚物材料之實施例所述,溝槽側壁20"及端部22"優先潤濕嵌段共聚物材料之優先嵌段(例如,PMMA)且溝槽底面24"為中性潤濕。舉例而言,材料層14"可自本質上優先潤濕PMMA嵌段之材料形成,例如,氧化物(例如,氧化矽、SiOx )、矽(及自生氧化物)、氮化矽等,且中性潤濕材料12"可藉由在基板10"上方之中性潤濕無規共聚物(例如,PS-r -PMMA)提供且在溝槽底面24"處暴露。
隨著溝槽寬度(w t )減小及/或嵌段共聚物之週期性(L 值)增加,例如,藉由通過添加兩種構成均聚物以形成三元摻合物,在溝槽中心存在自兩列垂直圓柱體至一列垂直圓柱體之轉變。。舉例而言,沈積至具有中性潤濕底面之75-nm寬溝槽中且具有35-nm間距或L 值之嵌段共聚物或摻合物在退火後會產生約17.5-nm直徑(0.5*L )垂直圓柱體之Z字形圖案,該等垂直圓柱體在該溝槽之長度(l t )範圍內偏移約該間距距離的一半或約0.5*L ,而非單列垂直圓柱體陣列沿該溝槽之中心與側壁對準。
在本發明實施例中,溝槽16"經結構化以具有嵌段共聚物之約1.5-2*L (或1.5-2 X間距值)之寬度(w t )以便於澆注至溝槽內(厚度約為該嵌段共聚物材料之固有L 值)之約為L之圓柱態嵌段共聚物(或摻合物)會自我組裝成單列垂直定向圓柱體,直徑為或約為0.5*L 且毗鄰圓柱體之中心到中心距離(p )為或約為L 。例如,在使用具有約50nm間距值或L 之圓柱態嵌段共聚物中,溝槽16之寬度(w t )可為約1.5-2*50nm或約75-100nm以產生沿該溝槽之中心與側壁對準之單一列垂直定向圓柱體(直徑~ 0.5*L 或約25nm)。溝槽之長度(l t )為或約為n L或L 之整數倍,通常在約n *10至約n *100nm之範圍內(其中,n 係圓柱體之數量)。
具有兩種嵌段比率通常在介於約60:40與80:20間之體積分率(即,主要嵌段聚合物A體積分率係介於0.6至0.8之間)的二嵌段共聚物會微相分離並於聚合物A基體內自我組裝成聚合物B之週期性圓柱形結構域。于PS基體中形成約20nm直徑圓柱形PMMA結構域之圓柱體形成PS-b-PMMA共聚物材料(L o ~35nm)的一個實例係由總分子量(Mn )為67kg/mol之約70%的PS及30%的PMMA組成。于PS基體中形成約20nm寬半圓柱形PVP結構域之圓柱體形成PS-b-PVP共聚物材料(L o ~28nm)的一個實例係由總分子量(Mn )為44.5kg/mol之約70wt-%的PS及30wt-%的PVP組成。作為另一實例,PS-b-PLA共聚物材料(L =49nm)可由總分子量(Mn )為約60.5kg/mol之約71wt-%的PS及29wt-%的PLA組成以在PS基體中形成約27nm直徑圓柱形PLA結構域。
現在參照圖11-11B,可將固有間距為或約為L 之圓柱態嵌段共聚物材料26"(或摻合物)沈積於溝槽16"內至達到或約為L (例如,約為L值±20%)、通常約為10-100nm之厚度(t 1 )並實施退火(例如,藉由熱退火)。嵌段共聚物材料26"可由任一嵌段共聚物(例如,PS-b-PMMA、PS-b-PEO、PS-b-PLA等)與離子性液體之組合組成,如先前所述。嵌段共聚物材料26"隨後可按照先前所述經熱退火或溶劑退火。
如在圖12-12B中所描繪,在退火後且應於溝槽表面之潤濕性質,圓柱態嵌段共聚物材料26"會在主要聚合物嵌段(例如,PS)之聚合物基體30"內自我組裝成由次要(優先)聚合物嵌段(例如,PMMA)之垂直定向圓柱形結構域32"組成的聚合物材料28"(例如,膜)。
藉由溝槽16"之寬度(w t )及嵌段共聚物組合物(例如,固有間距為或約為L之PS-b-PMMA)之特徵與對兩種聚合物嵌段(例如,無規接枝共聚物)展示中性或非優先潤濕之溝槽底面24"及受優先(次要)聚合物嵌段優先潤濕之側壁20"共同提供之約束在主要聚合物嵌段(例如,PS)之基體30"內產生次要聚合物嵌段(例如,PMMA)之1-D陣列圓柱形結構域30",該等圓柱形結構域30"沿溝槽之長度對準平行於側壁20"。圓柱體32"之直徑通常會為兩圓柱體間之中心到中心距離的約一半或約0.5*L (例如,5-50nm或約20nm)。優先(次要)嵌段(例如,PMMA)亦會與溝槽之側壁20"及端部22"分開以形成薄刷介面或潤濕層32a",其中薄刷介面或潤濕層32a"之厚度通常為兩毗鄰圓柱體34間之中心到中心距離的約四分之一。舉例而言,PMMA結構域之層會優先潤濕氧化物介面,所附著PS結構域因此自該氧化物材料分離。在某些實施例中,自我組裝嵌段共聚物材料28"係藉由圓柱形結構域(圓柱體)32"之陣列來界定,每一圓柱體之直徑為或約為0.5*L ,其中該列中圓柱體之數量(n )依據溝槽之長度而定,且每兩個圓柱體間之中心到中心距離(間距距離,p )為或約為L
經退火聚合物材料28"之聚合物區段(例如,PS基體30")可視情況經交聯且在該等溝槽外部表面上之任一未經結構化聚合物材料26a"隨後可視情況移除,如在圖11-11B中所描繪。
隨後可處理自我組裝聚合物材料28"以(例如)形成蝕刻遮罩34"從而在基板10"中形成圓柱形開孔。舉例而言,如在圖13-13B中所繪示,可選擇性地移除自我組裝聚合物材料28"之圓柱形聚合物結構域32"(例如,PMMA),產生具有暴露溝槽底面24"之開孔36"的多孔聚合物基體30"(例如,PS)。其餘聚合物基體36"(例如,PS)可用作遮罩以使用(例如)選擇性反應性離子蝕刻(RIE)制程來蝕刻(箭頭↓)一系列開孔或導線42"之接觸孔38"(以幻影顯示)或下伏基板10"(或底層)之其他有效區(例如,半導電區域等)。
如在圖14-14B中所描繪,隨後可移除蝕刻遮罩34"(例如,基體30")之其餘部分且可用合意的材料40"(例如,金屬或金屬合金,尤其是例如Cu、Al、W、Si及Ti3 N4 )填充圓柱形開孔38"以形成導線42"之圓柱形觸點陣列。亦可用金屬-絕緣體-金屬堆疊來填充該基板中之圓柱形開孔38"以形成具有絕緣材料(例如,SiO2 、Al2 O3 、HfO2 、ZrO2 、SrTiO3 及諸如此類)之電容器。
本發明之方法提供一種於聚合物基體中產生由垂直定向圓柱體組成之自我組裝二嵌段共聚物膜之手段。與電子束微影術、EUV光微影術或習用光微影術相比,該等方法可以更低成本製備奈米級有序及對齊元件。可藉由本發明產生及獲得之特徵大小不能藉由習用光微影術容易地製備。所述方法及系統可容易地加以應用並納入現有半導體製造法流程中且提供用於製造小結構之低成本、高通量技術。
特定言之,嵌段共聚物材料與一種或多種離子性液體按照本發明之實施例混合及組合可藉由與一個嵌段共聚物相配合或以其他方式相互作用而在不引入高度移動性污染物(例如,鈉(Na)、鋰(Li)或鉀(K))的情況下增強或改良聚合物結構域(例如,薄片及圓柱體)之長程有序性。
雖然本文已說明且闡述了具體實施例,但彼等普通技術者將瞭解旨在達成相同目的之任何佈置皆可替換所示具體實施例。本申請案意欲涵蓋可根據所闡述本發明原則運作的任何改動或改變。因此,意圖使本發明僅由申請專利範圍及其等價內容來限定。本申請案中引用的專利案揭示內容、參考文獻及出版物均以引用方式併入本文中。
10...基板
10'...基板
10"...基板
12...中性潤濕材料
12'...中性潤濕材料
12"...中性潤濕材料
14...材料層
14'...材料層
14"...材料層
16...溝槽
16'...溝槽
16"...溝槽
18...臺面或間隔體
18'...間隔體
18"...間隔體
20...側壁
20'...側壁
20"...側壁
22...端部
22'...端部
22"...端部
24...底面
24'...底面
24"...底面
26...自我組裝薄片態嵌段共聚物材料
26"...圓柱態嵌段共聚物材料
26a...嵌段共聚物材料之薄層或薄膜
26a"...未經結構化聚合物材料
28...自我組裝聚合物材料
28"...自我組裝嵌段共聚物材料
30...垂直定向之薄片結構域
30"...主要聚合物嵌段之聚合物基體
32...垂直定向之薄片結構域
32"...圓柱形結構域
32a...薄介面刷層或潤濕層
32a"...薄刷式介面或潤濕層
32b'...無機氧化物線路
34...結構
34'...蝕刻遮罩
34"...蝕刻遮罩
36...開孔(狹縫)
36'...開孔
36"...開孔
38...開孔
38'...開孔
38"...開孔
40...填充材料
40"...填充材料
42"...有效區(導線)
在上文中參照下列附圖闡述本發明之實施例,該等圖僅出於說明目的。貫穿下列視圖,在圖中使用了若干參考數字且各視圖及說明書中使用相同參考數字來指示相同或類似部件。
圖1繪示一部分基板在本發明實施例之初步處理階段的圖示性俯視平面圖,其展示其上具有中性潤濕材料之基板。圖1A係在圖1中所描繪基板沿線1A-1A之橫截面正視圖;
圖2繪示圖1之基板在後續階段之俯視平面圖,其展示在中性潤濕材料上所形成材料層中之溝槽的形成。圖2A繪示在圖2中所描繪基板部分沿線2A-2A之橫截面正視圖;
圖3繪示一部分基板在本發明另一實施例之初步處理階段的側視圖,其展示具有在該基板上所形成材料層中之溝槽的基板。圖4繪示圖3之基板在後續階段的側視圖,其展示中性潤濕材料在該等溝槽內之形成;
圖5-6係圖2之基板在按照本發明之實施例利用薄片態嵌段共聚物材料製造自我組裝嵌段共聚物膜之後續階段的圖示性俯視平面圖。圖5A-6A分別繪示在圖5-6中所描繪基板部分沿線5A-5A及6A-6A之橫截面正視圖;
圖7-8係圖6之基板在後續階段的俯視平面圖,其描繪在移除一種聚合物嵌段後使用自我組裝嵌段共聚物膜作為遮罩蝕刻基板並填充蝕刻開孔的實施例。圖7A-8A分別繪示在圖7-8中所描繪基板部分沿線7A-7A及8A-8A之橫截面正視圖;
圖9係圖6之基板在另一實施例之後續階段於移除在該基板上作為遮罩之殘留無機材料及聚合物嵌段後的俯視平面圖。圖9A係在圖9中所描繪基板部分沿線9A-9A之橫截面正視圖;
圖10係一部分基板在本發明另一實施例之初步處理階段的圖示性俯視平面圖,其展示在暴露該基板之材料層中之溝槽。圖10A-10B分別為在圖10中所描繪基板沿線10A-10A及10B-10B之橫截面正視圖;
圖11-12係圖10之基板在按照本發明之實施例製造自我組裝嵌段共聚物膜之後續階段的圖示性俯視平面圖,該自我組裝嵌段共聚物膜係由在該等溝槽內之聚合物基體中之單一列垂直定向圓柱體構成。圖11A-12A分別繪示在圖11-12中所描繪基板部分沿線11A-11A及12A-12A之橫截面正視圖。圖11B-12B分別為在圖11-12中所描繪基板沿線11B-11B及12B-12B之橫截面;及
圖13-14係圖12之基板在後續階段的俯視平面圖,其描繪在移除一種圓柱形結構域後使用自我組裝嵌段共聚物膜作為蝕刻該基板之遮罩並填充蝕刻開孔的實施例。圖13A-14A繪示圖13-14中所描繪基板部分分別沿線13A-13A及14A-14A之橫截面正視圖。圖13B-14B分別為在圖13-14中所描繪基板沿線13B-13B及14B-14B之橫截面。
12...中性潤濕材料
14...材料層
16...溝槽
18...臺面或間隔體
20...側壁
22...端部
24...底面

Claims (23)

  1. 一種在基板上形成奈米結構聚合物材料之方法,其包括:於該基板上之材料層中的溝槽內形成自我組裝薄片態嵌段共聚物組合物,該溝槽具有一長度、中性潤濕底面、以及對該嵌段共聚物組合物之第一嵌段優先潤濕之相對側壁及端部,該嵌段共聚物組合物包含嵌段共聚物材料與離子性液體;及對該嵌段共聚物組合物實施退火,以使該嵌段共聚物組合物自我組裝成該嵌段共聚物組合物之該第一嵌段與第二嵌段的交替薄片結構域,該等交替薄片結構域垂直於該溝槽之中性潤濕底面定向並沿該溝槽之長度延伸。
  2. 如請求項1之方法,其中該嵌段共聚物組合物包含約0.1-50重量%之該離子性液體,其餘部分為該嵌段共聚物材料。
  3. 如請求項1之方法,其中該離子性液體包含選自由下列組成之群之有機陽離子:經取代咪唑鎓、吡啶鎓、吡咯啶鎓、鏻、銨、胍鎓、脲鎓、異脲鎓、硫脲鎓、及鋶。
  4. 如請求項1之方法,其中該離子性液體包含選自由下列組成之群之陰離子:鹵素、甲酸根、硫酸根、磺酸根、醯胺、醯亞胺、甲烷、硼酸根、磷酸根、羥乙酸根、銻酸根、四羰基鈷、三氟乙酸根、癸酸根、烷基硫酸根、烷基磷酸根、及羥乙酸根。
  5. 如請求項1之方法,其中該嵌段共聚物組合物進一步包 含約0.1-10重量%水。
  6. 如請求項1之方法,其中該嵌段共聚物材料包含聚苯乙烯及至少一種聚(甲基丙烯酸甲酯)、聚(氧化乙烯)及聚(乙烯基吡啶)。
  7. 一種在基板上形成奈米結構聚合物材料之方法,其包括:於該基板上之材料層中的溝槽內形成自我組裝圓柱態嵌段共聚物組合物,該嵌段共聚物組合物包含嵌段共聚物材料與離子性液體,該溝槽具有一長度、中性潤濕底面、以及對該嵌段共聚物組合物之次要嵌段優先潤濕之相對側壁及端部;及對該嵌段共聚物組合物實施退火,以使該嵌段共聚物組合物於該嵌段共聚物組合物之第二嵌段基體內自我組裝成第一嵌段之圓柱形結構域,該自我組裝嵌段共聚物組合物具有一厚度,該等圓柱形結構域垂直於該溝槽之中性潤濕底面定向,並沿該溝槽之長度穿過該自我組裝嵌段共聚物組合物之厚度呈單一列延伸。
  8. 如請求項7之方法,其中該溝槽具有約1.5L 至2L 之寬度,其中L 為該嵌段共聚物材料之間距值。
  9. 一種蝕刻基板之方法,其包括:對上覆該基板之材料層之溝槽中的薄片態嵌段共聚物材料實施退火,該溝槽具有對該嵌段共聚物材料之一種嵌段優先潤濕之相對側壁及端部、中性潤濕底面、一寬度及一長度,該嵌段共聚物材料與離子性液體摻和在一 起,其中該嵌段共聚物材料形成垂直定向薄片,該等薄片橫跨該寬度並沿該溝槽之長度延伸;選擇性地移除該等嵌段之一以形成暴露該基板之開孔;及蝕刻該基板之暴露部分以於其中形成開孔。
  10. 如請求項9之方法,其進一步包括在選擇性地移除該等嵌段之一之前,使嵌段共聚合物材料之另一種嵌段選擇性地交聯。
  11. 如請求項9之方法,其中該蝕刻於該溝槽內形成平行溝槽圖案。
  12. 如請求項11之方法,其進一步包括用填充材料填充該基板中之該等溝槽。
  13. 如請求項9之方法,其中該嵌段共聚物材料之一種嵌段包含選自由下列組成之群之金屬:矽、鉻、鈦、鋁、鉬、金、鉑、釕、鋯、鎢、釩、鉛、及鋅。
  14. 如請求項9之方法,其中該等嵌段之一包含金屬組份,且選擇性地移除該等嵌段之一進一步包括使該金屬組份形成無機金屬材料,以在該基板上形成該無機金屬材料之複數條線。
  15. 一種蝕刻基板之方法,其包括:對上覆該基板之材料層之溝槽中的圓柱態嵌段共聚物材料實施退火,該溝槽具有對該嵌段共聚物材料之次要嵌段優先潤濕之相對側壁及端部、中性潤濕底面、一寬度及一長度,該嵌段共聚物材料與離子性液體摻和在一 起,其中該嵌段共聚物材料於主要嵌段之基體中形成該次要嵌段之垂直定向之單一圓柱體陣列,該經退火嵌段共聚物材料具有一厚度,且該等圓柱體穿過該經退火嵌段共聚物材料之厚度延伸;選擇性地移除該次要嵌段以形成暴露該基板之開孔;及蝕刻該基板之暴露部分以於其中形成圓柱形開孔。
  16. 如請求項15之方法,其進一步包括在選擇性地移除該次要嵌段之前,使該主要嵌段選擇性地交聯。
  17. 如請求項15之方法,其進一步包括用填充材料填充該基板中之開孔。
  18. 如請求項17之方法,其中該填充材料包含金屬、金屬合金、及金屬/絕緣體/金屬堆疊。
  19. 一種蝕刻基板之方法,其包括:在上覆該基板之材料層之溝槽內形成嵌段共聚物材料,該溝槽具有對該嵌段共聚物材料之一種聚合物嵌段優先潤濕之相對側壁及端部、中性潤濕底面、一寬度及一長度,該嵌段共聚物材料與離子性液體摻和在一起;使該嵌段共聚物材料中發生微相分離,以形成包含垂直於該溝槽之中性潤濕底面定向且沿該溝槽之長度與該等側壁對準平行之自我組裝聚合物結構域的聚合物層;使聚合物結構域之一選擇性地交聯;選擇性地移除未經交聯聚合物結構域以形成穿過該聚合物層之開孔;及 在該聚合物層中穿過該開孔蝕刻該基板。
  20. 如請求項19之方法,其中該嵌段共聚物材料包含微相分離成垂直定向薄片之交替聚合物結構域的薄片態嵌段共聚物材料。
  21. 如請求項19之方法,其中該嵌段共聚物材料包含在主要聚合物嵌段基體內微相分離成次要聚合物嵌段垂直定向圓柱形結構域之圓柱態嵌段共聚物材料。
  22. 一種位於上覆基板之材料層之溝槽內的聚合物材料,該溝槽具有側壁、端部、底面、一寬度及一長度,該聚合物材料包含自我組裝嵌段共聚物材料,其包含選擇性地配合離子性液體之第一聚合物嵌段與第二聚合物嵌段的交替薄片,該等薄片垂直於該溝槽之底面定向且沿該溝槽之長度延伸。
  23. 一種位於上覆基板之材料層之溝槽內的聚合物材料,該溝槽具有側壁、端部、底面、一寬度及一長度,該聚合物材料包含自我組裝嵌段共聚物材料,其包含在主要聚合物嵌段基體中選擇性地配合離子性液體之次要聚合物嵌段的圓柱形聚合物結構域,該等圓柱形聚合物結構域垂直於該溝槽之底面定向,且沿該溝槽之長度呈單一陣列延伸。
TW098108789A 2008-03-21 2009-03-18 以離子性液體改良嵌段共聚物薄膜自我組裝之長程有序的方法 TWI398403B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/053,006 US8425982B2 (en) 2008-03-21 2008-03-21 Methods of improving long range order in self-assembly of block copolymer films with ionic liquids

Publications (2)

Publication Number Publication Date
TW201004862A TW201004862A (en) 2010-02-01
TWI398403B true TWI398403B (zh) 2013-06-11

Family

ID=41089572

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098108789A TWI398403B (zh) 2008-03-21 2009-03-18 以離子性液體改良嵌段共聚物薄膜自我組裝之長程有序的方法

Country Status (8)

Country Link
US (3) US8425982B2 (zh)
EP (1) EP2254827B1 (zh)
JP (1) JP5541539B2 (zh)
KR (1) KR101254389B1 (zh)
CN (1) CN101977839B (zh)
AT (1) ATE526282T1 (zh)
TW (1) TWI398403B (zh)
WO (1) WO2009117238A2 (zh)

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8097175B2 (en) * 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
USRE48948E1 (en) 2008-04-18 2022-03-01 Warsaw Orthopedic, Inc. Clonidine compounds in a biodegradable polymer
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
IT1392754B1 (it) * 2008-12-18 2012-03-16 St Microelectronics Srl Nanoarray ad incrocio con strato organico attivo anisotropico
KR101101767B1 (ko) * 2009-05-07 2012-01-05 한국과학기술원 코일―빗형 블록 공중합체 및 이를 이용한 나노 구조체의 제조방법
US8048795B2 (en) * 2009-07-10 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-assembly pattern for semiconductor integrated circuit
US8795783B2 (en) * 2009-08-31 2014-08-05 The Regents Of The University Of Michigan Preparation of layer-by-layer materials and coatings from ionic liquids
WO2011094857A1 (en) * 2010-02-05 2011-08-11 The Governors Of The University Of Alberta Method for organizing a block copolymer
WO2011104045A1 (en) * 2010-02-26 2011-09-01 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
TWI556958B (zh) 2010-09-14 2016-11-11 東京應化工業股份有限公司 基質劑及含嵌段共聚物之層的圖型形成方法
JP5721164B2 (ja) * 2010-09-14 2015-05-20 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法
US8394224B2 (en) 2010-12-21 2013-03-12 International Business Machines Corporation Method of forming nanostructures
US20140010960A1 (en) * 2011-01-21 2014-01-09 The University Of Tokyo Method of producing microstructured gel
US9469525B2 (en) * 2011-01-31 2016-10-18 Seagate Technology Llc Modified surface for block copolymer self-assembly
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
JP5979660B2 (ja) * 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
US8961918B2 (en) * 2012-02-10 2015-02-24 Rohm And Haas Electronic Materials Llc Thermal annealing process
US8710150B2 (en) * 2012-02-10 2014-04-29 Rohm And Haas Electronic Materials Llc Blended block copolymer composition
JP5993654B2 (ja) * 2012-03-09 2016-09-14 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法、及び下地剤
JP6306810B2 (ja) 2012-03-14 2018-04-04 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
JP5934565B2 (ja) * 2012-04-20 2016-06-15 東京応化工業株式会社 パターンの縮小方法、及び組成物
US8821739B2 (en) * 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc High temperature thermal annealing process
US8821738B2 (en) * 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc Thermal annealing process
JP6239813B2 (ja) 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
JP5764102B2 (ja) 2012-09-05 2015-08-12 株式会社東芝 パターン形成方法
JP5907009B2 (ja) * 2012-09-05 2016-04-20 Jsr株式会社 パターン形成方法
JP5752655B2 (ja) 2012-09-10 2015-07-22 株式会社東芝 パターン形成方法
WO2014043083A2 (en) 2012-09-12 2014-03-20 Drexel University Polymerized ionic liquid block copolymers as battery membranes
US9153477B2 (en) * 2012-09-28 2015-10-06 Intel Corporation Directed self assembly of block copolymers to form vias aligned with interconnects
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
KR101490405B1 (ko) 2012-10-19 2015-02-06 주식회사 엘지화학 금속 나노와이어 또는 금속 나노메쉬의 금속 나노구조체의 형성 방법
US8894869B2 (en) * 2012-11-13 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process using directed self assembly
FR3000235B1 (fr) * 2012-12-21 2016-06-24 Arkema France Procede de fabrication de masques nanolithographiques
US9050621B2 (en) * 2013-01-24 2015-06-09 Corning Incorporated Surface nanofabrication methods using self-assembled polymer nanomasks
US20150336058A1 (en) * 2013-01-31 2015-11-26 Regents Of The University Of Minnesota Nanoporous filtration membranes
NL2012143A (en) * 2013-02-14 2014-08-18 Asml Netherlands Bv Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers.
US8859433B2 (en) * 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US9382444B2 (en) 2013-06-24 2016-07-05 Dow Global Technologies Llc Neutral layer polymers, methods of manufacture thereof and articles comprising the same
US9802400B2 (en) 2013-06-24 2017-10-31 Dow Global Technologies Llc Orientation control layer formed on a free top surface of a first block copolymer from a mixture of first and second block copolymers
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US10227437B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
CN105960422B (zh) 2013-12-06 2019-01-18 株式会社Lg化学 嵌段共聚物
CN105873968B (zh) 2013-12-06 2018-09-28 株式会社Lg化学 嵌段共聚物
EP3078654B1 (en) 2013-12-06 2021-07-07 LG Chem, Ltd. Monomer and block copolymer
EP3078687B1 (en) 2013-12-06 2020-06-03 LG Chem, Ltd. Block copolymer
US20150160072A1 (en) * 2013-12-06 2015-06-11 Rensselaer Polytechnic Institute Oriented backscattering wide dynamic-range optical radiation sensor
JP6334706B2 (ja) 2013-12-06 2018-05-30 エルジー・ケム・リミテッド ブロック共重合体
WO2015084123A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
EP3101043B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
EP3078688B1 (en) 2013-12-06 2020-03-04 LG Chem, Ltd. Block copolymer
EP3078694B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
WO2015084131A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
JP6419820B2 (ja) 2013-12-06 2018-11-07 エルジー・ケム・リミテッド ブロック共重合体
JP6432846B2 (ja) 2013-12-06 2018-12-05 エルジー・ケム・リミテッド ブロック共重合体
CN105899557B (zh) 2013-12-06 2018-10-26 株式会社Lg化学 嵌段共聚物
FR3014877B1 (fr) * 2013-12-17 2017-03-31 Arkema France Procede de nanostructuration d'un film de copolymere a blocs a partir d'un copolymere a blocs non structure a base de styrene et de methacrylate de methyle, et film de copolymere a blocs nanostructure
US9315637B2 (en) * 2013-12-20 2016-04-19 The Regents Of The University Of California Junction-functionalized block copolymers
KR102160791B1 (ko) 2014-02-03 2020-09-29 삼성디스플레이 주식회사 블록 공중합체 및 이를 사용한 패턴 형성 방법
JP2015170723A (ja) * 2014-03-06 2015-09-28 Jsr株式会社 パターン形成方法及び自己組織化組成物
JP6072129B2 (ja) 2014-04-30 2017-02-01 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア ドーパント含有ポリマー膜を用いた基体のドーピング
JP6122906B2 (ja) 2014-06-27 2017-04-26 ダウ グローバル テクノロジーズ エルエルシー ブロックコポリマーを製造するための方法およびそれから製造される物品
JP6356096B2 (ja) 2014-06-27 2018-07-11 ダウ グローバル テクノロジーズ エルエルシー ブロックコポリマーを製造するための方法およびそれから製造される物品
KR102270752B1 (ko) 2014-08-11 2021-07-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
CN107075051B (zh) 2014-09-30 2019-09-03 株式会社Lg化学 嵌段共聚物
CN107075054B (zh) 2014-09-30 2020-05-05 株式会社Lg化学 嵌段共聚物
US10240035B2 (en) 2014-09-30 2019-03-26 Lg Chem, Ltd. Block copolymer
US10287429B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Block copolymer
CN107077066B9 (zh) * 2014-09-30 2021-05-14 株式会社Lg化学 制造图案化基底的方法
JP6394798B2 (ja) 2014-09-30 2018-09-26 エルジー・ケム・リミテッド ブロック共重合体
US10310378B2 (en) 2014-09-30 2019-06-04 Lg Chem, Ltd. Block copolymer
CN107078026B (zh) * 2014-09-30 2020-03-27 株式会社Lg化学 图案化基底的制备方法
WO2016053011A1 (ko) 2014-09-30 2016-04-07 주식회사 엘지화학 블록 공중합체
JP6538159B2 (ja) 2014-09-30 2019-07-03 エルジー・ケム・リミテッド ブロック共重合体
KR20160038711A (ko) * 2014-09-30 2016-04-07 주식회사 엘지화학 블록 공중합체
FR3031748B1 (fr) * 2015-01-21 2018-09-28 Arkema France Procede de reduction du temps d'assemblage des films ordones de copolymere a blocs
FR3031751B1 (fr) * 2015-01-21 2018-10-05 Arkema France Procede de reduction des defauts dans un film ordonne de copolymere a blocs
FR3031750B1 (fr) * 2015-01-21 2018-09-28 Arkema France Procede d'obtention de films ordonnes epais et de periodes elevees comprenant un copolymere a blocs
US10259907B2 (en) 2015-02-20 2019-04-16 Az Electronic Materials (Luxembourg) S.À R.L. Block copolymers with surface-active junction groups, compositions and processes thereof
KR20160105660A (ko) * 2015-02-27 2016-09-07 에스케이하이닉스 주식회사 서로 다른 형상의 패턴들 형성 방법
JP2016186043A (ja) * 2015-03-27 2016-10-27 東京応化工業株式会社 相分離構造を含む構造体の製造方法及び相分離構造形成用樹脂組成物
KR102329895B1 (ko) * 2015-04-06 2021-11-24 에스케이하이닉스 주식회사 패턴 형성 방법
KR101725625B1 (ko) 2015-04-09 2017-04-12 연세대학교 산학협력단 블록공중합체 박막의 나노구조체 제조방법 및 안정구조 유지시간 조절
JP6039028B1 (ja) 2015-09-11 2016-12-07 株式会社東芝 自己組織化材料及びパターン形成方法
US9574104B1 (en) * 2015-10-16 2017-02-21 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions and processes for self-assembly of block copolymers
WO2017105447A1 (en) * 2015-12-16 2017-06-22 Intel Corporation Methods and apparatuses to provide ordered porosity
JP6801671B2 (ja) 2015-12-25 2020-12-16 王子ホールディングス株式会社 パターン形成用自己組織化組成物及びパターン形成方法
KR20180112778A (ko) * 2016-02-08 2018-10-12 제이에스알 가부시끼가이샤 콘택트 홀 패턴의 형성 방법 및 조성물
US10179866B2 (en) * 2016-02-18 2019-01-15 Tokyo Ohka Kogyo Co., Ltd. Resin composition for forming a phase-separated structure, and method of producing structure containing phase-separated structure
US20190231265A1 (en) * 2016-09-12 2019-08-01 University Of Delaware Stretchable iono-elastomers with mechano-electrical response, devices incorporating iono-elastomers, and methods of making thereof
WO2018062157A1 (ja) * 2016-09-28 2018-04-05 東京応化工業株式会社 相分離構造を含む構造体の製造方法
KR102096272B1 (ko) * 2016-11-30 2020-04-02 주식회사 엘지화학 블록 공중합체
CN108227412A (zh) * 2016-12-15 2018-06-29 Imec 非营利协会 光刻掩模层
KR102308953B1 (ko) * 2017-03-10 2021-10-05 주식회사 엘지화학 패턴화 기판의 제조 방법
KR101989414B1 (ko) * 2018-01-02 2019-06-14 울산과학기술원 블록공중합체를 이용한 마이크로패턴 내부에 정렬된 금속 나노선 및 이의 제조방법
JP7018791B2 (ja) * 2018-03-15 2022-02-14 東京応化工業株式会社 相分離構造を含む構造体の製造方法
US11525842B2 (en) 2018-06-21 2022-12-13 Uchicago Argonne, Llc Multi-purpose sensors using conductive Iono-elastomers
CN111454551A (zh) * 2020-04-08 2020-07-28 辽宁大学 一种咪唑基钼酸盐离子液体在制备PLLA/ILs共混物中的应用
EP4044219A3 (en) * 2020-12-21 2022-08-31 INTEL Corporation Via opening rectification using lamellar triblock copolymer, polymer nanocomposite, or mixed epitaxy

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040175628A1 (en) * 2001-10-05 2004-09-09 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20070224823A1 (en) * 2006-03-23 2007-09-27 Sandhu Gurtej S Topography directed patterning
KR100771886B1 (ko) * 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
JP2008036491A (ja) * 2006-08-03 2008-02-21 Nippon Telegr & Teleph Corp <Ntt> パターン形成方法及びモールド

Family Cites Families (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US4818713A (en) 1987-10-20 1989-04-04 American Telephone And Telegraph Company, At&T Bell Laboratories Techniques useful in fabricating semiconductor devices having submicron features
WO1990006575A1 (de) 1988-12-07 1990-06-14 Siemens Aktiengesellschaft Magnetisches aufzeichnungsmedium sowie verfahren zu dessen herstellung
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5354489A (en) 1990-08-30 1994-10-11 Asahi Kasei Kogyo Kabushiki Kaisha Method for changing the viscosity of a fluid comprising a liquid crystal compound
US5622668A (en) 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
EP0588482B1 (en) 1992-08-07 1997-11-05 Fujikura Kasei Co., Ltd. Electro-sensitive composition
US5382373A (en) 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
WO1994012912A1 (en) 1992-11-25 1994-06-09 Hoechst Celanese Corporation Metal ion reduction in bottom anti-reflective coatings for photoresists
US5482656A (en) 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
TW272976B (zh) 1993-08-06 1996-03-21 Ciba Geigy Ag
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
GB9407803D0 (en) * 1994-04-20 1994-06-15 Ici Plc Polymeric film
US5538655A (en) 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH0867893A (ja) 1994-08-19 1996-03-12 Lubrizol Corp:The 極性固体および有機半導体の電気流動性流体
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5700902A (en) 1995-07-27 1997-12-23 Circe Biomedical, Inc. Block copolymers
WO1997006013A1 (en) 1995-08-04 1997-02-20 International Business Machines Corporation Lithographic surface or thin layer modification
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US6096636A (en) 1996-02-06 2000-08-01 Micron Technology, Inc. Methods of forming conductive lines
EP1124158A1 (en) 1996-02-26 2001-08-16 Matsushita Electric Industrial Co., Ltd. Pattern forming material and pattern forming method
US6190949B1 (en) 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
US6183856B1 (en) * 1996-07-25 2001-02-06 Mobil Oil Corporation Opaque polymeric films and processes for making same
JPH1081889A (ja) 1996-09-06 1998-03-31 Bridgestone Corp 電気粘性流体用粉体
US5904824A (en) 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6368871B1 (en) 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
JP3321392B2 (ja) 1997-08-29 2002-09-03 科学技術振興事業団 二重構造連続多孔体とその製造方法
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
KR100624648B1 (ko) 1997-12-09 2006-09-19 에스비에이 머티어리얼스 인코포레이티드 메소구조의 무기 산화물을 제조하기 위한 블록 중합체 공정
US6111323A (en) 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
CA2316834C (en) 1998-01-07 2006-01-03 Shearwater Polymers, Inc. Degradable heterobifunctional poly(ethylene glycol) acrylates and gels and conjugates derived therefrom
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
CA2324140A1 (en) 1998-03-18 1999-09-23 University Of Rochester Macromolecular self-assembly of microstructures, nanostructures, objects and mesoporous solids
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
WO1999064489A1 (fr) 1998-06-05 1999-12-16 Asahi Kasei Kogyo Kabushiki Kaisha Copolymere sequence hydrogene et composition de resine de polypropylene contenant celui-ci
US7074498B2 (en) 2002-03-22 2006-07-11 Borealis Technical Limited Influence of surface geometry on metal properties
AU4967499A (en) * 1998-07-02 2000-01-24 Massachusetts Institute Of Technology Periodic porous and relief nanostructured articles
US6897073B2 (en) 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6423410B1 (en) 1998-09-04 2002-07-23 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
EP1141128B1 (en) 1998-11-24 2006-04-12 Dow Global Technologies Inc. A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
EP1146968B1 (en) 1998-12-08 2005-02-02 Gene Logic, Inc. Process for attaching organic molecules to silicon
US6413587B1 (en) 1999-03-02 2002-07-02 International Business Machines Corporation Method for forming polymer brush pattern on a substrate surface
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
JP4012173B2 (ja) 1999-06-07 2007-11-21 株式会社東芝 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター
JP4127682B2 (ja) 1999-06-07 2008-07-30 株式会社東芝 パターン形成方法
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
EP2224508B1 (en) 1999-07-02 2016-01-06 President and Fellows of Harvard College Method of separating metallic and semiconducting nanoscopic wires
US6251791B1 (en) 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
US6312971B1 (en) 1999-08-31 2001-11-06 E Ink Corporation Solvent annealing process for forming a thin semiconductor film with advantageous properties
JP2001110801A (ja) 1999-10-05 2001-04-20 Takeshi Yao パターン形成方法、並びに電子素子、光学素子及び回路基板
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
CA2404296A1 (en) 2000-03-22 2001-09-27 University Of Massachusetts Nanocylinder arrays
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7491286B2 (en) 2000-04-21 2009-02-17 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7291284B2 (en) 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
WO2002018080A1 (fr) 2000-08-03 2002-03-07 Upepo & Maji Inc. Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur
JP3591827B2 (ja) 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
JP2002083949A (ja) 2000-09-07 2002-03-22 Nec Corp Cmosイメージセンサ及びその製造方法
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
WO2002041043A2 (en) 2000-11-14 2002-05-23 The Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6358813B1 (en) 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
NL1016779C2 (nl) 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs.
US6432811B1 (en) 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (fr) 2000-12-21 2003-02-07 Atofina Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
AU2002246978A1 (en) 2001-01-10 2002-07-24 Symyx Technologies, Inc. Polymer brushes for immobilizing molecules to a surface
US6566248B1 (en) 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
KR100878281B1 (ko) 2001-03-14 2009-01-12 유니버시티 오브 매사츄세츠 나노 제조
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US6817293B2 (en) 2001-03-28 2004-11-16 Dainippon Printing Co., Ltd. Patterning method with micro-contact printing and its printed product
US6924341B2 (en) 2001-03-30 2005-08-02 The Uab Research Foundation Polymer formation in room temperature ionic liquids
DE60236642D1 (de) 2001-04-06 2010-07-22 Univ Carnegie Mellon Verfahren zur herstellung von nanostrukturierten materialien
US20050120902A1 (en) 2001-04-25 2005-06-09 David Adams Edge transfer lithography
US20020158432A1 (en) 2001-04-30 2002-10-31 Wain Amir Waheed Infocart
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
KR100448170B1 (ko) 2001-06-23 2004-09-10 주식회사 태평양 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체
GB2393578B (en) 2001-07-09 2005-10-26 Plastic Logic Ltd Lamellar polymer architecture
US6444318B1 (en) 2001-07-17 2002-09-03 Surmodics, Inc. Self assembling monolayer compositions
DE10142691B4 (de) 2001-08-31 2006-04-20 Infineon Technologies Ag Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür
US6751491B2 (en) 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
DE10145747A1 (de) 2001-09-17 2003-04-03 Solvent Innovation Gmbh Ionische Flüssigkeiten
US20030108664A1 (en) 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
CA2467703A1 (en) 2001-11-21 2003-06-05 University Of Massachusetts Mesoporous materials and methods
JP3967114B2 (ja) 2001-11-22 2007-08-29 株式会社東芝 加工方法
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
JP3782357B2 (ja) 2002-01-18 2006-06-07 株式会社東芝 半導体発光素子の製造方法
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6958572B2 (en) 2002-02-06 2005-10-25 Ut-Battelle Llc Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process
CA2475790A1 (en) 2002-02-11 2003-08-21 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6890703B2 (en) 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US7807348B2 (en) 2002-03-20 2010-10-05 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6765030B2 (en) 2002-03-22 2004-07-20 The University Of North Carolina At Chapel Hill Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy
JP4859333B2 (ja) 2002-03-25 2012-01-25 セイコーエプソン株式会社 電子デバイス用基板の製造方法
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
JP2004005923A (ja) 2002-03-29 2004-01-08 Fujitsu Ltd 磁気ヘッドの製造方法および磁気ヘッド、パターン形成方法
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6767693B1 (en) 2002-07-30 2004-07-27 Advanced Micro Devices, Inc. Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices
DE60211685T2 (de) 2002-08-02 2007-05-10 Sony Deutschland Gmbh Verfahren zur Bindung hydophiler Substanzen an hydrophile Makromoleküle und Immobilisierung derselben auf hydrophoben Oberflächen
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
EP2233564A3 (en) 2002-10-30 2012-11-21 Hitachi, Ltd. Cell culture sheet comprising a functional substrate with a group of columnar micro-pillars and its manufacturing method
US20040084298A1 (en) 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
TW584670B (en) 2002-10-31 2004-04-21 Academia Sinica Fabrication of nanocomposite thin films for high density magnetic recording media
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
JP2006505686A (ja) 2002-11-07 2006-02-16 ロディア・シミ 両性又は双極性イオン性部分を含む制御された構造のコポリマー
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7078276B1 (en) 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
DE602004027887D1 (de) 2003-02-12 2010-08-12 Nantero Inc Einrichtungen mit vertikal angeordneten nanofabric
TWI323479B (en) 2003-02-12 2010-04-11 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
US7066801B2 (en) 2003-02-21 2006-06-27 Dow Global Technologies, Inc. Method of manufacturing a fixed abrasive material
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
TW582059B (en) 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100618184B1 (ko) 2003-03-31 2006-08-31 비오이 하이디스 테크놀로지 주식회사 결정화 방법
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (ja) 2003-05-12 2004-11-25 Seiko Epson Corp 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器
EP1479738A1 (en) 2003-05-20 2004-11-24 DSM IP Assets B.V. Hydrophobic coatings comprising reactive nano-particles
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
US7632544B2 (en) 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
US6989426B2 (en) 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US7045851B2 (en) 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
GB2403847B (en) 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
EP1511074B1 (en) 2003-08-01 2015-01-28 Imec A method for selective removal of high-K material
GB0318817D0 (en) 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
ATE383577T1 (de) 2003-09-23 2008-01-15 Wisconsin Alumni Res Found Verwendung von flüssigkristallen zum nachweis von affinitätsmikrokontakt-gedruckten biomolekülen
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
WO2005084175A2 (en) 2003-10-16 2005-09-15 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US7862849B2 (en) 2003-10-17 2011-01-04 Massachusetts Institute Of Technology Nanocontact printing
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
GB0325748D0 (en) 2003-11-05 2003-12-10 Koninkl Philips Electronics Nv A method of forming a patterned layer on a substrate
US7056757B2 (en) 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
US20050133697A1 (en) 2003-12-23 2005-06-23 Potyrailo Radislav A. Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air
US7423164B2 (en) 2003-12-31 2008-09-09 Ut-Battelle, Llc Synthesis of ionic liquids
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
DE102004006545B3 (de) 2004-02-10 2005-08-11 Infineon Technologies Ag Verfahren zum Aufweiten eines Grabens in einer Halbleiterstruktur
US7030495B2 (en) 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN100429142C (zh) 2004-03-24 2008-10-29 哈尔滨工业大学 面向纳米微加工嵌段共聚物模板自组装形态调控方法
US7015113B2 (en) 2004-04-01 2006-03-21 Micron Technology, Inc. Methods of forming trench isolation regions
US20060013956A1 (en) 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
CN101427182B (zh) 2004-04-27 2011-10-19 伊利诺伊大学评议会 用于软光刻法的复合构图设备
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
TWI256110B (en) 2004-05-18 2006-06-01 Ind Tech Res Inst Nanopatterned templates from oriented degradable diblock copolymer thin films
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
US7622367B1 (en) 2004-06-04 2009-11-24 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
WO2006003594A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
EP1763704A2 (en) 2004-06-30 2007-03-21 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
JP4389055B2 (ja) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (ja) 2004-08-23 2006-03-02 Ind Technol Res Inst 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート
KR20060020830A (ko) 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP3926360B2 (ja) 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US7196314B2 (en) 2004-11-09 2007-03-27 Omnivision Technologies, Inc. Image sensor and pixel having an anti-reflective coating over the photodiode
DE602004013338T2 (de) 2004-11-10 2009-06-10 Sony Deutschland Gmbh Stempel für die sanfte Lithographie, insbesondere für das Mikro-Kontaktdruckverfahren und Verfahren zu seiner Herstellung
US7323387B2 (en) 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
US8287957B2 (en) 2004-11-22 2012-10-16 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20080032238A1 (en) 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US7666465B2 (en) 2004-12-29 2010-02-23 Intel Corporation Introducing nanotubes in trenches and structures formed thereby
US8178165B2 (en) 2005-01-21 2012-05-15 The Regents Of The University Of California Method for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
DE102005005325B4 (de) 2005-02-04 2011-12-15 Adesto Technology Corp., Inc. Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle
US7341788B2 (en) 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7855046B2 (en) 2005-04-07 2010-12-21 The University Of North Carolina At Charlotte Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
KR100634327B1 (ko) 2005-04-13 2006-10-13 한국기계연구원 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치
KR20060113463A (ko) 2005-04-27 2006-11-02 히다치 막셀 가부시키가이샤 폴리머 기재의 표면개질방법, 폴리머 기재에 도금막을형성하는 방법, 폴리머부재의 제조방법 및 코팅부재
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7767129B2 (en) 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8399057B2 (en) 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100668846B1 (ko) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 상변환 기억 소자의 제조방법
US7771917B2 (en) 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US20110182805A1 (en) 2005-06-17 2011-07-28 Desimone Joseph M Nanoparticle fabrication methods, systems, and materials
US7507618B2 (en) 2005-06-27 2009-03-24 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7306083B2 (en) 2005-07-27 2007-12-11 Gm Global Technology Operations, Inc. Magnetorheological fluid device
US20080247987A1 (en) 2005-08-04 2008-10-09 Angiotech International Ag Block Copolymer Compositions and Uses Thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
EP1760527B1 (en) 2005-09-05 2012-06-06 DWI an der RWTH Aachen e.V. Photochemical method for manufacturing nanometrically surface-decorated substrates
JP4598639B2 (ja) 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
US20070183035A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (ja) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
DE602006000939T2 (de) 2006-01-18 2009-07-09 Consiglio Nazionale Delle Ricerche Nanometervorrichtung zur Messung der Leitfähigkeit und Quanteneffekte einzelner Moleküle sowie Verfahren zur Herstellung und Verwendung
WO2007084569A2 (en) 2006-01-20 2007-07-26 Plextronics, Inc. Electrostatic coatings and articles comprising polythiophenes
JP2007194175A (ja) 2006-01-23 2007-08-02 Seiko Epson Corp 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器
US7347953B2 (en) 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070194403A1 (en) 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US20070222995A1 (en) 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
KR100753542B1 (ko) 2006-04-19 2007-08-30 삼성전자주식회사 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법
US8080822B2 (en) 2006-05-22 2011-12-20 Nanyang Technological University Solution-processed inorganic films for organic thin film transistors
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP4421582B2 (ja) 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
KR100739000B1 (ko) 2006-09-11 2007-07-12 삼성전자주식회사 상변화 기억 소자의 형성 방법
US7795607B2 (en) 2006-09-29 2010-09-14 Intel Corporation Current focusing memory architecture for use in electrical probe-based memory storage
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
TWI311337B (en) 2006-10-02 2009-06-21 Au Optronics Corporatio Multi-domain vertical alignment pixel structure and fabrication method thereof
US7592247B2 (en) 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US8343578B2 (en) 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US7560222B2 (en) 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
KR20080069000A (ko) 2007-01-22 2008-07-25 삼성전자주식회사 액정 표시 장치
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
WO2008097300A2 (en) * 2007-02-08 2008-08-14 Regents Of The University Of Minnesota Ion gels and electronic devices utilizing ion gels
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8431149B2 (en) 2007-03-01 2013-04-30 Boston Scientific Scimed, Inc. Coated medical devices for abluminal drug delivery
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US20080233297A1 (en) 2007-03-23 2008-09-25 Xerox Corporation Methods of forming a photoreceptor device having a self-assembled patterned binder layer
US7999160B2 (en) 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (de) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US7732533B2 (en) 2007-08-31 2010-06-08 Micron Technology, Inc. Zwitterionic block copolymers and methods
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
WO2009079241A2 (en) 2007-12-07 2009-06-25 Wisconsin Alumni Research Foundation Density multiplication and improved lithography by directed block copolymer assembly
US7989026B2 (en) 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7696085B2 (en) 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8168468B2 (en) 2008-02-29 2012-05-01 Freescale Semiconductor, Inc. Method of making a semiconductor device including a bridgeable material
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8211737B2 (en) 2008-09-19 2012-07-03 The University Of Massachusetts Method of producing nanopatterned articles, and articles produced thereby
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8314206B2 (en) 2008-12-02 2012-11-20 Micron Technology, Inc. Block copolymer-comprising compositions and methods of purifying PS-b-PXVP
JP5281386B2 (ja) 2008-12-22 2013-09-04 株式会社日立製作所 高分子薄膜及びパターン媒体並びにこれらの製造方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8834956B2 (en) 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8206601B2 (en) 2009-12-18 2012-06-26 Hitachi Global Storage Technologies Netherlands B.V. Supporting membranes on nanometer-scale self-assembled films
JPWO2011102140A1 (ja) 2010-02-19 2013-06-17 東京エレクトロン株式会社 半導体装置の製造方法
WO2011163393A2 (en) 2010-06-25 2011-12-29 Nanovis, Inc. Method for producing nanosurfaces with nano, micron, and/or submicron structures on a polymer
TWI537675B (zh) 2010-10-07 2016-06-11 東京應化工業股份有限公司 導光圖型形成用負型顯像用光阻組成物、導光圖型形成方法、含嵌段共聚物之層的圖型形成方法
US20120135159A1 (en) 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
US8734904B2 (en) 2010-11-30 2014-05-27 International Business Machines Corporation Methods of forming topographical features using segregating polymer mixtures
US20120164389A1 (en) 2010-12-28 2012-06-28 Yang Xiaomin Imprint template fabrication and repair based on directed block copolymer assembly
US9299381B2 (en) 2011-02-07 2016-03-29 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
EP2594995A1 (en) 2011-11-16 2013-05-22 University College Cork A method for providing a nanopattern of metal oxide nanostructures on a substrate
US8835581B2 (en) 2012-06-08 2014-09-16 Az Electronic Materials (Luxembourg) S.A.R.L. Neutral layer polymer composition for directed self assembly and processes thereof
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
JP5758422B2 (ja) 2013-02-19 2015-08-05 株式会社東芝 パターン形成方法
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040175628A1 (en) * 2001-10-05 2004-09-09 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20070224823A1 (en) * 2006-03-23 2007-09-27 Sandhu Gurtej S Topography directed patterning
JP2008036491A (ja) * 2006-08-03 2008-02-21 Nippon Telegr & Teleph Corp <Ntt> パターン形成方法及びモールド
KR100771886B1 (ko) * 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법

Also Published As

Publication number Publication date
ATE526282T1 (de) 2011-10-15
CN101977839A (zh) 2011-02-16
EP2254827A2 (en) 2010-12-01
JP2011518652A (ja) 2011-06-30
US20090240001A1 (en) 2009-09-24
US9682857B2 (en) 2017-06-20
KR20100123920A (ko) 2010-11-25
US8641914B2 (en) 2014-02-04
US20140127456A1 (en) 2014-05-08
US20120223052A1 (en) 2012-09-06
US8425982B2 (en) 2013-04-23
JP5541539B2 (ja) 2014-07-09
EP2254827B1 (en) 2011-09-28
CN101977839B (zh) 2013-01-09
WO2009117238A2 (en) 2009-09-24
KR101254389B1 (ko) 2013-04-12
TW201004862A (en) 2010-02-01
WO2009117238A3 (en) 2010-06-17

Similar Documents

Publication Publication Date Title
TWI398403B (zh) 以離子性液體改良嵌段共聚物薄膜自我組裝之長程有序的方法
US9142420B2 (en) Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
TWI391992B (zh) 具有受限於以均等優先濕潤兩嵌段之上介面之嵌段共聚物膜的熱退火
TWI462871B (zh) 嵌段共聚物圓柱體之一維陣列及其應用
US8784974B2 (en) Sub-10 NM line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers