ATE526282T1 - Verfahren für verbesserte fernordnung bei der selbstanordnung von blockcopolymerfolien mit ionischen flüssigkeiten - Google Patents

Verfahren für verbesserte fernordnung bei der selbstanordnung von blockcopolymerfolien mit ionischen flüssigkeiten

Info

Publication number
ATE526282T1
ATE526282T1 AT09721280T AT09721280T ATE526282T1 AT E526282 T1 ATE526282 T1 AT E526282T1 AT 09721280 T AT09721280 T AT 09721280T AT 09721280 T AT09721280 T AT 09721280T AT E526282 T1 ATE526282 T1 AT E526282T1
Authority
AT
Austria
Prior art keywords
self
assembly
block copolymer
ionic liquids
copolymer film
Prior art date
Application number
AT09721280T
Other languages
English (en)
Inventor
Jennifer Regner
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Application granted granted Critical
Publication of ATE526282T1 publication Critical patent/ATE526282T1/de

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/34Applying different liquids or other fluent materials simultaneously
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • B05D3/0254After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L53/00Compositions of block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Compositions of derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L53/00Compositions of block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Compositions of derivatives of such polymers
    • C08L53/005Modified block copolymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/12Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain a coating with specific electrical properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24174Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
    • Y10T428/24182Inward from edge of web or sheet

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Graft Or Block Polymers (AREA)
  • Laminated Bodies (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)
  • Micromachines (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
AT09721280T 2008-03-21 2009-03-03 Verfahren für verbesserte fernordnung bei der selbstanordnung von blockcopolymerfolien mit ionischen flüssigkeiten ATE526282T1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/053,006 US8425982B2 (en) 2008-03-21 2008-03-21 Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
PCT/US2009/035804 WO2009117238A2 (en) 2008-03-21 2009-03-03 Methods of improving long range order in self-assembly of block copolymer films with ionic liquids

Publications (1)

Publication Number Publication Date
ATE526282T1 true ATE526282T1 (de) 2011-10-15

Family

ID=41089572

Family Applications (1)

Application Number Title Priority Date Filing Date
AT09721280T ATE526282T1 (de) 2008-03-21 2009-03-03 Verfahren für verbesserte fernordnung bei der selbstanordnung von blockcopolymerfolien mit ionischen flüssigkeiten

Country Status (8)

Country Link
US (3) US8425982B2 (de)
EP (1) EP2254827B1 (de)
JP (1) JP5541539B2 (de)
KR (1) KR101254389B1 (de)
CN (1) CN101977839B (de)
AT (1) ATE526282T1 (de)
TW (1) TWI398403B (de)
WO (1) WO2009117238A2 (de)

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8097175B2 (en) * 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
USRE48948E1 (en) 2008-04-18 2022-03-01 Warsaw Orthopedic, Inc. Clonidine compounds in a biodegradable polymer
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
IT1392754B1 (it) * 2008-12-18 2012-03-16 St Microelectronics Srl Nanoarray ad incrocio con strato organico attivo anisotropico
KR101101767B1 (ko) * 2009-05-07 2012-01-05 한국과학기술원 코일―빗형 블록 공중합체 및 이를 이용한 나노 구조체의 제조방법
US8048795B2 (en) * 2009-07-10 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-assembly pattern for semiconductor integrated circuit
US8795783B2 (en) * 2009-08-31 2014-08-05 The Regents Of The University Of Michigan Preparation of layer-by-layer materials and coatings from ionic liquids
WO2011094857A1 (en) * 2010-02-05 2011-08-11 The Governors Of The University Of Alberta Method for organizing a block copolymer
WO2011104045A1 (en) * 2010-02-26 2011-09-01 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
TWI556958B (zh) 2010-09-14 2016-11-11 東京應化工業股份有限公司 基質劑及含嵌段共聚物之層的圖型形成方法
JP5721164B2 (ja) * 2010-09-14 2015-05-20 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法
US8394224B2 (en) 2010-12-21 2013-03-12 International Business Machines Corporation Method of forming nanostructures
US20140010960A1 (en) * 2011-01-21 2014-01-09 The University Of Tokyo Method of producing microstructured gel
US9469525B2 (en) * 2011-01-31 2016-10-18 Seagate Technology Llc Modified surface for block copolymer self-assembly
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
JP5979660B2 (ja) * 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
US8961918B2 (en) * 2012-02-10 2015-02-24 Rohm And Haas Electronic Materials Llc Thermal annealing process
US8710150B2 (en) * 2012-02-10 2014-04-29 Rohm And Haas Electronic Materials Llc Blended block copolymer composition
JP5993654B2 (ja) * 2012-03-09 2016-09-14 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法、及び下地剤
JP6306810B2 (ja) 2012-03-14 2018-04-04 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
JP5934565B2 (ja) * 2012-04-20 2016-06-15 東京応化工業株式会社 パターンの縮小方法、及び組成物
US8821739B2 (en) * 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc High temperature thermal annealing process
US8821738B2 (en) * 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc Thermal annealing process
JP6239813B2 (ja) 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
JP5764102B2 (ja) 2012-09-05 2015-08-12 株式会社東芝 パターン形成方法
JP5907009B2 (ja) * 2012-09-05 2016-04-20 Jsr株式会社 パターン形成方法
JP5752655B2 (ja) 2012-09-10 2015-07-22 株式会社東芝 パターン形成方法
WO2014043083A2 (en) 2012-09-12 2014-03-20 Drexel University Polymerized ionic liquid block copolymers as battery membranes
US9153477B2 (en) * 2012-09-28 2015-10-06 Intel Corporation Directed self assembly of block copolymers to form vias aligned with interconnects
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
KR101490405B1 (ko) 2012-10-19 2015-02-06 주식회사 엘지화학 금속 나노와이어 또는 금속 나노메쉬의 금속 나노구조체의 형성 방법
US8894869B2 (en) * 2012-11-13 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process using directed self assembly
FR3000235B1 (fr) * 2012-12-21 2016-06-24 Arkema France Procede de fabrication de masques nanolithographiques
US9050621B2 (en) * 2013-01-24 2015-06-09 Corning Incorporated Surface nanofabrication methods using self-assembled polymer nanomasks
US20150336058A1 (en) * 2013-01-31 2015-11-26 Regents Of The University Of Minnesota Nanoporous filtration membranes
NL2012143A (en) * 2013-02-14 2014-08-18 Asml Netherlands Bv Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers.
US8859433B2 (en) * 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US9382444B2 (en) 2013-06-24 2016-07-05 Dow Global Technologies Llc Neutral layer polymers, methods of manufacture thereof and articles comprising the same
US9802400B2 (en) 2013-06-24 2017-10-31 Dow Global Technologies Llc Orientation control layer formed on a free top surface of a first block copolymer from a mixture of first and second block copolymers
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US10227437B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
CN105960422B (zh) 2013-12-06 2019-01-18 株式会社Lg化学 嵌段共聚物
CN105873968B (zh) 2013-12-06 2018-09-28 株式会社Lg化学 嵌段共聚物
EP3078654B1 (de) 2013-12-06 2021-07-07 LG Chem, Ltd. Monomer und blockcopolymer
EP3078687B1 (de) 2013-12-06 2020-06-03 LG Chem, Ltd. Blockcopolymer
US20150160072A1 (en) * 2013-12-06 2015-06-11 Rensselaer Polytechnic Institute Oriented backscattering wide dynamic-range optical radiation sensor
JP6334706B2 (ja) 2013-12-06 2018-05-30 エルジー・ケム・リミテッド ブロック共重合体
WO2015084123A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
EP3101043B1 (de) 2013-12-06 2021-01-27 LG Chem, Ltd. Blockcopolymer
EP3078688B1 (de) 2013-12-06 2020-03-04 LG Chem, Ltd. Blockcopolymer
EP3078694B1 (de) 2013-12-06 2021-01-27 LG Chem, Ltd. Blockcopolymer
WO2015084131A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
JP6419820B2 (ja) 2013-12-06 2018-11-07 エルジー・ケム・リミテッド ブロック共重合体
JP6432846B2 (ja) 2013-12-06 2018-12-05 エルジー・ケム・リミテッド ブロック共重合体
CN105899557B (zh) 2013-12-06 2018-10-26 株式会社Lg化学 嵌段共聚物
FR3014877B1 (fr) * 2013-12-17 2017-03-31 Arkema France Procede de nanostructuration d'un film de copolymere a blocs a partir d'un copolymere a blocs non structure a base de styrene et de methacrylate de methyle, et film de copolymere a blocs nanostructure
US9315637B2 (en) * 2013-12-20 2016-04-19 The Regents Of The University Of California Junction-functionalized block copolymers
KR102160791B1 (ko) 2014-02-03 2020-09-29 삼성디스플레이 주식회사 블록 공중합체 및 이를 사용한 패턴 형성 방법
JP2015170723A (ja) * 2014-03-06 2015-09-28 Jsr株式会社 パターン形成方法及び自己組織化組成物
JP6072129B2 (ja) 2014-04-30 2017-02-01 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア ドーパント含有ポリマー膜を用いた基体のドーピング
JP6122906B2 (ja) 2014-06-27 2017-04-26 ダウ グローバル テクノロジーズ エルエルシー ブロックコポリマーを製造するための方法およびそれから製造される物品
JP6356096B2 (ja) 2014-06-27 2018-07-11 ダウ グローバル テクノロジーズ エルエルシー ブロックコポリマーを製造するための方法およびそれから製造される物品
KR102270752B1 (ko) 2014-08-11 2021-07-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
CN107075051B (zh) 2014-09-30 2019-09-03 株式会社Lg化学 嵌段共聚物
CN107075054B (zh) 2014-09-30 2020-05-05 株式会社Lg化学 嵌段共聚物
US10240035B2 (en) 2014-09-30 2019-03-26 Lg Chem, Ltd. Block copolymer
US10287429B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Block copolymer
CN107077066B9 (zh) * 2014-09-30 2021-05-14 株式会社Lg化学 制造图案化基底的方法
JP6394798B2 (ja) 2014-09-30 2018-09-26 エルジー・ケム・リミテッド ブロック共重合体
US10310378B2 (en) 2014-09-30 2019-06-04 Lg Chem, Ltd. Block copolymer
CN107078026B (zh) * 2014-09-30 2020-03-27 株式会社Lg化学 图案化基底的制备方法
WO2016053011A1 (ko) 2014-09-30 2016-04-07 주식회사 엘지화학 블록 공중합체
JP6538159B2 (ja) 2014-09-30 2019-07-03 エルジー・ケム・リミテッド ブロック共重合体
KR20160038711A (ko) * 2014-09-30 2016-04-07 주식회사 엘지화학 블록 공중합체
FR3031748B1 (fr) * 2015-01-21 2018-09-28 Arkema France Procede de reduction du temps d'assemblage des films ordones de copolymere a blocs
FR3031751B1 (fr) * 2015-01-21 2018-10-05 Arkema France Procede de reduction des defauts dans un film ordonne de copolymere a blocs
FR3031750B1 (fr) * 2015-01-21 2018-09-28 Arkema France Procede d'obtention de films ordonnes epais et de periodes elevees comprenant un copolymere a blocs
US10259907B2 (en) 2015-02-20 2019-04-16 Az Electronic Materials (Luxembourg) S.À R.L. Block copolymers with surface-active junction groups, compositions and processes thereof
KR20160105660A (ko) * 2015-02-27 2016-09-07 에스케이하이닉스 주식회사 서로 다른 형상의 패턴들 형성 방법
JP2016186043A (ja) * 2015-03-27 2016-10-27 東京応化工業株式会社 相分離構造を含む構造体の製造方法及び相分離構造形成用樹脂組成物
KR102329895B1 (ko) * 2015-04-06 2021-11-24 에스케이하이닉스 주식회사 패턴 형성 방법
KR101725625B1 (ko) 2015-04-09 2017-04-12 연세대학교 산학협력단 블록공중합체 박막의 나노구조체 제조방법 및 안정구조 유지시간 조절
JP6039028B1 (ja) 2015-09-11 2016-12-07 株式会社東芝 自己組織化材料及びパターン形成方法
US9574104B1 (en) * 2015-10-16 2017-02-21 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions and processes for self-assembly of block copolymers
WO2017105447A1 (en) * 2015-12-16 2017-06-22 Intel Corporation Methods and apparatuses to provide ordered porosity
JP6801671B2 (ja) 2015-12-25 2020-12-16 王子ホールディングス株式会社 パターン形成用自己組織化組成物及びパターン形成方法
KR20180112778A (ko) * 2016-02-08 2018-10-12 제이에스알 가부시끼가이샤 콘택트 홀 패턴의 형성 방법 및 조성물
US10179866B2 (en) * 2016-02-18 2019-01-15 Tokyo Ohka Kogyo Co., Ltd. Resin composition for forming a phase-separated structure, and method of producing structure containing phase-separated structure
US20190231265A1 (en) * 2016-09-12 2019-08-01 University Of Delaware Stretchable iono-elastomers with mechano-electrical response, devices incorporating iono-elastomers, and methods of making thereof
WO2018062157A1 (ja) * 2016-09-28 2018-04-05 東京応化工業株式会社 相分離構造を含む構造体の製造方法
KR102096272B1 (ko) * 2016-11-30 2020-04-02 주식회사 엘지화학 블록 공중합체
CN108227412A (zh) * 2016-12-15 2018-06-29 Imec 非营利协会 光刻掩模层
KR102308953B1 (ko) * 2017-03-10 2021-10-05 주식회사 엘지화학 패턴화 기판의 제조 방법
KR101989414B1 (ko) * 2018-01-02 2019-06-14 울산과학기술원 블록공중합체를 이용한 마이크로패턴 내부에 정렬된 금속 나노선 및 이의 제조방법
JP7018791B2 (ja) * 2018-03-15 2022-02-14 東京応化工業株式会社 相分離構造を含む構造体の製造方法
US11525842B2 (en) 2018-06-21 2022-12-13 Uchicago Argonne, Llc Multi-purpose sensors using conductive Iono-elastomers
CN111454551A (zh) * 2020-04-08 2020-07-28 辽宁大学 一种咪唑基钼酸盐离子液体在制备PLLA/ILs共混物中的应用
EP4044219A3 (de) * 2020-12-21 2022-08-31 INTEL Corporation Durchgangsöffnungsrektifikation mittels lamellarem triblockcopolymer, polymernanokomposit oder gemischter epitaxie

Family Cites Families (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US4818713A (en) 1987-10-20 1989-04-04 American Telephone And Telegraph Company, At&T Bell Laboratories Techniques useful in fabricating semiconductor devices having submicron features
WO1990006575A1 (de) 1988-12-07 1990-06-14 Siemens Aktiengesellschaft Magnetisches aufzeichnungsmedium sowie verfahren zu dessen herstellung
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5354489A (en) 1990-08-30 1994-10-11 Asahi Kasei Kogyo Kabushiki Kaisha Method for changing the viscosity of a fluid comprising a liquid crystal compound
US5622668A (en) 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
EP0588482B1 (de) 1992-08-07 1997-11-05 Fujikura Kasei Co., Ltd. Elektrosensitive Zusammensetzung
US5382373A (en) 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
WO1994012912A1 (en) 1992-11-25 1994-06-09 Hoechst Celanese Corporation Metal ion reduction in bottom anti-reflective coatings for photoresists
US5482656A (en) 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
TW272976B (de) 1993-08-06 1996-03-21 Ciba Geigy Ag
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
GB9407803D0 (en) * 1994-04-20 1994-06-15 Ici Plc Polymeric film
US5538655A (en) 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH0867893A (ja) 1994-08-19 1996-03-12 Lubrizol Corp:The 極性固体および有機半導体の電気流動性流体
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5700902A (en) 1995-07-27 1997-12-23 Circe Biomedical, Inc. Block copolymers
WO1997006013A1 (en) 1995-08-04 1997-02-20 International Business Machines Corporation Lithographic surface or thin layer modification
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US6096636A (en) 1996-02-06 2000-08-01 Micron Technology, Inc. Methods of forming conductive lines
EP1124158A1 (de) 1996-02-26 2001-08-16 Matsushita Electric Industrial Co., Ltd. Bilderzeugungsmaterial und Verfahren
US6190949B1 (en) 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
US6183856B1 (en) * 1996-07-25 2001-02-06 Mobil Oil Corporation Opaque polymeric films and processes for making same
JPH1081889A (ja) 1996-09-06 1998-03-31 Bridgestone Corp 電気粘性流体用粉体
US5904824A (en) 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6368871B1 (en) 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
JP3321392B2 (ja) 1997-08-29 2002-09-03 科学技術振興事業団 二重構造連続多孔体とその製造方法
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
KR100624648B1 (ko) 1997-12-09 2006-09-19 에스비에이 머티어리얼스 인코포레이티드 메소구조의 무기 산화물을 제조하기 위한 블록 중합체 공정
US6111323A (en) 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
CA2316834C (en) 1998-01-07 2006-01-03 Shearwater Polymers, Inc. Degradable heterobifunctional poly(ethylene glycol) acrylates and gels and conjugates derived therefrom
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
CA2324140A1 (en) 1998-03-18 1999-09-23 University Of Rochester Macromolecular self-assembly of microstructures, nanostructures, objects and mesoporous solids
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
WO1999064489A1 (fr) 1998-06-05 1999-12-16 Asahi Kasei Kogyo Kabushiki Kaisha Copolymere sequence hydrogene et composition de resine de polypropylene contenant celui-ci
US7074498B2 (en) 2002-03-22 2006-07-11 Borealis Technical Limited Influence of surface geometry on metal properties
AU4967499A (en) * 1998-07-02 2000-01-24 Massachusetts Institute Of Technology Periodic porous and relief nanostructured articles
US6897073B2 (en) 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6423410B1 (en) 1998-09-04 2002-07-23 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
EP1141128B1 (de) 1998-11-24 2006-04-12 Dow Global Technologies Inc. Eine zusammensetzung enthaltend einen vernetzbaren matrixpercursor und eine porenstruktur bildendes material und eine daraus hergestellte poröse matrix
EP1146968B1 (de) 1998-12-08 2005-02-02 Gene Logic, Inc. Verfahren zur befestigung organischer moleküle auf silizium
US6413587B1 (en) 1999-03-02 2002-07-02 International Business Machines Corporation Method for forming polymer brush pattern on a substrate surface
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
JP4012173B2 (ja) 1999-06-07 2007-11-21 株式会社東芝 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター
JP4127682B2 (ja) 1999-06-07 2008-07-30 株式会社東芝 パターン形成方法
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
EP2224508B1 (de) 1999-07-02 2016-01-06 President and Fellows of Harvard College Verfahren zum Trennen von metallischen und halbleitenden nanoskopischen Drähten
US6251791B1 (en) 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
US6312971B1 (en) 1999-08-31 2001-11-06 E Ink Corporation Solvent annealing process for forming a thin semiconductor film with advantageous properties
JP2001110801A (ja) 1999-10-05 2001-04-20 Takeshi Yao パターン形成方法、並びに電子素子、光学素子及び回路基板
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
CA2404296A1 (en) 2000-03-22 2001-09-27 University Of Massachusetts Nanocylinder arrays
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7491286B2 (en) 2000-04-21 2009-02-17 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7291284B2 (en) 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
WO2002018080A1 (fr) 2000-08-03 2002-03-07 Upepo & Maji Inc. Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur
JP3591827B2 (ja) 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
JP2002083949A (ja) 2000-09-07 2002-03-22 Nec Corp Cmosイメージセンサ及びその製造方法
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
WO2002041043A2 (en) 2000-11-14 2002-05-23 The Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6358813B1 (en) 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
NL1016779C2 (nl) 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs.
US6432811B1 (en) 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (fr) 2000-12-21 2003-02-07 Atofina Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
AU2002246978A1 (en) 2001-01-10 2002-07-24 Symyx Technologies, Inc. Polymer brushes for immobilizing molecules to a surface
US6566248B1 (en) 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
KR100878281B1 (ko) 2001-03-14 2009-01-12 유니버시티 오브 매사츄세츠 나노 제조
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US6817293B2 (en) 2001-03-28 2004-11-16 Dainippon Printing Co., Ltd. Patterning method with micro-contact printing and its printed product
US6924341B2 (en) 2001-03-30 2005-08-02 The Uab Research Foundation Polymer formation in room temperature ionic liquids
DE60236642D1 (de) 2001-04-06 2010-07-22 Univ Carnegie Mellon Verfahren zur herstellung von nanostrukturierten materialien
US20050120902A1 (en) 2001-04-25 2005-06-09 David Adams Edge transfer lithography
US20020158432A1 (en) 2001-04-30 2002-10-31 Wain Amir Waheed Infocart
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
KR100448170B1 (ko) 2001-06-23 2004-09-10 주식회사 태평양 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체
GB2393578B (en) 2001-07-09 2005-10-26 Plastic Logic Ltd Lamellar polymer architecture
US6444318B1 (en) 2001-07-17 2002-09-03 Surmodics, Inc. Self assembling monolayer compositions
DE10142691B4 (de) 2001-08-31 2006-04-20 Infineon Technologies Ag Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür
US6751491B2 (en) 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
DE10145747A1 (de) 2001-09-17 2003-04-03 Solvent Innovation Gmbh Ionische Flüssigkeiten
US20030108664A1 (en) 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US6746825B2 (en) 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
CA2467703A1 (en) 2001-11-21 2003-06-05 University Of Massachusetts Mesoporous materials and methods
JP3967114B2 (ja) 2001-11-22 2007-08-29 株式会社東芝 加工方法
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
JP3782357B2 (ja) 2002-01-18 2006-06-07 株式会社東芝 半導体発光素子の製造方法
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6958572B2 (en) 2002-02-06 2005-10-25 Ut-Battelle Llc Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process
CA2475790A1 (en) 2002-02-11 2003-08-21 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6890703B2 (en) 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US7807348B2 (en) 2002-03-20 2010-10-05 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6765030B2 (en) 2002-03-22 2004-07-20 The University Of North Carolina At Chapel Hill Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy
JP4859333B2 (ja) 2002-03-25 2012-01-25 セイコーエプソン株式会社 電子デバイス用基板の製造方法
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
JP2004005923A (ja) 2002-03-29 2004-01-08 Fujitsu Ltd 磁気ヘッドの製造方法および磁気ヘッド、パターン形成方法
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6767693B1 (en) 2002-07-30 2004-07-27 Advanced Micro Devices, Inc. Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices
DE60211685T2 (de) 2002-08-02 2007-05-10 Sony Deutschland Gmbh Verfahren zur Bindung hydophiler Substanzen an hydrophile Makromoleküle und Immobilisierung derselben auf hydrophoben Oberflächen
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
EP2233564A3 (de) 2002-10-30 2012-11-21 Hitachi, Ltd. Zellkulturträger umfassend ein funktionelles Substrat mit einer Gruppe kolumnarer Mikrosäulen und Herstellverfahren hierfür
US20040084298A1 (en) 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
TW584670B (en) 2002-10-31 2004-04-21 Academia Sinica Fabrication of nanocomposite thin films for high density magnetic recording media
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
JP2006505686A (ja) 2002-11-07 2006-02-16 ロディア・シミ 両性又は双極性イオン性部分を含む制御された構造のコポリマー
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7078276B1 (en) 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
DE602004027887D1 (de) 2003-02-12 2010-08-12 Nantero Inc Einrichtungen mit vertikal angeordneten nanofabric
TWI323479B (en) 2003-02-12 2010-04-11 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
US7066801B2 (en) 2003-02-21 2006-06-27 Dow Global Technologies, Inc. Method of manufacturing a fixed abrasive material
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
TW582059B (en) 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100618184B1 (ko) 2003-03-31 2006-08-31 비오이 하이디스 테크놀로지 주식회사 결정화 방법
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (ja) 2003-05-12 2004-11-25 Seiko Epson Corp 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器
EP1479738A1 (de) 2003-05-20 2004-11-24 DSM IP Assets B.V. Hydrophobe Beschichtungen enthaltend reaktive Nanopartikel
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
US7632544B2 (en) 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
US6989426B2 (en) 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US7045851B2 (en) 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
GB2403847B (en) 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
EP1511074B1 (de) 2003-08-01 2015-01-28 Imec Verfahren zur selektiven Entfernung von Material mit hohem K
GB0318817D0 (en) 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
ATE383577T1 (de) 2003-09-23 2008-01-15 Wisconsin Alumni Res Found Verwendung von flüssigkristallen zum nachweis von affinitätsmikrokontakt-gedruckten biomolekülen
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
WO2005084175A2 (en) 2003-10-16 2005-09-15 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US7862849B2 (en) 2003-10-17 2011-01-04 Massachusetts Institute Of Technology Nanocontact printing
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
GB0325748D0 (en) 2003-11-05 2003-12-10 Koninkl Philips Electronics Nv A method of forming a patterned layer on a substrate
US7056757B2 (en) 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
US20050133697A1 (en) 2003-12-23 2005-06-23 Potyrailo Radislav A. Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air
US7423164B2 (en) 2003-12-31 2008-09-09 Ut-Battelle, Llc Synthesis of ionic liquids
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
DE102004006545B3 (de) 2004-02-10 2005-08-11 Infineon Technologies Ag Verfahren zum Aufweiten eines Grabens in einer Halbleiterstruktur
US7030495B2 (en) 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN100429142C (zh) 2004-03-24 2008-10-29 哈尔滨工业大学 面向纳米微加工嵌段共聚物模板自组装形态调控方法
US7015113B2 (en) 2004-04-01 2006-03-21 Micron Technology, Inc. Methods of forming trench isolation regions
US20060013956A1 (en) 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
CN101427182B (zh) 2004-04-27 2011-10-19 伊利诺伊大学评议会 用于软光刻法的复合构图设备
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
TWI256110B (en) 2004-05-18 2006-06-01 Ind Tech Res Inst Nanopatterned templates from oriented degradable diblock copolymer thin films
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
US7622367B1 (en) 2004-06-04 2009-11-24 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
WO2006003594A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
EP1763704A2 (de) 2004-06-30 2007-03-21 Koninklijke Philips Electronics N.V. Weicher lithographischer stempel mit einer chemisch strukturierten oberfläche
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
JP4389055B2 (ja) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (ja) 2004-08-23 2006-03-02 Ind Technol Res Inst 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート
KR20060020830A (ko) 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP3926360B2 (ja) 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US7196314B2 (en) 2004-11-09 2007-03-27 Omnivision Technologies, Inc. Image sensor and pixel having an anti-reflective coating over the photodiode
DE602004013338T2 (de) 2004-11-10 2009-06-10 Sony Deutschland Gmbh Stempel für die sanfte Lithographie, insbesondere für das Mikro-Kontaktdruckverfahren und Verfahren zu seiner Herstellung
US7323387B2 (en) 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
US8287957B2 (en) 2004-11-22 2012-10-16 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20080032238A1 (en) 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US7666465B2 (en) 2004-12-29 2010-02-23 Intel Corporation Introducing nanotubes in trenches and structures formed thereby
US8178165B2 (en) 2005-01-21 2012-05-15 The Regents Of The University Of California Method for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
DE102005005325B4 (de) 2005-02-04 2011-12-15 Adesto Technology Corp., Inc. Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle
US7341788B2 (en) 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7855046B2 (en) 2005-04-07 2010-12-21 The University Of North Carolina At Charlotte Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
KR100634327B1 (ko) 2005-04-13 2006-10-13 한국기계연구원 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치
KR20060113463A (ko) 2005-04-27 2006-11-02 히다치 막셀 가부시키가이샤 폴리머 기재의 표면개질방법, 폴리머 기재에 도금막을형성하는 방법, 폴리머부재의 제조방법 및 코팅부재
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7767129B2 (en) 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8399057B2 (en) 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100668846B1 (ko) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 상변환 기억 소자의 제조방법
US7771917B2 (en) 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US20110182805A1 (en) 2005-06-17 2011-07-28 Desimone Joseph M Nanoparticle fabrication methods, systems, and materials
US7507618B2 (en) 2005-06-27 2009-03-24 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7306083B2 (en) 2005-07-27 2007-12-11 Gm Global Technology Operations, Inc. Magnetorheological fluid device
US20080247987A1 (en) 2005-08-04 2008-10-09 Angiotech International Ag Block Copolymer Compositions and Uses Thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
EP1760527B1 (de) 2005-09-05 2012-06-06 DWI an der RWTH Aachen e.V. Photochemische Methode für die Produktion von oberflächenverzierten Substraten im Nanometerbereich
JP4598639B2 (ja) 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
US20070183035A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (ja) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
DE602006000939T2 (de) 2006-01-18 2009-07-09 Consiglio Nazionale Delle Ricerche Nanometervorrichtung zur Messung der Leitfähigkeit und Quanteneffekte einzelner Moleküle sowie Verfahren zur Herstellung und Verwendung
WO2007084569A2 (en) 2006-01-20 2007-07-26 Plextronics, Inc. Electrostatic coatings and articles comprising polythiophenes
JP2007194175A (ja) 2006-01-23 2007-08-02 Seiko Epson Corp 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器
US7347953B2 (en) 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070194403A1 (en) 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070222995A1 (en) 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
KR100753542B1 (ko) 2006-04-19 2007-08-30 삼성전자주식회사 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법
US8080822B2 (en) 2006-05-22 2011-12-20 Nanyang Technological University Solution-processed inorganic films for organic thin film transistors
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP4421582B2 (ja) 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
KR100739000B1 (ko) 2006-09-11 2007-07-12 삼성전자주식회사 상변화 기억 소자의 형성 방법
KR100771886B1 (ko) * 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7795607B2 (en) 2006-09-29 2010-09-14 Intel Corporation Current focusing memory architecture for use in electrical probe-based memory storage
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
TWI311337B (en) 2006-10-02 2009-06-21 Au Optronics Corporatio Multi-domain vertical alignment pixel structure and fabrication method thereof
US7592247B2 (en) 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US8343578B2 (en) 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US7560222B2 (en) 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
KR20080069000A (ko) 2007-01-22 2008-07-25 삼성전자주식회사 액정 표시 장치
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
WO2008097300A2 (en) * 2007-02-08 2008-08-14 Regents Of The University Of Minnesota Ion gels and electronic devices utilizing ion gels
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8431149B2 (en) 2007-03-01 2013-04-30 Boston Scientific Scimed, Inc. Coated medical devices for abluminal drug delivery
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US20080233297A1 (en) 2007-03-23 2008-09-25 Xerox Corporation Methods of forming a photoreceptor device having a self-assembled patterned binder layer
US7999160B2 (en) 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (de) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US7732533B2 (en) 2007-08-31 2010-06-08 Micron Technology, Inc. Zwitterionic block copolymers and methods
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
WO2009079241A2 (en) 2007-12-07 2009-06-25 Wisconsin Alumni Research Foundation Density multiplication and improved lithography by directed block copolymer assembly
US7989026B2 (en) 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7696085B2 (en) 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8168468B2 (en) 2008-02-29 2012-05-01 Freescale Semiconductor, Inc. Method of making a semiconductor device including a bridgeable material
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8211737B2 (en) 2008-09-19 2012-07-03 The University Of Massachusetts Method of producing nanopatterned articles, and articles produced thereby
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8314206B2 (en) 2008-12-02 2012-11-20 Micron Technology, Inc. Block copolymer-comprising compositions and methods of purifying PS-b-PXVP
JP5281386B2 (ja) 2008-12-22 2013-09-04 株式会社日立製作所 高分子薄膜及びパターン媒体並びにこれらの製造方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8834956B2 (en) 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8206601B2 (en) 2009-12-18 2012-06-26 Hitachi Global Storage Technologies Netherlands B.V. Supporting membranes on nanometer-scale self-assembled films
JPWO2011102140A1 (ja) 2010-02-19 2013-06-17 東京エレクトロン株式会社 半導体装置の製造方法
WO2011163393A2 (en) 2010-06-25 2011-12-29 Nanovis, Inc. Method for producing nanosurfaces with nano, micron, and/or submicron structures on a polymer
TWI537675B (zh) 2010-10-07 2016-06-11 東京應化工業股份有限公司 導光圖型形成用負型顯像用光阻組成物、導光圖型形成方法、含嵌段共聚物之層的圖型形成方法
US20120135159A1 (en) 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
US8734904B2 (en) 2010-11-30 2014-05-27 International Business Machines Corporation Methods of forming topographical features using segregating polymer mixtures
US20120164389A1 (en) 2010-12-28 2012-06-28 Yang Xiaomin Imprint template fabrication and repair based on directed block copolymer assembly
US9299381B2 (en) 2011-02-07 2016-03-29 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
EP2594995A1 (de) 2011-11-16 2013-05-22 University College Cork Verfahren zum Bereitstellen eines Nanomusters von Metalloxid-Nanostrukturen auf einem Substrat
US8835581B2 (en) 2012-06-08 2014-09-16 Az Electronic Materials (Luxembourg) S.A.R.L. Neutral layer polymer composition for directed self assembly and processes thereof
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
JP5758422B2 (ja) 2013-02-19 2015-08-05 株式会社東芝 パターン形成方法
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides

Also Published As

Publication number Publication date
TWI398403B (zh) 2013-06-11
CN101977839A (zh) 2011-02-16
EP2254827A2 (de) 2010-12-01
JP2011518652A (ja) 2011-06-30
US20090240001A1 (en) 2009-09-24
US9682857B2 (en) 2017-06-20
KR20100123920A (ko) 2010-11-25
US8641914B2 (en) 2014-02-04
US20140127456A1 (en) 2014-05-08
US20120223052A1 (en) 2012-09-06
US8425982B2 (en) 2013-04-23
JP5541539B2 (ja) 2014-07-09
EP2254827B1 (de) 2011-09-28
CN101977839B (zh) 2013-01-09
WO2009117238A2 (en) 2009-09-24
KR101254389B1 (ko) 2013-04-12
TW201004862A (en) 2010-02-01
WO2009117238A3 (en) 2010-06-17

Similar Documents

Publication Publication Date Title
ATE526282T1 (de) Verfahren für verbesserte fernordnung bei der selbstanordnung von blockcopolymerfolien mit ionischen flüssigkeiten
WO2009102551A3 (en) One-dimensional arrays of block copolymer cylinders and applications thereof
BRPI0909264A2 (pt) Uso de um polímero de acrilato, película de camadas, método para produzir uma película de múltiplas camadas, e, embalagens resselável.
WO2017003639A3 (en) Free-standing non-fouling polymers, their compositions, and related monomers
CY1118957T1 (el) Μεσο χρωματογραφιας
GB2543454A (en) Thin film composite membranes with functionalized star polymers
BR112012003072A2 (pt) polimero à base de etileno, composição e camada de película
WO2017106120A3 (en) Multilayer films suitable for use in thermoforming applications
TW200728085A (en) Multilayer films including thermoplastic silicone block copolymers
BRPI0921894A2 (pt) copolímeros de polissiloxano com cadeias de polímero hidrofílicas terminais
EP2372446A3 (de) Elektrochrome Anzeigevorrichtung und Verfahren zu ihrer Herstellung
EA201590348A1 (ru) Электрически переключаемое устройство многослойного стекла
WO2009158036A3 (en) Protective film with release surface
WO2017037525A8 (ja) ホットメルト接着性樹脂フィルムおよびその製造方法
WO2007078972A3 (en) Multi-layer fluoropolymer films
BRPI0821880A2 (pt) adesivos de coextrusão aperfeiçoados para polímeros e artigos de estireno
WO2009028833A3 (en) Photochromic films and method for manufacturing the same
JP2016512478A5 (de)
DE602006002255D1 (de) Antimikrobielle fluorpolymerfolie, -beschichtungen und -artikel sowie herstellungsverfahren dafür
WO2016025564A3 (en) Reinforcing article
BR112017018651A2 (pt) processo para selar um acessório flexível a uma película flexível
EP3290103A4 (de) Polymerfunktionsfilm, verfahren zur herstellung davon, zusammensetzung zur herstellung eines polymerfunktionsfilms, trennungsmembranmodul und ionenaustauschvorrichtung
DK3652235T3 (da) Elektokrom polymer og syntese og anvendelser deraf
MX2017001711A (es) Polietileno de muy baja densidad producido con catalizador de un solo sitio.
GB2522358A (en) Ion exchange membranes and methods of making the same

Legal Events

Date Code Title Description
RER Ceased as to paragraph 5 lit. 3 law introducing patent treaties