TWI222715B - Strained channel complementary metal-oxide semiconductor and method of fabricating the same - Google Patents

Strained channel complementary metal-oxide semiconductor and method of fabricating the same Download PDF

Info

Publication number
TWI222715B
TWI222715B TW092127405A TW92127405A TWI222715B TW I222715 B TWI222715 B TW I222715B TW 092127405 A TW092127405 A TW 092127405A TW 92127405 A TW92127405 A TW 92127405A TW I222715 B TWI222715 B TW I222715B
Authority
TW
Taiwan
Prior art keywords
oxide
semiconductor
patent application
channel
scope
Prior art date
Application number
TW092127405A
Other languages
English (en)
Other versions
TW200423306A (en
Inventor
Yee-Chia Yeo
Chih-Hsin Ko
Wen-Chin Lee
Chenming Hu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Application granted granted Critical
Publication of TWI222715B publication Critical patent/TWI222715B/zh
Publication of TW200423306A publication Critical patent/TW200423306A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76237Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI

Description

1222715 五、發明說明(1) 【發明所屬之技術領域】 種包ίϊ::':關於一種場效電晶體,且特別是有關於- 廒六夕Ρ、刑 申應變之Ν型通道電晶體(NMOS)與具有壓縮 道電晶體刪)的互補式金氧半場效電晶艎 (CMOS) το件及其製作方法。 【先前技術】 隨著閘極元件尺寸的縮 (M0SFET)元件能在低操作 速的效能是相當困難的。因 氧半場效電晶體元件之效能 小化’要使金氧半場效電晶體 電壓下’具有高趨動電流和高 此’許多人在努力尋求改善金 的方法。 利用應變引發的能帶結構變型來增加載子的遷移率, 以增加場效電晶體的趨動電流,可改善 效能,i此種方法已被應用於各種元件中。件的牛; 通道係處於雙軸拉伸應變的情況。 已有研究指出利用石夕通道處於雙軸拉伸應變的情況中 來增加電子的遷移率(K· Ismail et al.,"Electron transport properties in Si/SiGe heterostructures: Measurements and device applications", Appl. Phys. Lett· 63,pp· 660,1 993·),及利用矽鍺通道處於雙轴 壓縮應變的情況中來增加電洞的遷移率(D· κ· Nayaket a 1. , "Enhancement-mode quantum-well GeSi PMOS", IEEE Elect· Dev. Lett· 12, pp. 154, 1991·)。然而, 結合具有雙軸拉伸應變之矽通道之NM0SFETs(N型金氧半場
0503-9889TWF(Nl);TSMC2003-0173;Felicia.ptd 頁 第7 1222715 五、發明說明(2) 效電晶體)及具有雙軸壓縮應變之矽鍺通道之PMOSFETs(P 型金氧半場效電晶體)之CMOS製程技術是難以達成的。在 電晶體之製造上有利用厚的缓衝層或複雜多層結構等許多 應變層製造方法(K. Ismail et al·, IBM,Jul· 1 996, Complementary metal-oxide semi conductor transistor logic using strained Si/SiGe heterostructure layers,U.S. Patent No· 553471 3·),此些方法並不易 於整合到傳統之CMOS製程中。
再者,更有研究提出以覆蓋一層應力膜於整個電晶體 上方的方式,以提供適當的應力予電晶體的通道區(A. Shimizu et a 1·, "Local mechanical stress control (LMC): A new technique for CMOS performance enhancement”, pp· 433-436 of the Digest of
Technical Papers of the 2001 International Electron Device Meeting.)
然而,於通道區導入壓縮應力有利於改善電動的遷移 速率,卻會對電子遷移率造成退化。因此,對N型通道電 晶體(NM0S)而言,需要導入拉伸應力以提升電子遷移率, 而對P型通道電晶體(PM0S)而言,需要導入壓縮應力以提 升電洞遷移率。但是在同一晶片上欲製作出同時具^有拉伸 應力通道區的N型通道電晶體(NM0S)與壓縮應力通道區 型通道電晶體(PM0S)之互補式金氧半導體(CM〇s),卻有相 當的困難。 有鑑於此,本發明提出一種可同時具拉伸應力通道區
1222715
與壓縮應力通道區的半導體基底及其製作方法 製作互補式金氧半導體。 可適用於 【發明内容】 艏月ίϊ:之目的在於一種具應變通道之互補式金氧半導 ί L ,則型通道電晶體的通道區具有拉伸庫 力,而Ρ型通道電晶體的通道區具有壓縮應力,整人 於同一晶片’以提升元件的操作速度。 本發明之主要特徵之一係在於N型通道電晶體兩 ^溝槽隔離區内順應性形成一氮化物襯墊層,帛以阻 於淺溝槽隔離區的氧化物擴散,以避免隔離氧:物 體積膨脹,並且氮化物襯墊層本身可提供N型電晶體的 導體基底通道區形成一拉伸應力。另外,將p型通道電曰 體兩侧的淺溝槽隔離區内的氮化物襯墊層施以離子佈植曰 以造成氮化物襯墊層内的缺陷形成,有利於後續填充於丄 溝槽隔離區的氧化物擴散,以於p型電晶體的半導體基、底、 通道區形成一壓縮應力。 -、為獲致上述之目的,本發明提出一種具應變通道之互 補式金氧半導體,主要係包括··一半導體基底、設置於上 述半導體基底内之複數溝槽隔離區、一氮化物襯墊層、 離子佈植氮化物襯墊層、一N型通道電晶體以及一p型通道 電晶體。其中,相鄰兩上述溝槽隔離區之間各定義出一主 動區,上述主動區包括一 N型主動區與一 P型主動區。另 外,上述氮化物襯墊層,順應性設置於上述N型主動區兩
1222715 五、發明說明(4) 側之上述溝槽隔離區與上述半導體基底之間。再者,上述 離子佈植氮化物襯墊層,順應性設置於上述p型主動區兩 側之上述溝槽隔離區與上述半導體基底之間。並且,上述 N型通道電晶體’設置於上述n型主動區上方。以及,上述 P型通道電晶體,設置於上述p型主動區上方。 如前所述,上述半導體基底包括:一矽基底、堆疊之 一矽層與一碎鍺層或堆疊之一第一矽基底、一埋入絕緣層 與一第二矽基底。 如前所述,上述溝槽隔離區之厚度大體為2〇〇〇〜6〇〇〇 A 〇 如刖所述,丄述溝槽隔離區係由一氧化物所構成。 如前所述,本發明之結構更包括:一氧化物襯墊層, 順應性設置於上述氮化物襯墊層與上述半導體基底之間。 如前所述,本#明之結構更包括:一氧化物襯墊層, 順應性設置於上述離子佈植氮化物襯墊層與上述半導體基 底之間。 土 如前所述,上述氮化物襯墊層係由氮化矽所構成,而 上述離子佈植氮化物襯墊層係由被施以離子佈植的氮化石夕 所構成。 如前所述’上述離子佈植氮化物襯墊層所被施加的離 子包括··矽(Si)離子、氮(N)離子、氦(He)離子、氖(Ne)離 子、鼠(Ar)、iS^(Xe)或錯離子。 根據本發明,上述N型主動區之上述半導體基底表層 具有一拉伸應變通道區。上述拉伸應變通道區之拉伸應變 0503-9889TW(Nl);TSMC2003.0173;Felicia.ptd 第10頁 1222715 五、發明說明(5) ' 量大體為0· 1%〜2%。 根據本發明’上述P型主動區之上述半導體基底表声 具有一壓縮應變通道區。上述壓縮應變通道區之拉伸應變 量大體為0· 1%〜2%。 … 根據前述之具應變通道之互補式金氧半導體,本發明 又提出·一種具應變通道之互補式金氧半導體的製作方 法,包括: 首先,供一半導體基底。接著,形成複數溝槽於上述 基底内,使得相鄰兩上述溝槽之間各定義出一主動區,其 中上述主動區包括一 N型主動區與一 P型主動區。接著,^ 應性形成一氮化物襯墊層,於各上述溝槽之側壁與底部。 接著,實施一離子佈植於上述P型主動區兩側之上述氮化 物襯墊層内。然後,形成複數溝槽隔離物,以填滿各上述 溝槽。接著,形成一 N型通道電晶體於上述n型主動區上 方。最後,形成一 P型通道電晶體於上述P型主動區上方。 如前所述,形成上述N型通道電晶體與上述p型通道電 晶體之後更包括:分別形成一應力膜,覆蓋於上述N型通道 電晶體與上述P型通道電晶體表面。上述應力膜係由化學 氣相沉積法(chemical vapor deposition ;CVD)所形成。 本發明之主要特徵之二係在於N型通道電晶體兩側的 淺溝槽隔離區内順應性形成一氮化物襯墊層,用以阻擋後 續填充於淺溝槽隔離區的氧化物擴散,以避免隔離氧化物 體積膨脹,並且氮化物襯墊層本身可提供N型電晶體的半 導體基底通道區形成一拉伸應力。另外,P型通道電晶體
0503-9889TWF(Nl);TSMC2003-0173;Felicia.ptd 第 11 頁 1222715
兩側的淺溝槽隔離區内並無氮化物襯墊層,後續填充於淺 溝槽隔離區的氧化物會體積膨脹,導致於p型電晶體的半 導體基底通道區形成一壓縮應力。 為獲致上述之目的’本發明提出一種具應變通道之互 補式金氧半導體’主要係包括:一半導體基底、複數溝槽 隔離區、一氮化物襯墊層、一N型通道電晶體、一P型通道 電晶體。其中,上述溝槽隔離區,設置於上述半導體基底 内,使得相鄰兩上述溝槽隔離區之間各定義出一主動區, 上述主動區包括一 N型主動區與一 p型主動區。並且,上述 氮化物襯墊層,順應性設置於上述N型主動區兩側之上述 溝槽隔離區與上述半導體基底之間。再者,上述N型通道 電晶體’設置於上述N型主動區上方。以及,上述p型通道 電晶體,設置於上述P型主動區上方。 如前所述,上述半導體基底包括:一矽基底、堆疊之 一矽層與一矽鍺層或堆疊之一第一矽基底、一埋入絕緣層 與一第二矽基底。 曰 如前所述,上述溝槽隔離區之厚度大體為2〇〇〇〜6〇〇() A 〇 如前所述,上述溝槽隔離區係由一氧化物所構成。 如前所述’本發明之結構更包括:一氧化物襯塾層, 順應性設置於上述氮化物襯墊層與上述半導體基底之間。 如前所述,上述氮化物襯墊層係由氮化矽所構成。 根據本發明’上述N型主動區之上述半導體基底表層 具有一拉伸應變通道區,上述拉伸應變通道區之拉伸應變
1222715 五、發明說明(7) 量大艘為0. 1%〜2%。 根據本發明,上述p切 - m m m m ^ ^ 生動£之上述半導體基底表層 量大體為0· 1%〜2%。 _應隻通道區之拉伸應變 根據前述之具應變通道之 更提出一種具應變通s ^ ^式金乳丰導體,本發明 包括:禋具應變通道之互補式金氧半導體的製作方法, 述基:ί’ί供一半導體基底。接著,形成複數溝槽於上 ΐΐίϊ主Γ3:兩上述溝槽之間各定義出-主動區 其I上述主動區包括一 Ν型主動區與一 ρ型主動區。 成-氮化物襯塾層,於上則型主動區各 ίίί?1壁與底部。接著,形成複數溝槽隔離物以 真滿各上述溝槽》形成一 Ν型通道電晶體於上述Ν型主 ^方。最後,形成一Ρ型通道電晶體於上述ρ型主動區上品 為使本發明之上述目的、特徵和優點能更明顯易僅, ^文特舉較佳實施例,並配合所附圖式,作詳細說明如 【實施方式】 實施例1 以下請參照第1 G圖,說明根據本發明之具應變通道 互補式金氧半導體之一較佳實施例。 其主要係包括:一半導體基底100、複數溝槽隔離區 0503-9889TW(Nl);TSMC2003-0173;Felicia.ptd 第13頁 1222715 五、發明說明(8) 104a、104b、一氮化物襯墊層1〇8、一離子佈植氮化物襯 墊層108a、一N型通道電晶體117以及一p型通道電晶體 116 〇 内 區 井) 其中,溝槽隔離區104a、104b設置於半導體基底1〇〇 且相鄰兩溝槽隔離區l〇4a、104b之間各定義出一主動 而主動區包括一 N型主動區(η-井)與一 p型主動區(p->溝槽隔離區104a、104b内填滿隔離氧化物112。 另外,氮化物襯墊層1 0 8順應性設置於N型主動區(n-井)兩侧之溝槽隔離區104b與半導體基底1〇〇之間。氮化物 襯塾層108的設置為本發明的特徵之一。氮化物襯墊層 可用以阻擋後續填充於淺溝槽隔離區的氧化物1丨2擴散, 進而避免隔離氧化物112體積膨脹,並且氮化物襯墊層本 身具有拉伸應力(intrinsic tensile stress),導致對溝 槽104b的側壁施加一垂直壓縮應力(vert icai compressive stress)以及可提供N型電晶體117的半導體 基底100通道區形成一拉伸應力。 再者,離子佈植氮化物襯墊層1 〇 8 a順應性設置於P型 主動區(ρ-井)兩側之溝槽隔離區l〇4a與半導體基底1〇〇之 間。離子佈植氮化物襯墊層1 〇 8 a内具有缺陷,有利於後續 填充於淺溝槽隔離區的氧化物擴散,造成體積膨脹,以於 P型電晶體116的半導體基底1〇〇通道區形成一壓縮應力。 並且,N型通道電晶體117設置於N型主動區(η-井)上 方。以及,Ρ型通道電晶體116,設置於ρ型主動區(Ρ—井) 上方。如此一來,Ν型通道電晶體117下方的通道區具有一
0503-9889TW(Nl);TSMa〇03«〇l73;Felicia.ptd 第14頁 ^22715
拉伸應力,可提升電子遷移率❶p型通道電晶體116下方的 通道區具有一慶縮應力,可提升電洞遷移率。 實施例2 以下請配合參考第1A圖至第11{圖之製程剖面圖,說明 根據本發明之實施例1之具應變通道之互補式金 之製作方法。 首先,請參照第1A圖,提供一半導體基底1〇〇,其包 括:一矽基底、堆疊之一矽層與一矽鍺層或是堆疊之一第 一矽基底、一埋入絕緣層與一第二矽基底,即所謂的絕緣 層上覆矽(si 1 icon-on-insula tor ; SOI),甚至可以县 a 含碎化鎵或磷化銦的化合物。 接著,凊參照第1 B圖,形成複數溝槽1 〇 4 a、1 q 4匕於半 導體基底100内。例如先形成一圖案化罩幕層1〇2於半導體 基底1 00表面,然後利用適當蝕刻法,例如:非等向性電漿 蝕刻法(anisotropic plasma etching),該電漿可以為含 氟化學物質,較佳為CF4,透過圖案化罩幕層102以形成複 數溝槽104a、l〇4b,使得相鄰兩溝槽丨04a、1041)之間各定 義出一主動區。本發明係強調應用kCM0S元件,所以圖式 中主動區包括一N型主動區(11-井)與一p型主動區㈧一井)二 N型主動區(η-井)與p型主動區㈧—井)係分別以摻雜不同導 電型態的摻雜物於半導體基底丨00内所形成。溝槽隔離區 104a、104b之厚度大體為2〇〇〇〜6〇〇〇 Α。圖案化^幕層1〇2 之材質可包括··氧化矽、氮化矽或是堆疊之氧化矽與&化
1222715 五、發明說明(ίο) 矽,其中以堆疊之氧化矽與氮化矽為較佳。 接著’請參照第1C圖,先例如以熱氧化法(the rma 1 oxidation)於溫度約600〜l〇〇(Tc下通入水氣與氧氣,或是· 直接以化學氣相沉積法(CVD),順應性形成一氧化物襯墊 _ 層106於溝槽104a、104b的側壁與底部表面。接著,在例 如以適當之化學氣相沉積法(Chemicai vap〇r d e p o s i t i ο η,C V D )順應性形成一氣化物襯墊層1 q 8於氧化 物襯墊層1 0 6表面,使得氧化物襯墊層1 〇 6在溝槽1 〇 4 a、 10 4b内夾設於氮化物襯墊層1〇8與半導體基底1〇〇之間。氧 化物襯墊層106不僅可以增加氮化物襯墊層1〇8的附著力, 更可以緩衝以化學氣相沉積(CVD)形成氮化物襯墊層1〇8時 對半導體基底100所造成的損傷。其中,形成氮化物襯墊 層108之反應性氣體可包括氨(ammonia)與烧類(si iane)。 接著,請再參照第1 D圖,形成一離子佈植罩幕丨丨〇於 整個N型主動區(η-井)上方,其材質例如為光阻 (photoresist)。然後,以離子佈植罩幕HQ為遮蔽,實施 一離子佈植程序S1 00於P型主動區(p—井)兩側之氮化物襯 塾層108内,也就是溝槽l〇4b中之氮化物襯墊層1〇8内。離 子佈植程序S1 0 0可以為傳統的束線離子佈植程序 (beam-line ion implantation process),也可以是電衆· 入浸離子佈植(plasma immersion ion implantation ; PIII),或是任何其他習知的離子佈植程序,離子佈植 sioo可包括:矽(Si)離子、氮(N)離子、氦(He)離子、氖 (Ne)離子、氬(Ar)、氙(Xe)或鍺離子,其劑量約為每平方
0503-9889TW(Nl);TSMC2003-0173;Felicia.ptd 第16頁 1222715 五、發明說明 公分下1E13〜1E16個離子量,施加能量約為ι〇6ν〜ι〇〇|^ν。 氮化物襯墊層1 08被施加離子佈植之後會增加其内部的缺 陷,使得不僅其本身的應例會降低,更可使後續填充於溝 槽的隔離物容易擴散,進而造成體積膨脹,以至於對Ρ型 主動區(Ρ-井)的半導體基底10〇表層(即通道區)形成一壓 縮應力。 接著,請再參照第1 Ε圖,先以適當腐蝕溶液將離子佈 植罩幕11 0移除,再形成隔離物11 2以填滿溝槽1 〇4a、 10 4b。隔離物112之材質可以包括氧化物,例如氧化石夕, 或是由氧化石夕與多晶石夕之組合所構成。然後再以化學機械 研磨法(chemical mechanical polishing ;CMP)使隔離物 112表面平坦化,以完成淺溝槽隔離區(以&11(^ treneh isolation ;STI)的製作。 接著’請參照第1 F圖,再以適當腐蝕溶液移除圖案化 罩幕層102,當圖案化罩幕層102之材質包括氧化矽與^化 矽時,較佳實施例為先以熱磷酸溶液去除氮化矽, 接 釋氫氟酸去除氧化矽。 接著,請參照第1 G圖,分別形成一 N型通道電晶體11 7 於N型主動區(η-井)上方以及形成一p型通道電晶體丨16於p 型主動區(Ρ-井)上方。先於Ν型主動區(η-井)與ρ型主動區 (Ρ-井)之半導體基底1〇〇表面形成閘極介電層丨14,閑極介 電層11 4例如為氧化石夕層’其形成方法例如是利用化學°氣 相沈積法(CVD)、熱氧化法(thermal oxidation)、氣化法 (nitridation)、濺鍍法(sputtering)或是任何習知形成
1222715 五、發明說明(12) 〜 閘極介電層的方法,其材質可包括氧化矽、氮化矽、氮氧 化矽,其厚度約為3〜100 A,或是其他高介電常數(high permittivity ;high_k)材質,包括:氧化鋁(Al2〇3)、氧化 铪(Ηί〇2)、氮氧化铪(Hf0N)、矽烷化铪(HfSi〇4)、矽烷化 锆(ZrSi〇4)、氧化鑭(LaJ3)等,其等效氧化物厚度 (equivalent oxide thickness ; EOT)約為3〜100 A ,其 中閘極介電層114之材質以氮氧化矽為較佳。然後,再於 閘極介電層1 1 4表面形成一閘極層丨丨5,閘極層丨丨5知材質 包括:多晶矽、多晶矽鍺、金屬化合物包含:鉬(M〇)、鎢 (W)或氮化鈦(TiN),抑或者是其他導電材質,以多晶矽為 較佳。閘極介電層1 1 4與閘極層11 5共同構成一閘極結構 11 6、11 7。然後再利用一罩幕採用選擇性蝕刻,圖案化閘 極介電層1 1 4與閘極層π 5,以定義出閘極結構丨丨6、丨丨7的 圖案。並分別於閘極結構116、117兩側之[^型主動區(n — 井)與P型主動區(p-井)進行n型和p型離子摻雜,以及於閘 極結構116、117的側壁形成間隙壁118,間隙壁118的材質 例如為氮化矽或氧化矽。然後再例如利用離子佈植法於間 ,壁11 8外側的半導體基底丨〇 〇内形成汲極/源極。這些電 晶體的製成可以根據任何習知半導體電晶體製造技術加以 被製,在此並不加限制與贅述。 如此,在半導體基底1〇〇上,便形成N型通道電晶體 117於具有拉伸應力的通道區上方,拉伸應變通道區之拉 伸應變量大體為0· 1%〜2%,且形成p型通道電晶體116於具 有壓縮應力的通道區上方,壓縮應變通道區之拉伸應變量
1222715
〜2%。如此一來’ N型通道電晶趙"7下方的通 拉伸應力,可提升電子遷移率型通道電晶 16下方的通道區具有一壓縮應力,可提升電洞遷移 請參照第1 Η圖,N型通道電晶體丨〗7與p型通道電晶體 11 6表面更可以分別以化學氣相沉積法(^^丨ca夏vap〇r deposition ; CVD)覆蓋一應力膜122、丨2(),加以提供適當 實施例3 、以下請參照第2G圖,說明根據本發明之具應變通道之 互補式金氧半導體之一較佳實施例。 其主要係包括:一半導體基底2〇〇、複數溝槽隔離區 20 4a、204b、一氮化物襯墊層2〇8、—N型通道電晶體217 以及一P型通道電晶體216。 其中’溝槽隔離區204a、204b設置於半導體基底200 内’且相鄰兩溝槽隔離區204a、20 4b之間各定義出一主動 區,而主動區包括一N型主動區(n-井)與一p型主動區(p一 井)。溝槽隔離區204a、204b内填滿隔離氧化物212。 另外,氣化物襯墊層2 〇 8順應性設置於N型主動區(η-井)兩側之溝槽隔離區2〇4b與半導體基底20 0之間。氮化物 概塾層208的設置為本發明的特徵之一。氡化物襯墊層2〇8 可用以阻擂後續填充於淺溝槽隔離區的氧化物2丨2擴散, 進而避免隔離氧化物212體積膨脹,並且氮化物襯墊層2〇8
0503-9889TWF(Nl);TSMC2003-0173;Felicia.ptd 第19頁 1222715 發明說明(14) 本身具有拉伸應力(intrinsic tensile stress),導致對 溝槽204b的側壁施加一垂直壓縮應力(verticai compressive stress)以及可提供N型電晶體217的半導體 基底200通道區形成一拉伸應力。 然而’溝槽2 〇 4 a内並無氮化物襯墊層,後續填充於淺 溝槽隔離區的氧化物會發生擴散,造成體積膨脹,以於p 型電晶體216的半導體基底2〇〇通道區形成一壓縮應力。
並且’N型通道電晶體217設置於N型主動區(n-井)上 方。以及,Ρ型通道電晶體216,設置於ρ型主動區(1)一井) 上方。如此一來,Ν型通道電晶體2 17下方的通道區具有一 拉伸應力,可提升電子遷移率。ρ型通道電晶體216下方的 通道區具有一壓縮應力,可提升電洞遷移率。 實施例4 以下請配合參考第2Α圖至第2Η圖之製程剖面圖,說明 根據本發明之實施例3之具應變通道之互補式金氧半導體 之製作方法之一。 首先,請參照第2A圖,提供一半導體基底2〇〇,其包 括··一矽基底、堆疊之一矽層與一矽錯層或是堆最 _
-石夕基底、-埋入絕緣層與一第二石夕基底戈疋二 層上覆矽(silicon-on-insulator ;S0I),甚至可以是包 含砷化鎵或磷化銦的化合物。 接著’清參照第2B圖’形成複數溝槽2〇4a、204b於半 導體基底200内。例如先形成一圖案化罩幕層2〇2於半導體
1222715 五、發明說明(15) -------- 二表面,然後利用適當蝕刻法,例如: 蝕刻法(aniS〇tropic plasma etching),該電漿 氟化學物質,較佳為CF4,透過圖案化罩幕層2 成3 數溝槽204a、204b,使得相鄰兩溝槽2〇“、2〇4b之間^ 義出一主動區。本發明係強調應用KCM0S元件, = 中主動區包括一N型主動區(n-井)與一P型主動區 井, Ν型主動區(η-井)與ρ型主動_—井)係分別以摻雜不同導 電型態的摻雜物於半導體基底200内所形成。溝槽隔離區 204a、204b之厚度大體為2000〜600 0 Α。圖案化^幕層&2 之材質可包括··氧化矽、氮化矽或是堆疊之氧化矽與氮化 石夕’其中以堆疊之氧化矽與氮化矽為較佳。 接著,請參照第2C圖,先例如以熱氧化法(thermal oxidation)於溫度約600〜100{rc下通入水氣與氧氣,或是 直接以化學氣相沉積法(CVD),順應性形成一氧化物襯墊 層206於溝槽204a、204b的側壁與底部表面。接著,在例 如以適當之化學氣相沉積法(c h e m i c a 1 v a ρ 〇 r deposition ;CVD)順應性形成一氮化物襯墊層2〇8於氧化 物襯墊層206表面,使得氧化物襯墊層206在溝槽204a、 204b内夾設於氮化物襯墊層2〇8與半導體基底200之間。氧 化物襯墊層206不僅可以增加氮化物襯墊層208的附著力, 更可以緩衝以化學氣相沉積(CVD)形成氮化物襯墊層208時 對半導體基底200所造成的損傷。其中,形成氮化物襯墊 層208之反應性氣體可包括氨(ammonia)與烧類(siiane)。 接著,請再參照第2D圖,形成一材質例如為光阻之罩
1222715 五、發明說明(16) 幕層210於整個主動區(n—井),然後以適當溶液例 如:熱磷酸溶液,去除位於P型主動區(p_井)兩側溝槽2〇切 内之氮化物襯墊層2 〇 8。
接著,凊再參照第2E圖,先以適當腐蝕溶液將罩幕層 210移除,再形成隔離物212以填滿溝槽2〇紅、2〇仂。隔離 物212之材質可以包括氧化物,例如氧化#,或是由氧化 石夕與多晶石夕之組合所構成。然後再以化學機械研磨法 (chenncal mechanical p〇iishing ;CMp)使隔離物 212 表 面平坦化,以完成淺溝槽隔離區(shaU〇w tfeneh isolation ; STI )的製作。 接著,請參照第2F圖,再以適當腐蝕溶液移除圖案化 罩幕層202,當圖案化罩幕層202之材質包括氧化矽與氮化 矽時,較佳實施例為先以熱磷酸溶液去除氮化矽, 釋氫氟酸去除氧化石夕。 ^
接著,研參照第2 G圖,分別形成一 n型通道電晶體2 1 γ 於Ν型主動區(η-井)上方以及形成一ρ型通道電晶體216於? 型主動區(Ρ-井)上方。先於Ν型主動區(11—井)與?型主動區 (Ρ-井)之半導體基底2〇〇表面形成閘極介電層214,閘極介 電層214例如為氧化矽層,其形成方法例如是利用化學氣1 相沈積法(CVD)、熱氧化法(thermal 〇xidati〇n)、氮化法 (nitridation)、濺鍍法(sputtering)或是任何習知形 閘極介電層的方法,其材質可包括氧化矽、氮化矽、氮氧 化石夕,其厚度約為3〜ΐοοΑ,或是其他高介電常數 permittivity ; high-k)材質,包括:氧化鋁(Al2〇3)、氧化
1222715
給(HfOO、氮氧化铪(Hf0N)、矽烷化給(HfSi〇4)、矽烷化 锆(ZrSi〇4)、氧化鑭等,其等效氧化物厚度 (equivalent oxide thickness ; EOT)約為3〜100 A ,其 中閘極介電層214之材質以氮氧化矽為較佳。然後,再於 閘極介電層214表面形成一閘極層215,閘極層215知材質 包括:多晶矽、多晶矽鍺、金屬化合物包含··鉬(M〇)、鎢、 (W)或氮化鈦(TiN),抑或者是其他導電材質,以多晶矽為 較佳。閘極介電層214與閘極層215共同構成一閘極結構 216、217。然後再利用一罩幕採用選擇性蝕刻,圖案化閘 極w電層214與閘極層215,以定義出閘極結構216、217的 圖案。並分別於閘極結構216、217兩側之主動區(n — 井)與P型主動區(p-井)進行n型和p型離子摻雜,以及於閘 極結構216、217的側壁形成間隙壁218,間隙壁218的材質 例如為氮化矽或氧化矽。然後再例如利用離子佈植法於間 隙壁218外側的半導體基底2〇〇内形成汲極/源極。這些電 晶體的製成可以根據任何習知半導體電晶體製造技術加以 被製,在此並不加限制與贅述。 如此,在半導體基底200上,便形成N型通道電晶體 217於具有拉伸應力的通道區上方,拉伸應變通道區之拉 伸應變量大體為0· 1%〜2%,且形成p型通道電晶體216於具 有壓縮應力的通道區上方,壓縮應變通道區之拉伸應變量 大體為0· 1%〜2%。如此一來,N型通道電晶體217下方的通 道區具有一拉伸應力,可提升電子遷移率。P型通道電晶 體216下方的通道區具有一壓縮應力,可提升電洞遷移
1222715 五、發明說明(18) 率〇 請參照第2H圖’ N型通道電晶體217與P型通道電晶體 216表面更可以分別以化學氣相沉積法(chemical vap〇r deposition ;CVD)覆蓋一應力膜222、220,加以提供適當 的應力。 實施例5 以下請配合參考第3A圖至第3H圖之製程剖面圖,說明 根據本發明之實施例3之具應變通道之互補式金氧半導體 之製作方法之二。 首先,請參照第3A圖’提供一半導體基底3〇〇,其包 括:一矽基底、堆疊之一矽層與一矽鍺層或是堆疊之一第 一石夕基底、一埋入絕緣層與一第二石夕基底,即所謂的絕緣 層上覆石夕(silicon-on-insulator ;S0I),甚至可以是包 含砷化鎵或磷化銦的化合物。 接者,請參照第3B圖,形成複數溝槽3〇4a、3〇41)於半 導體基底300内。例如先形成一圖案化罩幕層3〇2於半導體 基底300表面,然後利用適當蝕刻法,例如:非等向性電漿 蝕刻法(anisotropic Plasma etching),該電 氟化學物質,較佳為cf4,透過圖案化罩幕層3〇2以忐3 數溝槽304a、304b ’使得相鄰兩溝槽3〇4a、3〇乜之^ \ 義出-主動區。本發明係強調應用於CM〇s元二: 中主動區包括-N型主動區(n_井)與一p 所二圖式 _主動區(η—井)與P型主動區…井)係分別以摻雜Λ導
1222715 五、發明說明(19) "~" 電型態的摻雜物於半導體基底3〇〇内所形成。溝槽隔離區 304a、304b之厚度大體為2〇〇〇〜6〇〇()a。圖案化罩幕層3〇2 之材質可包括:氧化矽、氮化矽或是堆疊之氧化矽與氮化 梦’其中以堆疊之氧化矽與氮化矽為較佳。 接著’請參照第3C圖,先例如以熱氧化法(thermal oxidation)於溫度約600〜1〇〇〇〇c下通入水氣與氧氣,或是 直接以化學氣相沉積法(CVD),順應性形成一氧化物襯墊 層306於溝槽304a、304b的側壁與底部表面。 接著,请再參照第3 D圖,進行形成氮化物襯塾層3 〇 8 步驟S300。此步驟是本發明之實施例3的結構之製作方法 中與前述實施例4主要差異的步驟。先形成一罩幕311於整 個P型主動區(p-井)上方,例如以適當之化學氣相沉積法 (chemical vapor deposition ;CVD)、含氮離子佈植法或 是在含氮氣氛下進行退火,抑或是施以含氮電漿處理,順 應性形成一氮化物襯墊層3〇8於N型主動區(n-井)之兩側溝 槽304b的氧化物襯墊層306表面,使得氧化物襯墊層3〇6在 溝槽3 0 4 a内夾設於氮化物襯墊層3 〇 8與半導體基底3 0 0之 間。氧化物襯墊層306不僅可以增加氮化物襯墊層3〇8的附 著力,更可以緩衝以化學氣相沉積(CVD)形成氮化物襯墊 層308時對半導體基底300所造成的損傷。其中,形成氮化 物襯塾層308之反應性氣體可包括氨(ammon丨a)與烧類 (silane) 〇 接著,請再參照第3E圖,先以適當腐蝕溶液將罩幕層 3 11移除,再形成隔離物3 1 2以填滿溝槽3 〇 4 a、3 0 4 b。隔離
Η
0503-9889TW(Nl);TSMC2003-0173;Felicia.ptd 第25頁 1222715
物31 2之材質可以包括氧化物,例如氧化矽,《是由氧化 石夕與多晶③之組合所構成。然後再以化學機械研磨法 (chemical mechanical p〇Hshing ;CMp)使隔離物312 表 面平坦化’以完成淺溝槽隔離區(shall〇w trench isolation ; STI)的製作。 接著,清參照第3F圖,再以適當腐蝕溶液移除圖案化 罩幕層302 ’當圖案化罩幕層3〇2之材質包括氧化矽與氮化 矽時,較佳實施例為先以熱磷酸溶液去除氮化矽,再以 釋氫氟酸去除氧化石夕。
接著,凊參照第3 G圖,分別形成一 n型通道電晶體3 i 7 於N型主動區(n-井)上方以及形成型通道電晶體216於? 51主動區(P井)上方。先於N型主動區。—井)與p型主動區 (P-井)之半導體基底300表面形成閘極介電層314,閘極介 電層3 1 4例如為氧化矽層,其形成方法例如是利用化學氣
相沈積法(CVD)、熱氧化法(thermal oxidation)、氮化法 (nitridation)、濺鍍法(sputtering)或是任何習知形成 閘極介電層的方法,其材質可包括氡化矽、氮化矽、氮氧 化矽,其厚度約為3〜100 A,或是其他高介電常數(high permittivity ;high-k)材質,包括:氧化鋁(a%)、氧化 铪(Hf02)、氮氧化铪(Hf0N)、矽烷化铪(HfSi〇4)、矽烷化 锆(ZrSiOJ、氧化鑭(1^〇3)等,其等效氧化物厚度 (equivalent oxide thickness ;E0T)約為3〜1〇〇 A ,盆 中閘極介電層314之材質以氮氧化矽為較佳。然後,再ς 閘極;I電層3 1 4表面形成一閘極層3丨5,閘極層3丨5知材質
1222715 五、發明說明(21) 一"一"—--— 包括:多晶矽、多晶矽鍺、金屬化合物包含:鉬(M〇)、 (W)或氮化鈦(TiN),抑或者是其他導電材質,以多晶石夕 較佳。閘極介電層314與閘極層315共同構成一閘極結構… 316、317。然後再利用一單幕採用選擇性蝕刻,圖案化 極介電層314與閘極層315,以定義出閘極結構316、31?的 圖案。並为別於閘極結構31 6、31 7兩側之n型主動區(n 一 井)與P型主動區(P-井)進行n型和p型離子摻雜,以及於閘 極結構316、317的側壁形成間隙壁318,間隙壁318的材質 例如為氮化矽或氧化矽。然後再例如利用離子佈植法於間 隙壁318外側的半導體基底3〇〇内形成汲極/源極。這些電 晶體的製成可以根據任何習知半導體電晶體製造技術加以 被製,在此並不加限制與贅述。 如此’在半導體基底3〇〇上,便形成n型通道電晶體 317於具有拉伸應力的通道區上方,拉伸應變通道區之拉 伸應變量大體為0 · 1 %〜2 %,且形成p型通道電晶體3丨6於具 有壓縮應力的通道區上方,壓縮應變通道區之拉伸應變量 大體為0.1%〜2%。如此一來,N型通道電晶體317下方的通 道區具有一拉伸應力,可提升電子遷移率。p型通道電晶 體316下方的通道區具有一壓縮應力,可提升電洞遷移 請參照第3 Η圖’ Ν型通道電晶體3 1 7與Ρ型填道電晶體 316表面更可以分別以化學氣相沉積法(chemical vapor deposition ;CVD)覆蓋一應力膜32 2、320,加以提供適當 的應力。
0503>9889TW(Nl);TSMC2003-0173;Felicia.ptd 第27頁 ^22715
發明優點: 1 ·根據本發明之N型通道電晶體具有拉伸應力而p型通 、電晶體具有壓縮應力,因此可同時提升N型通道的電子 ,移率以及P型通道的電洞遷移率,有效提升元件操作速 ,2·、根據本發明之互補式金氧半電晶體(CM〇s),以簡箪 的製成方式整合N型通道電晶體與p型通道電晶體於同一晶 片,分:別有適當可提升操作速度之應力。
本發明雖以較佳實施例揭露如上,然其·並非用以限定 扯發明的範圍’任何熟習此項技藝者,在不脫離本發明之 精神和範圍内,當可做各種的更動與潤飾,因此本發明之 呆”蔓範圍當視後附之申請專利範圍所界定者為準。
第28頁 1222715 圖式簡單說明 第1A圖至第1H圖係顯示根據本發明之具應變通道之互 補式金氧半導體之一較佳實施例之製程剖面圖。 第2 A圖至第2H圖係顯示根據本發明之具應變通道之互 補式金氧半導體之另一較佳實施例之製程剖面圖。 第3 A圖至第3H圖係顯示根據本發明之具應變通道之互 補式金氧半導體之又一較佳實施例之製程剖面圖。 【符號說明】 100、200、300〜半導體基底; 102、202、302〜圖案化罩幕層; . 104a、104b、204a、204b、304a、304b 〜溝槽隔離 區, 106、206、306〜氧化物襯墊層; 108、208、308〜氮化物襯墊層; 108a〜離子佈植氮化物襯墊層; 112、212、312〜隔離氧化物; 117、 217、317〜N型通道電晶體; 116、216、316〜P型通道電晶體; S1 0 0〜離子佈植程序; 11 4、2 1 4、3 1 4〜閘極介電層; 115、215、31 5〜閘極層; 118、 218、318〜間隙壁; 122、120、220、222、320、322 〜應力膜; 210、311〜罩幕層;
0503-9889TWF(Nl);TSMC2003-0173;Felicia.ptd 第 29 頁 1222715 圖式簡單說明 S1 0 0〜形成氮化物襯塾層程序 0503-9889TWF(Nl);TSMC2003-0173;Felicia.ptd 第 30 頁 1··

Claims (1)

1222715 六、申請專利範圍 ------ !· 一種具應變通道之互補式金氧半導體,包括: 一半導體基底; 複數溝槽隔離區,設置於上述半導體基底内,使得相 叙兩上述溝槽隔離區之間各定義出一主動區,其中上述主 動區包括一 N型主動區與一 P型主動區; 一氮化物襯墊層,順應性設置於上述N型主動區兩側 之上述溝槽隔離區與上述半導體基底之間; 一離子佈植氮化物襯墊層,順應性設置於上述p型主 動區兩側之上述溝槽隔離區與上述半導體基底之間; N型通道電晶體’設置於上述n型主動區上方;以及 一 P型通道電晶體,設置於上述p型主動區上方。 一 2 ·如申請專利範圍第1項所述之具應變通道之互補式 金氧半導體’其中上述溝槽隔離區係由一氧化物所構成。 3 ·如申請專利範圍第1項所述之具應變通道之互補式 金氧半導體,其中更包括:一氧化物襯墊層,順應性設置 於上述氮化物襯墊層與上述半導體基底之間。 4·如申請專利範圍第1項所述之具應變通道之互補式 金氧半導體’其中更包括:一氧化物襯墊層,順應性設置 於上述離子佈植氮化物襯墊層與上述半導體基底之間。 5 ·如申請專利範圍第1項所述之具應變通道之互補式 金氧半導體’其中上述氮化物襯墊層係由氮化石夕所構成。 6 ·如申請專利範圍第1項所述之具應變通道之互補式 金氧半導體,其中上述離子佈植氮化物襯墊層係由被施以 離子佈植的氮化矽所構成。
0503-9889TW(Nl);TSMC2003-0173;Felicia.ptd 第31頁 I 1222715 六、申請專利範圍 7·如申請專利範圍第1項所述之具應變通道之互補式 金氧半導體,其中上述離子佈植氮化物襯墊層所被施加的 離子包括:矽(si)離子、氮(Ν)離子、氦(He)離子、氖(Ne) 離子、氬(Ar)、氙(Xe)或鍺離子。 8·如申請專利範圍第1項所述之具應變通道之互補式 金氧半導體,其中上述N型主動區之上述半導體基底表層 具有一拉伸應變通道區。 9·如申請專利範圍第8項所述之具應變通道之互補式 金氧半導體,其中上述拉伸應變通道區之拉伸應變量大體 為〇· U〜2% 〇 , " _ 10 ·如申請專利範圍第1項所述之具應變通道之互補式 金氧半導體,其中上述P型主動區之上述半導體基底表層 具有一壓縮應變通道區。 ·、如申請專利範圍第1 0項所述之具應變通道之互補 式金氧半導體,其中上述壓縮應變通道區之拉伸應變量大 體為0.1%〜2%。 I2·如申請專利範圍第1項所述之具應變通道之互補式 金氧半導體’其中上述半導體基底包括:一矽基底、堆疊 石夕層與-石夕鍺層或堆疊之一第一石夕基底、一埋入絕緣 層與一第二矽基底。, 金氧導如/請範圍第1項所述之具應變通道之互補式 述溝槽隔離區之厚度大體為 2000〜6000 A。 14· 一種具應變通道之互補式金氧半導體,包括:
1222715
一半導體基底; 複數溝槽隔離區,設置於上述半導體基底内,使得相 ^述溝槽隔離區之間各定義出一主動區,其中上述主 區匕括一N型主動區與一 p型主動區; =氮化物襯墊層,順應性設置於上述N型主動區兩側 之上述溝槽隔離區與上述半導體基底之間; 一 N型通道電晶體,設置於上述n型主動區上方;以及 P型通道電晶體,設置於上述p型主動區上方。 1 5 ·、如申請專利範圍第14項所述之具應變通道之互補 式金氧半導體,其中上述溝槽隔離區係由一氧化物所構 成。 、1 6·如申請專利範圍第1 4項所述之具應變通道之互補 式金氧半導體’其中更包括:一氧化物襯塾層,順應性設 置於上述氮化物襯墊層與上述半導體基底之間。 1 7 ·如申請專利範圍第1 4項所述之具應變通道之互補 式金氧半導體’其中上述氮化物襯墊層係由氮化矽所構 成。 1 8·如申請專利範圍第14項所述之具應變通道之互補 式金氧半導體,其中上述N型主動區之上述半導體基底表 層具有一拉伸應變通道區。 1 9·如申請專利範圍第1 8項所述之具應變通道之互補 式金氧半導體,其中上述拉伸應變通道區之拉伸應變量大 體為0· Γ/。〜2% 〇 20·如申請專利範圍第14項所述之具應變通道之互補
0503-9889TW(Nl);TSMC2003-0173;Felicia.ptd 第33頁 1222715 /、、申請專利範圍 式金氧半導體,其令上述P型主動區之上述半導體基底表 層具有一壓縮應變通道區。 .21·如申請專利範圍第20項所述之具應變通道之互補 式金氧半導艘,其中上述拉伸應變通道區之拉伸應變量大 體為0. 1%〜2%。 、22·如申請專利範圍第14項所述之具應變通道之互補 式金氧半導體,其中上述半導體基底包括:一矽基底、堆 叠之一石夕層與一石夕錯層或堆疊之一第一石夕基底、一埋入絕 緣層與一第二矽基底。 , 、23·如申請專利範圍第14項所述之具應變通道之互補 式金氧半導體’其中上述溝槽隔離區之厚度大體為 2000〜6000 A 〇 24· —種具應變通道之互補式金氧半導體的製作方 法,包括: 提供一半導體基底; 形成複數溝槽於上述基底内,使得相鄰兩上述溝槽之 間各疋義出一主動區’其中上述主動區包括一N型主動區 與一 P型主動區; 順應性形成一氮化物襯墊層,於各上述溝槽之側壁與 底部; ~ 實施一離子佈植於上述P型主動區兩側之上述氮化物 襯塾層内; 形成複數溝槽隔離物,以填滿各上述溝样· 形成一 N型通道電晶體於上述N型主動區I方;以及 1 第34頁 1222715 六、申請專利範圍 形成一 P型通道電晶體於上述P型主動區上方。 25·如申請專利範圍第24項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述溝槽隔離物係由一氧 化物所構成。 26·如申請專利範圍第24項所述之具應變通道之互補 式金氧半導體的製作方法,其中形成上述氮化物襯塾層之 前更包括:順應性形成一氧化物襯墊層於各上述氮化物襯 塾層與上述半導體基底之間。 2 7·如申請專利範圍第24項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述氮化物襯墊層係由氮 化矽所構成。 28·如申請專利範圍第24項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述離子佈植所施加的離 子包括:矽(Si)離子、氮(n)離子、氦(He)離子、氖(Ne)離 子、氬(Ar)、氙(Xe)或鍺離子。 2 9 ·如申請專利範圍第2 4項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述N型主動區之上述半 導體基底表層具有一拉伸應變通道區。 3 0 ·如申請專利範圍第2 9項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述拉伸應變通道區之拉 伸應變量大體為〇· 1%〜2%。 3 1 ·如申請專利範圍第2 4項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述P型主動區之上述半 導體基底表層具有一壓縮應變通道區。
〇503.9889TW(Nl);TSMC2003-0173;Felicia.ptd 第35 K 1222715 六、申請專利範圍 、32·如申請專利範圍第31項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述壓縮應變通道區之拉 伸應變量大體為〇· 1%〜2% 〇 33.如申請專利範圍第24項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述半導體基底包括:一 石夕基底、堆疊之一矽層與一矽鍺層或堆疊之一第一矽基 底、一埋入絕緣層與一第二矽基底。 34·如申請專利範圍第24項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述溝槽之厚度大體為 2000~6000A 。 、35·如申請專利範圍第24項所述之具應變通道之互補 式金氧半導體的製作方法,其中形成上述N型通道電晶體 f上述P型通道電晶體之後更包括:分別形成一應力膜,覆 蓋於上述N型通道電晶體與上述p型通道電晶體表面。 3 6 ·如申請專利範圍第2 4項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述應力膜係由化學氣相 >儿積法(chemical vapor deposition ;CVD)所形成。 37· —種具應變通道之互補式金氧半導體的製作方 法,包括: 提供一半導體基底; 形成複數溝槽於上述基底内,使得相鄰兩上述溝槽之 間各定義出一主動區,其中上述主動區包括一主動區 與一Ρ型主動區; 順應性形成一氮化物襯墊層,於上述Ν型主動區兩側
0503-9889TW(Ni);TSMC2003-0173;Felicia.ptd 第 36 頁 1222715 六、申請專利範圍 之各上述溝槽之側壁與底部; 形成複數溝槽隔離物,以填滿各上述溝槽; 形成一 N型通道電晶體於上述n型主動區上方;以及 形成一 P型通道電晶體於上述P型主動區上方。 、3 8 ·如申請專利範圍第3 7項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述溝槽隔離物係由一氧 化物所構成。 、3 9 ·如申請專利範圍第3 7項所述之具應變通道之互補 式金氧半導體的製作方法,其中形成上述氮化物襯墊層之 前更包括:順應性形成一氧化物襯墊層於各上述氮化物襯 塾層與上述半導體基底之間。 4 〇 ·如申請專利範圍第3 7項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述氮化物襯塾層係由氮 化矽所構成。 41 ·如申請專利範圍第3 7項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述N型主動區之上述半 導體基底表層具有一拉伸應變通道區。 42·如申請專利範圍第41項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述拉伸應變通道區之拉 伸應變量大體為0.1%〜2%。、 43·如申請專利範圍第37項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述P型主動區之上述半 導體基底表層具有一壓縮應變通道區。 44·如申請專利範圍第43項所述之具應變通道之互補
1222715 六、申請專利範圍 ^金氧半導體的製作方法,直中上述壓縮應變通道區之拉 伸應變量大體為〇.1%〜2%。 /、 4 5 ·、如申請專利範圍第3 7項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述半導體基底包括:一 矽基底、堆疊之一矽層與一矽鍺層或堆疊之一第一矽基 底、一埋入絕緣層與一第二矽基底。 、46·如申請專利範圍第37項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述溝槽之厚度大體為 2000-6000 A 〇 ’
4 7 ·如申請專利範圍第3 7項所述之具應變通道之互補 式金乳半導體的製作方法,其中形成上述N型通道電晶體 與上述P型通道電晶體之後更包括:分別形成一應力膜,覆 蓋於上述N型通道電晶體與上述p型通道電晶體表面。 4 8 ·如申請專利範圍第3 7項所述之具應變通道之互補 式金氧半導體的製作方法,其中上述應力膜係由化學氣相 沉積法(chemical vapor deposition ;CVD)所形成。
0503-9889mVF(Nl);TSMC2003-0173;Felicia.ptd 第38頁
TW092127405A 2003-04-25 2003-10-03 Strained channel complementary metal-oxide semiconductor and method of fabricating the same TWI222715B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/423,513 US6882025B2 (en) 2003-04-25 2003-04-25 Strained-channel transistor and methods of manufacture

Publications (2)

Publication Number Publication Date
TWI222715B true TWI222715B (en) 2004-10-21
TW200423306A TW200423306A (en) 2004-11-01

Family

ID=33299140

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092127405A TWI222715B (en) 2003-04-25 2003-10-03 Strained channel complementary metal-oxide semiconductor and method of fabricating the same

Country Status (4)

Country Link
US (2) US6882025B2 (zh)
CN (2) CN1293637C (zh)
SG (1) SG115690A1 (zh)
TW (1) TWI222715B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI782553B (zh) * 2021-05-31 2022-11-01 力晶積成電子製造股份有限公司 半導體元件

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US20050285140A1 (en) * 2004-06-23 2005-12-29 Chih-Hsin Ko Isolation structure for strained channel transistors
US7081395B2 (en) * 2003-05-23 2006-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon strain engineering accomplished via use of specific shallow trench isolation fill materials
US6887798B2 (en) * 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US6905941B2 (en) * 2003-06-02 2005-06-14 International Business Machines Corporation Structure and method to fabricate ultra-thin Si channel devices
KR100517559B1 (ko) * 2003-06-27 2005-09-28 삼성전자주식회사 핀 전계효과 트랜지스터 및 그의 핀 형성방법
US7714384B2 (en) * 2003-09-15 2010-05-11 Seliskar John J Castellated gate MOSFET device capable of fully-depleted operation
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
JP4046069B2 (ja) * 2003-11-17 2008-02-13 ソニー株式会社 固体撮像素子及び固体撮像素子の製造方法
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
AU2003296359A1 (en) * 2003-12-08 2005-07-21 International Business Machines Corporation Reduction of boron diffusivity in pfets
WO2005064680A1 (ja) * 2003-12-25 2005-07-14 Fujitsu Limited 半導体装置および半導体集積回路装置
US7285829B2 (en) * 2004-03-31 2007-10-23 Intel Corporation Semiconductor device having a laterally modulated gate workfunction and method of fabrication
US7101765B2 (en) * 2004-03-31 2006-09-05 Intel Corporation Enhancing strained device performance by use of multi narrow section layout
DE102004020834B4 (de) * 2004-04-28 2010-07-15 Qimonda Ag Herstellungsverfahren für eine Halbleiterstruktur
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
DE102005005327A1 (de) * 2004-05-17 2005-12-15 Infineon Technologies Ag Feldefekttansistor, Transistoranordnung sowie Verfahren zur Herstellung eines halbleitenden einkristallinen Substrats und einer Transistoranordnung
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
GB0411971D0 (en) * 2004-05-28 2004-06-30 Koninkl Philips Electronics Nv Semiconductor device and method for manufacture
JP4102334B2 (ja) * 2004-06-16 2008-06-18 株式会社東芝 半導体装置及びその製造方法
US7160782B2 (en) * 2004-06-17 2007-01-09 Texas Instruments Incorporated Method of manufacture for a trench isolation structure having an implanted buffer layer
JP4813778B2 (ja) * 2004-06-30 2011-11-09 富士通セミコンダクター株式会社 半導体装置
US7186622B2 (en) * 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
JP2006049413A (ja) * 2004-08-02 2006-02-16 Fujitsu Ltd 半導体装置及びその製造方法
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
JP4888118B2 (ja) * 2004-09-16 2012-02-29 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
KR20070069160A (ko) * 2004-10-29 2007-07-02 어드밴스드 마이크로 디바이시즈, 인코포레이티드 서로 다른 스트레인드 채널 영역들을 갖는 반도체 영역들을포함하는 반도체 디바이스 및 이를 제조하는 방법
DE102004053307B4 (de) * 2004-11-04 2010-01-07 Siltronic Ag Mehrschichtenstruktur umfassend ein Substrat und eine darauf heteroepitaktisch abgeschiedene Schicht aus Silicium und Germanium und ein Verfahren zu deren Herstellung
US7190036B2 (en) * 2004-12-03 2007-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor mobility improvement by adjusting stress in shallow trench isolation
JP2006165335A (ja) * 2004-12-08 2006-06-22 Toshiba Corp 半導体装置
US7494852B2 (en) * 2005-01-06 2009-02-24 International Business Machines Corporation Method for creating a Ge-rich semiconductor material for high-performance CMOS circuits
US7465972B2 (en) 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US7701034B2 (en) * 2005-01-21 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy patterns in integrated circuit fabrication
US7429775B1 (en) 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
US7238990B2 (en) * 2005-04-06 2007-07-03 Freescale Semiconductor, Inc. Interlayer dielectric under stress for an integrated circuit
US20060244074A1 (en) * 2005-04-29 2006-11-02 Chien-Hao Chen Hybrid-strained sidewall spacer for CMOS process
US7232730B2 (en) * 2005-04-29 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a locally strained transistor
US7262484B2 (en) * 2005-05-09 2007-08-28 International Business Machines Corporation Structure and method for performance improvement in vertical bipolar transistors
KR100688547B1 (ko) * 2005-05-18 2007-03-02 삼성전자주식회사 Sti 구조를 가지는 반도체 소자 및 그 제조 방법
US7423283B1 (en) 2005-06-07 2008-09-09 Xilinx, Inc. Strain-silicon CMOS using etch-stop layer and method of manufacture
US7037856B1 (en) * 2005-06-10 2006-05-02 Sharp Laboratories Of America, Inc. Method of fabricating a low-defect strained epitaxial germanium film on silicon
US7858458B2 (en) * 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
KR100688555B1 (ko) * 2005-06-30 2007-03-02 삼성전자주식회사 Mos트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US20070010073A1 (en) * 2005-07-06 2007-01-11 Chien-Hao Chen Method of forming a MOS device having a strained channel region
US7586158B2 (en) * 2005-07-07 2009-09-08 Infineon Technologies Ag Piezoelectric stress liner for bulk and SOI
JP4664760B2 (ja) * 2005-07-12 2011-04-06 株式会社東芝 半導体装置およびその製造方法
US7488670B2 (en) * 2005-07-13 2009-02-10 Infineon Technologies Ag Direct channel stress
US7442618B2 (en) * 2005-07-16 2008-10-28 Chartered Semiconductor Manufacturing, Ltd Method to engineer etch profiles in Si substrate for advanced semiconductor devices
US7358551B2 (en) * 2005-07-21 2008-04-15 International Business Machines Corporation Structure and method for improved stress and yield in pFETs with embedded SiGe source/drain regions
US7655991B1 (en) 2005-09-08 2010-02-02 Xilinx, Inc. CMOS device with stressed sidewall spacers
TWI267926B (en) * 2005-09-23 2006-12-01 Ind Tech Res Inst A new method for high mobility enhancement strained channel CMOS with single workfunction metal-gate
US7202513B1 (en) * 2005-09-29 2007-04-10 International Business Machines Corporation Stress engineering using dual pad nitride with selective SOI device architecture
US7582947B2 (en) * 2005-10-05 2009-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High performance device design
US7936006B1 (en) 2005-10-06 2011-05-03 Xilinx, Inc. Semiconductor device with backfilled isolation
US7615806B2 (en) 2005-10-31 2009-11-10 Freescale Semiconductor, Inc. Method for forming a semiconductor structure and structure thereof
US7575975B2 (en) * 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
JP2007141912A (ja) * 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
DE102005063129B4 (de) * 2005-12-30 2010-09-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements mit Isolationsgraben mit reduzierter Seitenwandverspannung
DE102005063130B4 (de) * 2005-12-30 2017-07-27 Advanced Micro Devices, Inc. Verfahren zum Bilden einer Grabenisolationsstruktur mit unterschiedlicher Verspannung
DE102005063108A1 (de) * 2005-12-30 2007-07-12 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung eines Isolationsgrabens als eine Spannungsquelle für die Verformungsverfahrenstechnik
US7518193B2 (en) 2006-01-10 2009-04-14 International Business Machines Corporation SRAM array and analog FET with dual-strain layers comprising relaxed regions
JP2007189110A (ja) * 2006-01-13 2007-07-26 Sharp Corp 半導体装置及びその製造方法
US20070164365A1 (en) * 2006-01-17 2007-07-19 Chan Joseph Y Single stress liner for migration stability and speed
US7678630B2 (en) * 2006-02-15 2010-03-16 Infineon Technologies Ag Strained semiconductor device and method of making same
US7544584B2 (en) 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
JP2007220892A (ja) * 2006-02-16 2007-08-30 Toshiba Corp 半導体装置及びその製造方法
US8017472B2 (en) * 2006-02-17 2011-09-13 Infineon Technologies Ag CMOS devices having stress-altering material lining the isolation trenches and methods of manufacturing thereof
US20070200196A1 (en) * 2006-02-24 2007-08-30 Lattice Semiconductor Corporation Shallow trench isolation (STI) devices and processes
US7323392B2 (en) * 2006-03-28 2008-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistor with a highly stressed channel
US7566605B2 (en) * 2006-03-31 2009-07-28 Intel Corporation Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
US20070267715A1 (en) * 2006-05-18 2007-11-22 Sunil Mehta Shallow trench isolation (STI) with trench liner of increased thickness
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7462916B2 (en) * 2006-07-19 2008-12-09 International Business Machines Corporation Semiconductor devices having torsional stresses
US7485544B2 (en) * 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation
US7968960B2 (en) * 2006-08-18 2011-06-28 Micron Technology, Inc. Methods of forming strained semiconductor channels
US20080044967A1 (en) * 2006-08-19 2008-02-21 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system having strained transistor
WO2008042144A2 (en) * 2006-09-29 2008-04-10 Advanced Micro Devices, Inc. A semiconductor device comprising isolation trenches inducing different types of strain
DE102006046377A1 (de) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Isoliergräben, die unterschiedliche Arten an Verformung hervorrufen
US7524777B2 (en) * 2006-12-14 2009-04-28 Texas Instruments Incorporated Method for manufacturing an isolation structure using an energy beam treatment
US7471548B2 (en) 2006-12-15 2008-12-30 International Business Machines Corporation Structure of static random access memory with stress engineering for stability
US7727856B2 (en) * 2006-12-24 2010-06-01 Chartered Semiconductor Manufacturing, Ltd. Selective STI stress relaxation through ion implantation
US7521763B2 (en) * 2007-01-03 2009-04-21 International Business Machines Corporation Dual stress STI
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
CN101271866B (zh) * 2007-03-22 2010-05-19 中芯国际集成电路制造(上海)有限公司 用于mos晶体管的隔离结构及其形成方法
KR100842749B1 (ko) * 2007-03-27 2008-07-01 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리막 형성방법
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8110890B2 (en) 2007-06-05 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device isolation structure
US7795119B2 (en) * 2007-07-17 2010-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Flash anneal for a PAI, NiSi process
US8440539B2 (en) * 2007-07-31 2013-05-14 Freescale Semiconductor, Inc. Isolation trench processing for strain control
KR20090016984A (ko) * 2007-08-13 2009-02-18 삼성전자주식회사 트렌치 소자분리 영역을 갖는 반도체소자 및 그 제조방법
US7652335B2 (en) * 2007-10-17 2010-01-26 Toshiba America Electronics Components, Inc. Reversely tapered contact structure compatible with dual stress liner process
KR20090050899A (ko) * 2007-11-16 2009-05-20 주식회사 동부하이텍 반도체 소자의 제조 방법
KR20090056015A (ko) * 2007-11-29 2009-06-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
KR100929720B1 (ko) * 2007-12-03 2009-12-03 주식회사 동부하이텍 반도체 소자의 소자 분리막 형성 방법
US7745886B2 (en) * 2007-12-10 2010-06-29 Newport Fab, Llc Semiconductor on insulator (SOI) switching circuit
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20090166757A1 (en) * 2007-12-27 2009-07-02 International Business Machines Corporation Stress engineering for sram stability
KR101446331B1 (ko) * 2008-02-13 2014-10-02 삼성전자주식회사 반도체 소자의 제조 방법
US9368410B2 (en) * 2008-02-19 2016-06-14 Globalfoundries Inc. Semiconductor devices having tensile and/or compressive stress and methods of manufacturing
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7981763B1 (en) * 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US20100193879A1 (en) * 2009-02-05 2010-08-05 Ming-Han Liao Isolation Region Implant and Structure
JP2010283256A (ja) * 2009-06-08 2010-12-16 Toshiba Corp 半導体装置およびnand型フラッシュメモリの製造方法
CN101630660B (zh) * 2009-07-07 2013-01-23 北京大学 提高cmos晶体管抗辐照的方法、cmos晶体管及集成电路
US8218353B1 (en) 2009-09-16 2012-07-10 Altera Corporation Memory element circuitry with stressed transistors
US8138791B1 (en) 2010-01-27 2012-03-20 Altera Corporation Stressed transistors with reduced leakage
US8293602B2 (en) * 2010-11-19 2012-10-23 Micron Technology, Inc. Method of fabricating a finFET having cross-hair cells
US8609508B2 (en) * 2010-12-08 2013-12-17 Stmicroelectronics, Inc. Method of fabricating an integrated circuit having a strain inducing hollow trench isolation region
FR2972564B1 (fr) * 2011-03-08 2016-11-04 S O I Tec Silicon On Insulator Tech Procédé de traitement d'une structure de type semi-conducteur sur isolant
US8697522B2 (en) 2011-07-05 2014-04-15 International Business Machines Corporation Bulk finFET with uniform height and bottom isolation
US9318370B2 (en) * 2011-08-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. High-k dielectric liners in shallow trench isolations
JP5917861B2 (ja) 2011-08-30 2016-05-18 株式会社Screenホールディングス 基板処理方法
FR2981793A1 (fr) 2011-10-25 2013-04-26 St Microelectronics Crolles 2 Procede de fabrication de transistors a grille isolee
FR2981792A1 (fr) * 2011-10-25 2013-04-26 St Microelectronics Crolles 2 Procede de fabrication de transistors a grille isolee
JP5944149B2 (ja) * 2011-12-05 2016-07-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8685816B2 (en) * 2012-06-11 2014-04-01 Globalfoundries Inc. Methods of forming semiconductor devices by forming semiconductor channel region materials prior to forming isolation structures
US9006080B2 (en) 2013-03-12 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Varied STI liners for isolation structures in image sensing devices
US8962430B2 (en) 2013-05-31 2015-02-24 Stmicroelectronics, Inc. Method for the formation of a protective dual liner for a shallow trench isolation structure
US9419134B2 (en) 2014-01-13 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Strain enhancement for FinFETs
US9941406B2 (en) 2014-08-05 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with source/drain cladding
US9306067B2 (en) 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
CN105448914B (zh) * 2014-08-28 2019-12-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US9209301B1 (en) * 2014-09-18 2015-12-08 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
KR102320820B1 (ko) * 2015-02-24 2021-11-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9577101B2 (en) 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
CN104716083B (zh) * 2015-03-20 2018-06-26 上海华力集成电路制造有限公司 形成浅槽隔离的方法
KR102460718B1 (ko) * 2015-05-28 2022-10-31 삼성전자주식회사 집적회로 소자
KR20170065271A (ko) * 2015-12-03 2017-06-13 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9847418B1 (en) * 2016-07-26 2017-12-19 Globalfoundries Inc. Methods of forming fin cut regions by oxidizing fin portions
US10699963B2 (en) * 2017-08-31 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with isolation feature
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US10483154B1 (en) * 2018-06-22 2019-11-19 Globalfoundries Inc. Front-end-of-line device structure and method of forming such a front-end-of-line device structure
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
US11101218B2 (en) * 2018-08-24 2021-08-24 Micron Technology, Inc. Integrated assemblies having metal-containing regions coupled with semiconductor regions
US11088022B2 (en) * 2018-09-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Different isolation liners for different type FinFETs and associated isolation feature fabrication
CN109616446A (zh) * 2018-12-10 2019-04-12 德淮半导体有限公司 半导体装置的制造方法
US20220319909A1 (en) * 2021-04-01 2022-10-06 Nanya Technology Corporation Method for manufacturing a semiconductor memory device
US20230200238A1 (en) * 2021-12-17 2023-06-22 Texas Instruments Incorporated Embedded thermoelectric cooler using thermally anisotropic mesas for power device heat generating source temperature reduction

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US621131A (en) * 1899-03-14 Polishing-wheel
US4069094A (en) * 1976-12-30 1978-01-17 Rca Corporation Method of manufacturing apertured aluminum oxide substrates
JPS551103A (en) 1978-06-06 1980-01-07 Chiyou Lsi Gijutsu Kenkyu Kumiai Semiconductor resistor
US4314289A (en) * 1979-12-07 1982-02-02 International Business Machines Corporation Biased pulsed recording systems and methods
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
JPH0644590B2 (ja) * 1984-10-15 1994-06-08 日本電気株式会社 半導体装置
US4631803A (en) 1985-02-14 1986-12-30 Texas Instruments Incorporated Method of fabricating defect free trench isolation devices
US4892614A (en) * 1986-07-07 1990-01-09 Texas Instruments Incorporated Integrated circuit isolation process
JPH0640583B2 (ja) * 1987-07-16 1994-05-25 株式会社東芝 半導体装置の製造方法
US4946799A (en) 1988-07-08 1990-08-07 Texas Instruments, Incorporated Process for making high performance silicon-on-insulator transistor with body node to source node connection
JPH02273956A (ja) * 1989-04-15 1990-11-08 Fujitsu Ltd 半導体装置及びその製造方法
JPH0394479A (ja) * 1989-06-30 1991-04-19 Hitachi Ltd 感光性を有する半導体装置
US5222234A (en) * 1989-12-28 1993-06-22 International Business Machines Corp. Combining search criteria to form a single search and saving search results for additional searches in a document interchange system
US5155571A (en) * 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JP3019430B2 (ja) * 1991-01-21 2000-03-13 ソニー株式会社 半導体集積回路装置
US5338960A (en) * 1992-08-05 1994-08-16 Harris Corporation Formation of dual polarity source/drain extensions in lateral complementary channel MOS architectures
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5273915A (en) * 1992-10-05 1993-12-28 Motorola, Inc. Method for fabricating bipolar junction and MOS transistors on SOI
KR0147452B1 (ko) * 1993-11-30 1998-08-01 사토 후미오 불휘발성 반도체기억장치
JPH0846139A (ja) * 1994-05-06 1996-02-16 Texas Instr Inc <Ti> ポリシリコン抵抗器とその作成法
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) * 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US6433382B1 (en) * 1995-04-06 2002-08-13 Motorola, Inc. Split-gate vertically oriented EEPROM device and process
US5629544A (en) 1995-04-25 1997-05-13 International Business Machines Corporation Semiconductor diode with silicide films and trench isolation
US5708288A (en) * 1995-11-02 1998-01-13 Motorola, Inc. Thin film silicon on insulator semiconductor integrated circuit with electrostatic damage protection and method
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US5789807A (en) * 1996-10-15 1998-08-04 International Business Machines Corporation On-chip power distribution for improved decoupling
US5811857A (en) 1996-10-22 1998-09-22 International Business Machines Corporation Silicon-on-insulator body-coupled gated diode for electrostatic discharge (ESD) and analog applications
US5763315A (en) 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5714777A (en) 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
JP4053647B2 (ja) * 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
DE19720008A1 (de) * 1997-05-13 1998-11-19 Siemens Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6221709B1 (en) * 1997-06-30 2001-04-24 Stmicroelectronics, Inc. Method of fabricating a CMOS integrated circuit device with LDD N-channel transistor and non-LDD P-channel transistor
EP0923116A1 (en) 1997-12-12 1999-06-16 STMicroelectronics S.r.l. Process for manufacturing integrated multi-crystal silicon resistors in MOS technology and integrated MOS device comprising multi-crystal silicon resistors
US6100153A (en) * 1998-01-20 2000-08-08 International Business Machines Corporation Reliable diffusion resistor and diffusion capacitor
JP3265569B2 (ja) 1998-04-15 2002-03-11 日本電気株式会社 半導体装置及びその製造方法
US6558998B2 (en) 1998-06-15 2003-05-06 Marc Belleville SOI type integrated circuit with a decoupling capacity and process for embodiment of such a circuit
JP3403076B2 (ja) * 1998-06-30 2003-05-06 株式会社東芝 半導体装置及びその製造方法
US6387739B1 (en) 1998-08-07 2002-05-14 International Business Machines Corporation Method and improved SOI body contact structure for transistors
US6008095A (en) 1998-08-07 1999-12-28 Advanced Micro Devices, Inc. Process for formation of isolation trenches with high-K gate dielectrics
US6015993A (en) 1998-08-31 2000-01-18 International Business Machines Corporation Semiconductor diode with depleted polysilicon gate structure and method
JP2000132990A (ja) * 1998-10-27 2000-05-12 Fujitsu Ltd 冗長判定回路、半導体記憶装置及び冗長判定方法
US6258664B1 (en) 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
US6358791B1 (en) 1999-06-04 2002-03-19 International Business Machines Corporation Method for increasing a very-large-scale-integrated (VLSI) capacitor size on bulk silicon and silicon-on-insulator (SOI) wafers and structure formed thereby
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6255175B1 (en) * 2000-01-07 2001-07-03 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with minimized parasitic Miller capacitance
TW503439B (en) 2000-01-21 2002-09-21 United Microelectronics Corp Combination structure of passive element and logic circuit on silicon on insulator wafer
US6475838B1 (en) 2000-03-14 2002-11-05 International Business Machines Corporation Methods for forming decoupling capacitors
US6281059B1 (en) * 2000-05-11 2001-08-28 Worldwide Semiconductor Manufacturing Corp. Method of doing ESD protective device ion implant without additional photo mask
DE10025264A1 (de) * 2000-05-22 2001-11-29 Max Planck Gesellschaft Feldeffekt-Transistor auf der Basis von eingebetteten Clusterstrukturen und Verfahren zu seiner Herstellung
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
AU2001263211A1 (en) 2000-05-26 2001-12-11 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
JP3843708B2 (ja) 2000-07-14 2006-11-08 日本電気株式会社 半導体装置およびその製造方法ならびに薄膜コンデンサ
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
FR2812764B1 (fr) 2000-08-02 2003-01-24 St Microelectronics Sa Procede de fabrication d'un substrat de type substrat-sur- isolant ou substrat-sur-vide et dispositif obtenu
JP2002076287A (ja) * 2000-08-28 2002-03-15 Nec Kansai Ltd 半導体装置およびその製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US20020125471A1 (en) * 2000-12-04 2002-09-12 Fitzgerald Eugene A. CMOS inverter circuits utilizing strained silicon surface channel MOSFETS
US6414355B1 (en) 2001-01-26 2002-07-02 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) chip having an active layer of non-uniform thickness
US6894324B2 (en) 2001-02-15 2005-05-17 United Microelectronics Corp. Silicon-on-insulator diodes and ESD protection circuits
US6518610B2 (en) * 2001-02-20 2003-02-11 Micron Technology, Inc. Rhodium-rich oxygen barriers
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6593181B2 (en) 2001-04-20 2003-07-15 International Business Machines Corporation Tailored insulator properties for devices
US6586311B2 (en) * 2001-04-25 2003-07-01 Advanced Micro Devices, Inc. Salicide block for silicon-on-insulator (SOI) applications
JP2002329861A (ja) * 2001-05-01 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6952040B2 (en) 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6576526B2 (en) * 2001-07-09 2003-06-10 Chartered Semiconductor Manufacturing Ltd. Darc layer for MIM process integration
AU2002331077A1 (en) 2001-08-13 2003-03-03 Amberwave Systems Corporation Dram trench capacitor and method of making the same
US6521952B1 (en) * 2001-10-22 2003-02-18 United Microelectronics Corp. Method of forming a silicon controlled rectifier devices in SOI CMOS process for on-chip ESD protection
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4173658B2 (ja) * 2001-11-26 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US6784101B1 (en) * 2002-05-16 2004-08-31 Advanced Micro Devices Inc Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
AU2003238963A1 (en) 2002-06-07 2003-12-22 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6812103B2 (en) * 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6686247B1 (en) * 2002-08-22 2004-02-03 Intel Corporation Self-aligned contacts to gates
JP4030383B2 (ja) * 2002-08-26 2008-01-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6720619B1 (en) * 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US6919233B2 (en) * 2002-12-31 2005-07-19 Texas Instruments Incorporated MIM capacitors and methods for fabricating same
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6794764B1 (en) * 2003-03-05 2004-09-21 Advanced Micro Devices, Inc. Charge-trapping memory arrays resistant to damage from contact hole information
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US6872610B1 (en) * 2003-11-18 2005-03-29 Texas Instruments Incorporated Method for preventing polysilicon mushrooming during selective epitaxial processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI782553B (zh) * 2021-05-31 2022-11-01 力晶積成電子製造股份有限公司 半導體元件

Also Published As

Publication number Publication date
US7052964B2 (en) 2006-05-30
SG115690A1 (en) 2005-10-28
CN1540757A (zh) 2004-10-27
US6882025B2 (en) 2005-04-19
CN1293637C (zh) 2007-01-03
US20040212035A1 (en) 2004-10-28
US20050156274A1 (en) 2005-07-21
CN2751444Y (zh) 2006-01-11
TW200423306A (en) 2004-11-01

Similar Documents

Publication Publication Date Title
TWI222715B (en) Strained channel complementary metal-oxide semiconductor and method of fabricating the same
CN101421839B (zh) 使用金属/金属氮化物双层结构作为自对准强按比例缩放cmos器件中的栅电极
CN101388399B (zh) 混合取向技术互补金属氧化物半导体结构及其制造方法
CN103311185B (zh) 制造混合高k/金属栅堆叠件的方法
US7195969B2 (en) Strained channel CMOS device with fully silicided gate electrode
JP4473741B2 (ja) 半導体装置および半導体装置の製造方法
KR100354119B1 (ko) 0.05마이크로미터 모스 디바이스용 처치가능-스페이서대머신-게이트 공정
JP4939960B2 (ja) 半導体装置およびその製造方法
WO2010137093A1 (ja) 半導体装置及びその製造方法
JP2000243854A (ja) 半導体装置及びその製造方法
CN103311281A (zh) 半导体器件及其制造方法
TW201013850A (en) Method for forming metal gates in a gate last process
CN104282540B (zh) 晶体管及其形成方法
TW200822234A (en) Methods for forming semiconductor structures
CN104752447B (zh) 一种半导体器件及其制作方法
US7776695B2 (en) Semiconductor device structure having low and high performance devices of same conductive type on same substrate
CN103579314B (zh) 半导体器件及其制造方法
CN103066122A (zh) Mosfet及其制造方法
US7872316B2 (en) Semiconductor device and method of manufacturing semiconductor device
TW202018777A (zh) 一種製作半導體元件的方法
WO2021120358A1 (zh) 一种半导体器件及其制备方法
JP2004247341A (ja) 半導体装置
JP2006059980A (ja) 半導体装置及びその製造方法
JP2006108439A (ja) 半導体装置
CN104979289B (zh) 一种半导体器件及其制作方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees