TW412598B - Process for the transfer of a thin film comprising an inclusion creation step - Google Patents

Process for the transfer of a thin film comprising an inclusion creation step Download PDF

Info

Publication number
TW412598B
TW412598B TW087121806A TW87121806A TW412598B TW 412598 B TW412598 B TW 412598B TW 087121806 A TW087121806 A TW 087121806A TW 87121806 A TW87121806 A TW 87121806A TW 412598 B TW412598 B TW 412598B
Authority
TW
Taiwan
Prior art keywords
film
substrate
patent application
scope
item
Prior art date
Application number
TW087121806A
Other languages
English (en)
Inventor
Hubert Moriceau
Michel Bruel
Bernard Aspar
Christophe Maleville
Original Assignee
Commissariat Energie Atomique
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Commissariat Energie Atomique filed Critical Commissariat Energie Atomique
Application granted granted Critical
Publication of TW412598B publication Critical patent/TW412598B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/977Thinning or removal of substrate

Description

412598 Β7 經濟部智慧財產局員工消費合作杜印製 五、發明說明(1) 技術領域 本發明係關於一種轉移固體材料之薄膜的方法。定言 之,本方法可以用來將固體材料之薄膜轉移到由相同本質 或不同本質之固體材料所形成的載體上。 習知技藝之狀態 FR-A-2 681 472(對應於專利案US-A-5 374 564)描述 一種製造半導體材料之薄膜的方法。該案揭示將稀有或氫 氣體植入由半導體材料製程的基材内,可以在接近經植入 之離子的平均射程範圍(Rp)之深處形成一層微空隙或微泡 (也稱為”薄片”)》微空陈的觀念明顯包括微裂痕》微空隙 層的厚度係由植入條件決定。如果該基材經由其植入面與 強化器(stiffener)緊密接觸而且以夠高的溫度進行熱處理 ,則微空隙或微泡之間發生交互作用,使半導體基材分成 二半,首先半導薄膜與強化器結合,其次是與半導基材結 合之剩餘部分與強化器結合。在微空味或微泡之位置處發 生分離。熱處理係為由植入所造成之微泡或微空隙間發生 交互作用,致使薄膜和基材其餘部分分離者。因此,薄膜 係從初始基材轉移至作為該薄膜之載體的強化器《 該方法也可以用於製造除半導材料以外之結晶性或非 結晶性固體材料(導電性或介電材料)β 如果定界於基材内之薄膜本身夠堅硬(由於其厚度或 由於其機械性質)’則自行負載之薄膜可以在轉移驟冷後 獲得。請參考FR-A-2 738 671所述。 ΕΡ-Α-0 767 486揭示針對上述FR-A-2 681 472所提出 (請先閲讀背面之注4事項再填寫本Ϊ 本紙張尺度適用中國國家標準(CNS>A4規格(2】〇χ 297公釐) -4- A7 B7 五、發明說明(2) 的方法作改良。根據ΕΡ-Α-0 767 486(請參考第8欄),FR-A-2 681 472所述之方法具有下列缺點。欲轉移之薄膜的 厚度選擇自由度較弱。欲轉移之薄膜的厚度(對應於Rp)及 將薄膜從初始基材分離之條件互有關連。分離後所得之薄 膜表面的平坦度不令人滿意,而且也沒辦法在轉移期間保 持薄膜厚度均勻。ΕΡ-Α-0 767 486所提出的改良係包括在 形成於矽基材表面上之多孔矽層内深度Rp處進行離子植 入。該離子植入係造成多孔性增加至多孔層之孔壁内出現 空隙的程度。然後將該層視為細孔結構。在一些植入條件 下,根據FR-A-2 681 472所述之機制於該細孔層内進行分 離。因此,有二種區域效應,首先係由於多孔矽產生步驟 所形成之孔區域所致,其次係由小而完整的矽區域内孔之 間產生的空隙區域所致,如FR-A-2 681 472之方法所述。 因此,所提出之改良係包括使用多孔層以在分離後獲得控 制良好之均勻厚度。 ΕΡ-Α-0 767 486揭示的方法著力於形成多孔矽(多孔性 為數十個百分比),等於將矽或材料從分離區域分離,結 果造成材料變弱。 FR-A-2 681 472揭示之方法的更明顯改良係將由離子 植入法所得之微空隙層的厚度減小。這是本發明所要提出 的。 發明内容之描述 本發明所提出的改良因為初始的基材材料内產生包合 物或一組包合物,以限制離子植入步驟期間倒入的氣態化 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) <靖先《讀背面之注意Ϋ項再填寫本頁) ^ — — — — — —I — >llr—--— I — 經濟部智慧財產局員工消費合作社印製
五、發明說明(4) ’多相黏附材料的膨脹係數差異大於10%。 i請先閱讀背面之注意事項再填寫本頁) 因此’本發明之目的在於提供一種轉移至少一界定在 初始基材内之固體材料薄膜的方法,其特徵在於其包括下 列步驟: -在初始基材内’於相當薄膜之所需厚度的深度處形 成一層包合物的步琢,這些包合物係被設計用來形成接著 被植入之氣態化合物用的陷阱; -植入該氣態化合物的步驟,這些氣態化合物的植入 能量係為其在基質内平均射程範圍相當於包合物層之深度 者’植入氣態化合物的劑量係足以造成微空陈形成,可能 沿著薄膜可能與基材其餘部分分離處產生斷裂平面。 植入步驟可以利用同時或接續地植入一或多種氣態化 合物的方式進行。 經濟部智慧財產局員工消費合作社印製 初始基材可以由支撐由一或多個薄膜所組成之結構的 固體元件構成,其中該固體材料的薄膜必須定出界線。該 結構的全部或部分可以利用取向附生法獲得•該結構可以 為基材(可以是或可以不是取向附生載體)其餘部分可在薄 膜已轉移後再用來轉移另一薄膜者。包合物層可以由薄膜 沈積技術形成》然後,其可以利用產生枉體或產生顆粒的 方法形成。 包合物可以具有該氣態化合物化學親和力。包合物可 能源生自形成包合物層之材料及其相鄰之基材區域間的參 數不符。該參數不符可以包括結晶參數大小改變,沿著平 行於轉移結構表面之平面的结晶定向改變*其中一薄膜與 本紙張尺度適用中國國家標準(CNS)A4規格(2】0 X 297公芨) 412598 A7 B7 i、發明說明(6) 零。其可以由增溫或減溫而達成β本發明之該減弱步驟係 可用或不用機械應力,將薄膜從基材其餘部分分離*該熱 處理可以由例如脈衝式加熱以快速增加溫度的方法獲得》 舉例而言,該脈衝式加熱法可以是RTA(Rapid Thermal Annealing)或 RTP(Rapid Thermal Process)型。 方法也可以包括下列步驟:將界定於基材内的薄膜在 從基材其餘部分分離後與其上將結合薄膜的載體緊密接觸 。薄膜可以直接緊密接觸(例如藉由晶面結合法)或透過添 加其上之材料接觸。熱處理步驟可以用來強化界定於基材 内的薄膜和添加其上之載體間的結合* 機械應力可以在熱處理期間及/或在熱處理之後施加 ,以助於薄膜與基材其餘部分之間的分離。 本發明之方法特別適用於從初始基材轉移薄矽膜。也 可以應用於從初始基材轉移由III-V族半導材料(例如 AsGa)做成的薄膜。薄膜本身可以由薄膜結構構成。在轉 移之前已被至少部分處理過,以在其上形成積體電路或光 電元件。 圈式的簡單說明 經濟部智慧財產局員工消費合作社印製 (請先Μ讀背面之注意事項再填寫本頁) 為了進一步瞭解本發明之特徵及技術内容,請詳細參 閱以下有關本發明之說明,其實施例及所附之圖式,然而 該實施例僅供參考與說明,非為對本發明做任何限制者, 其中: 第1圊係為形成於初始載體上之基材的剖面囷,該初 始載體上係使用濺射技術,由於柱狀生長而形成包括一層 本紙張尺度適用中國國家標準<CNS)A4規格(2]〇χ297公釐) 41.2598
經濟部智慧財產局員工消費合作杜印製 五、發明說明(7) 包合物的薄膜結構; 第2圖係為形成於初始載體上之基材的剖面圖,該初 始載體上係使用濺射技術,由於柱狀生長而形成包括一層 包合物的薄膜結構: 第3囤及及第4圈係為顯示結晶组合物之柵格參數對倒 入組合物之元素含量的函數圖; 第5圓係為基材的剖面圈,其上以蝕刻法產生包合物 » 第6 A到6D囷顯示本發明的方法,其中薄膜轉移到強 化器上; 第7囷係為基材整個的剖面圖,該基材可以用來以本 發明之方法獲得SOI結構》 本發明之具體實施例的詳細說明 轉移薄膜之基材可以是固艎基材(由單一材料形成)或 複合基材,換言之,由基材與相同或不同化學及/或物理 天然物形成。 包合物可以在初始材料中產生,特別是藉由: -結構性改變初始材料(結晶結構,結晶取向,局部 不定形領域,不明(missing)材料等); -改變物理本質(增稠作用,製程期間氣體的包合物 ’植入各種離子’在數層上進行離子蝕刻及/或選擇性化 學及/或電化學蝕刻等); -改變化學本質或化學鍵(摻質效應,組合物變異效 應,先黏結構之介面的使用,沈溉物之凝核作用及/或生 <請先閲讀背面之注意事項再填寫本I> 訂il·------線. 本纸張尺度i® «巾S时料(CNS)A彳跡⑵D >= 297公爱) -10- ΐιί Π 412598 A7 B7 經濟部智.¾財產局員工消費合作社印製 五、發明說明(8)長等); •或多或少之局部材料變形(介面影響,熱處理對具 有不同膨脹係數之層的影響,連串層之間產生的應力影響 等)。 可以使用許多用來製備或處理薄膜材料的技術在相當 平行於材料表面之區域獲得包合物。 舉例而言,就應用而論,此種方法的優點在於其能使 基材針對一或多種堆跌薄膜而改變,針對部分或完全經過 處理之結構而改變,以產生微電子組件,感測器等*例如 ,該需求可能在轉移薄膜或結構欲進行熱處理,而最终載 體無法抗熱(溫度過高,熱膨脹係數差異過大等)的情況中 非常重要。 用來沈積薄膜的各種技術可以用來製造一或數層薄膜 的堆疊物,該堆疊物裡可以容易改變薄膜組合物,其應力 ’結構及形態外觀。薄膜沈積係指添加及/或製造一薄膜 。這些各種可能方法可以用來在植入氣態化合物前於初始 材料内產生包合物*所述之介面,薄膜極其鄰近區域係依 序被視為包合物的區域,作為在本方法之步驟期間植入氣 態化合物用的陷阱。 依照欲製備之材料的本質從許多沈積技術中選擇許多 沈積技術。材料可以是不定形,多晶形或單晶形》對於一 些應用而言’必須以取向附生法(均相或異相)進行沈積。 最常使用的技術包括以離子濺射進行的沈積法,以高或低 壓於氣相中反應進行的沈積法,以等離子體輔助或不以等 — — II 丨— — — — — — — > ·丨—丨!—訂· I I ! I I I- (請先Isil背面之注意事項再填寫本頁) 本紙張尺度適用令國國家標準(CNS)A4規格(210 X 297公爱) A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(9) 離子效輔助之沈積法,以分子射出進行的沈積法,以在液 相中取向附生所進行的沈積法,輔以雷射消融(laser ablation) ° 離子濺射技術能以不同取向及大小柱狀生長。這些大 小及取向可以根據沈積壓力,溫度及能量條件控制。在柱 狀生長時,停止一些條柱生長以利於其它條柱壯大。舉例 而言’再製造Co(Zr,Nb)薄膜時,沈積期間30毫托耳的有 助於柱狀生長。該影箏就初始載體平面而言可以用來 impose特定磁性性質於沈積物氬壓力。已停止生長之條柱 尾端處的區域及/或附近係為獨特區域· 第1圈說明因此所得的基材。其係從初始基材1形成, 可為複合基材或可以不是複合基材,其上以濺射法生長薄 膜結構2。結構2裡面刺激了柱狀生長,建立將作為欲植入 之氣態化合物用之陷阱區域的包合物層3»陷阱區域裡面 或周圍之斷裂表面的位置係視所產生之陷阱的效率而定。 該沈積技術也可以在中級大小的顆粒中以非常容易控 制的空間大小生長。例如’如果Tm為欲沈積材料的溶融 溫度’則使得T/Tm比例超過0.5的沈積溫度T有助於結晶 顆粒生長。關於該標題之進一步資料請參考A.g· DIRKS 及H.J. LEAMY(出版於Thin Solid Films期刊,47, 219 (1977) β顆粒間的接點也是本發明方法之包合物區域。 第2圓係說明因此所得的基材。其係藉由複合或非複 合式初始載體5形成,該初始載體5上以濺射法生長薄膜結 構6。結構6裡面刺激粒狀生長,建立了將作為欲植入之氣 (請先Μ讀背面之注意事項典填寫本買) 訂---r------線 本紙張尺度適用中國國家標準<CNS)A4規格(2】0 * 297公芨) -12- J1598
經濟部智慧財產局員工消費合作社印製 五、發明說明(10) 態化合物用之陷阱區域的包合物層7包合物區域處之斷裂 表面的位置係視所產生之陷阱的效率而定。 概言之’薄膜沈積技術可以用來獲得具精準控制厚度 之薄膜。然後可以獲得由單層或多層薄膜構成的薄結構。 薄膜沈積可以以任何結晶關係(與初始載體及/或薄膜間有 關係)或以取向附生法進行《而且,薄膜沈積必須包括沈 積含緩衝層及/或種層的多層薄膜,以形成結晶結構^注 意’就薄膜在同本質之載艘上取向附生的情況而言,介面 (如果有的話)可以位於包合物處。接著植入的氣態化合物 將位於該介面處及/或附近。 這些由一或多層薄膜形成的結構佔有包合物區域全部 或部分,其中: -薄膜的物理及/或化學本質(薄膜間的化學介面,多 層結構情況裡結晶取向的變異,稍後植入之氣態化合物的 親和力等); -存在於這些各種薄骐及所產生之介面裡的應力(由 於結晶碎不符’熱膨服係數不同,介面粗縫度大,除了欲 沈積之材料元素外的元素包合物,異相包合物等所致)。 舉例而言’可以製造多層結構,其中沈積至少一結晶 層’以一或數層薄膜從初始結晶載體分離,稱為緩衝及/ 或種層。結晶薄膜裡的結晶取向可以與初始載體的取向一 致或不同*緩衝層的角色在於刺激全部或部分薄片表面上 的結晶取向變異,尤其是關於初始載體的平面。該情況裡 ’產生應力及/或錯置區域,用來調適結晶篩。該區域位 本紙張尺度適用中國國家標準(CNS)A4規格(2】0 X 297公釐) -13- — — — — — — — — — — — I ^ - --------11-11111 · (請先閲讀背面之注意Ϋ項再填寫本頁> 4^2598
經濟部智慧財1局員工消費合作社印製 五、發明說明(n) 於接近所述的薄膜處。為了沈積超導性YBaCuO薄膜,係 以取向附生方式形成於SrTi03&/或〇6〇2緩衝層上。這些 缓衝層係以取向附生方法形成於藍寶石基材上的R平面 (1102)。為使篩網吻合,係強迫旋轉45。類型<〇〇1>的平 面結晶軸’同時在接近介面或所述薄膜的柱體裡產生高應 力。該45°轉動可以在一些區域裡藉由穿插非常薄的Mg〇 薄膜在這些區域裡的方式取消。關於該標題之進一步的資 料請參考 S. NICOLETTI等人所著之”Bi-Epitaxial YBCO Grain Boundary Josephson Junctions on SrTi03 andSapphire Substrates,’(Physical Journal C 269(1996)255-267) o 關於因結晶篩之間不符所致的應力的另一實例可為 Si(l-x)Gex薄膜沈積在矽載體上的化學蒸汽相沈積法 (CVD)。應力將隨著薄膜组合物裡的鍺濃度X的函數控制 。第3圖係顯示柵格參數PR如何隨組合物裡的錯濃度X變 化。直線10的斜率等於+ 0.022毫微米,其係視Ge的原子 百分比而定。另一實例為關於沈積於輕微摻質之發板上, 矽薄膜内摻質程度(例如摻質硼1〇14到102。原子數/立方公 分)之應力的影響。第4圊係顯示網路參數PR如何隨以原 子百分比表示的硼原子濃度X的函數變化。直線u的斜率 等於-0.14。在此也因化學本質而涵蓋包合物的概念。因 此,沈積於矽載體上,接著覆蓋一層包覆層的丁丨薄膜仍保 持對任何可能存在(”吸氣劑,,效應)及在稍後的熱處理期間 擴散通過矽的氧具有敏感度。引發效應係用來產生稱為包 <請先閲讀背面之注意事項再填寫本頁) 訂---Γ------線 本紙張尺度適用中國酉家標準(CNS)A4規格(2J〇 X 297公釐) -14·
H2538 經濟部智慧財產局員工消費合作社印製 __B7_五、發明說明(12) 合物區域的應力區域。 薄膜沈積期間產生應力的實例為使用沈積參數,例如 沈積壓力,沈積溫度,沈積功率,經由載體氣體分壓比例 的沈積大氣組合物,中性氣體及反應性氣體。一般皆知, 應力可能在經沈積之薄膜產生内高壓縮或高張力,此係視 薄膜沈積壓力而定。A. MATERNE等人所著的文章”Change in stress and Coercivity after Annealing of Amorphous Co(Zr, Nb) Thin Films Deposited by R.F. Sputtering^ (E.M.M.A. conf. Salford, United Kingdom, September 14-16, 1987)中包含關於該標題的進一步資料。因此,就以陰 極濺射Co(Zr,Nb)薄膜的沈積法而言,數毫托耳的低壓將 導致薄膜呈現壓縮狀態,然而數十毫托耳的高壓將造成同 —材料呈現張力狀態》已由化學分析測得,該變異係在沈 積的同時由薄膜内的氬及氧密度造成。應力的大小係為可 以在有限的情況中造成薄膜結合缺陷者。 “薄膜沈積”係包括任何在沈積之前或之後進行的熱及 或物理化學處理,以在經沈積之薄膜内引發這些效應。 包合物也可以藉由蝕刻法產生"由乾式方法(離子, 反應性離子)及/或”濕”式化學方法(選擇性蝕刻,向異性蝕 刻)及/或電化學方法可以用來獲得在非常小之表面積上打 開之經選擇大小的空隙。這些空隙可以在稍後填充轉移所 必需之氣態化合物的陷阱材料。 蝕刻多層結構所用的技術可以用來產生包合物,在全 部或一些薄片表面上或多或少地輔以部分掩革技術(微電 (請先Μ讀背*之注意事項再填寫本頁) 訂----------線 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公g > -15- 412598 A7 B7 1、發明說明(13) (請先W讀背面之注意事項再填寫本頁) 子領域的傳統技術)*因此,可以在非常薄的氮化矽表面 薄膜裡蝕刻非常小(次撤米)開孔的栅格。隔離技術係透過 掩罩使用於正或負樹脂薄膜*在一些區域裡,樹脂薄膜可 以接著藉由所述樹脂採用的顯影劑以化學方式取出。在一 些開放區域裡,使用加速離子束的蚀刻技術(稱為離子社 刻法)可以用來產生氮化矽薄膜内的開孔^當該表面薄膜 沈積於矽薄膜表面上時,變成可能再產生開孔的生產線上 藉由使用氩氧化四甲基銨來蝕刻矽》該化學蝕刻非常具選 擇性,其程度矽蝕刻速度超過氮化物蝕刻速度的100倍* 也可能產生比氮化物薄膜内形成之開孔更大的空隙。 第5圖係顯示該類型的具體實施例。其說明由初始栽 體14,覆蓋一層矽薄膜15所構成的基材13»薄膜15係塗復 一層非常薄的氮化矽16,但化矽薄膜16内形成非常小的開 孔17 »開孔17係用來獲得矽薄膜15内的空隙18。視但化石夕 薄膜16内形成支開孔17的空間大小及該薄膜16的厚度而定 ,可以在空隙18内沈積材料19,該材料(例如吸氣劑效應 用的鈦)的化學本質係有利於在稍後的植入步驟期間包陷 氣態化合物(例如氧)。 經濟部智慧財產局員工消費合作社印製 接著’由一層沈積所得的開孔可能受到阻斷。該沈積 步驟不是一定必須的’例如在轉移結晶矽薄膜裡pad結構 的時候就不需要。同樣地在一些條件下,在經控制之大氣 裡熱處理係有利於合閉一些空隙,或甚至合閉空隙。在本 發明之方法裡,這些蝕刻區域將被視為包合物,且被視為 稍後植入之氣魅化合物的陷附〇 -16- 本紙張尺度適用中國國家標準(CNS)A4規格(2〗〇χ297公爱) 經濟部智慧財產局員工消費合作社印製 412598 μ I ΐτΓίίΠΊΓι__~~« - — 丨 五、發明說明(ΐ4) 包合物也可以利用離子植入產生。 將中性化合物或離子轟置於材料中的植入法可以在已 經植入之元素的特定深度處產生富含包合物層。然後考慮 已經植入之化合物的靶材料電子及核子阻撓效應。在本發 明之方法裡,將初始材料作為把材料。植入方法可以以單 次或多次植入的方式進行。這些植入方法可以在每一次植 入期間或之間以熱處理輔助。經植入之化合物及相關缺陷 都出現在接近平均射程範園Rp内*所產生的包合物係在 局部材料内呈現小規棋的凌釓。其形態外觀及大小可以由 熱處理及/或單—級/或多次植入相同或不同元素的方式改 良。 例如,考慮使用 SIMOX(Separation by Implantation of Oxygen)方法產生絕緣體上覆有矽之材料。在高溫(例如大 約1300。〇熱處理後’接著以120keV植入氧,以改良所得 包合物之外貌和形態外親。在碎板裡面植入低劑量氧(大 約4.1017〇+/平方公分),可以典型產生深度250毫微米(典 型深度為80到1〇〇毫微米)的薄氧化物層。該層是有缺陷的 :這會或多或少地繼續下去(因為矽管存在的關係)而且該 層包含矽塊(典型的空間大小為數十毫微米)-視植入劑量 而定。該觀點請參考B. ASPAR等人所著,標題為’’Ultra Thin Buroed Oxide Layers Formed by Low Dose SIMOX Processes”,Proc. 6th International Conference on SOI Technology and Devices, Electroch. Soc. Vol 94-11(1994) 62»同樣地,該具有上薄膜之氧化物層的介面視進行的熱 本紙張尺度適用中國國家標準(CNS)A4規格(2】0 X 297公爱) -17- I — — — — — — — — — — — ^ — Ι — — — — — — — — -(請先閲讀背面之注意事項再填寫本頁> 412598 經濟部智慧財產局員工消費合作社印製 五、發明說明(I5) 處理而多少有些粗糙》典型地,介面粗糙度可以控制在數 十毫微米到數毫微米之間的範团,如C. CUILHALMENC 等人所著,標題為 ’’Characterization by Atomic Force Microscopy of the SOI Layer Topology in Low-Dose SIMOX Materials” 的文章(Materials Science and Engineering journal B 46(1997) 29-32出版)所述》該植入層級其介面係 被視為包合物區域,本發明方法之第二步驟期間所植入之 氣態化合物用的限制區域。 也可以使用熱處理在初始材料,載體或在至少一層欲 轉移的薄膜結構内產生包合物。 例如對矽而言,”高·低-高”熱處理係用來沈澱存在於 材料特定深度内的氧。該深度就由Czochralski拉絲所得之 單晶性矽的其況裡典型為數毫微米》此係藉由施加溫度循 環而完成,該溫度循環典型包括超過1 〇〇〇°C之固定高溫, 接著低於900 eC之固定低溢,然後超過1 〇〇〇°c之另一固定 高溫。深度X的大小可以從擴散方程式(Dt)i”,其中D 為在熱處理溫度下的擴傲係數而t為該溫度下的擴散時間 。以熱處理所得之該層係作為包合物區域。 另一實例為’已知熱處理能在由上述任一種方法沈積 所得之薄膜内調整應力。因此,對以CVD沈積之氧化矽 薄膜而言超過500°C之熱處理可以降低壓縮應力,或完全 解除其應力’或甚至將其轉化成張力β [請參考A. SLINTANI等人,J_ Appl. Phys. 51 ⑻,ρ 4197(1980)]。該 種行為被認為是由氧化物雨水蒸汽反應所造成。其可能因 (請先M讀背面之注意事項再填寫本頁) — — — — —— 訂-I If n —r n I 線 本纸張尺度適用中國园家標準(CNS)A4規格(2】0* 297公釐) 41259B A/ Β7 五、發明說明(】6) 除氣效應或濃縮效應而受到干擾。同樣地,薄膜和初始載 艘(或其它薄膜)之間的大量熱膨脹可能產生高應力及局部 地產生應力包合物,助於包陷氣態化合物。舉例而言,如 產生在藍寶石,R平面上的矽薄膜•膨脹係數分別為4.10-VK及9.10·6/Κ »因為應力非常侷限於介面附近的薄膜厚度 裡面’所以這造成材料局部變形。這樣受到干擾的區域被 當作本發明方法的包合物。 另一種在平面薄膜結構上引發應力的方式為在初始載 體之背面上沈積一層應力非常高的薄末,致使其形變(内 凹或外凸)。然後薄膜結構變形。欲以本發明方法轉移包 括一或多層之薄膜的結構裡局部受到應力的區域係為稍後 植入其上之氣態化合物用的包合物區域。 本發明之方法包括在所要之材料内產生包合物之後進 行的第二步驟。該第二步驟包括將氣態化合物(原子,離 子)植入在接近上一步驟所得之包合物層的深度處。這些 氣態化合物因包合物的存在而限制在某範圍内β其參與轉 移斷裂所需之微空揀,微泡(或’,薄片”)的凝核及/或生長作 用。該植入步驟可以經由欲轉移之結構的平面表面以轟置 及/或以等離子體輔助擴散及/或以熱處理及/或以電極化進 行。 在以轟置植入(中性化合物及/或離子)的情況裡,這 些氣態化合物係植入於平均射程範圍,Rp ^該深度係為 植入指定靶内之元素的植入能量的特徵。因此,選擇植入 能量為深度相當於包合物區域者。氣態化合物可以是或可 本紙張尺度適用中國國家標準(CNS>A4規格(210x297公釐) (請先閲it背面之iit事項再填寫本頁) -1 I— at 1· Kf n ί <^1 I 線 經濟部智慧財產局員工消費合作社印製 412598
經濟部智慧財產局員工湞費合作社印製 五、發明說明(Π) 以部是希有氣想,例如,H,F,He。其可以同時植入或 接續地植入。 第6Α到6D圖係說明本發明在薄膜轉移到強化器上之 情況裡的方法《第6Α圖係顯示基材20(例如由薄膜形成於 初始載體上之結構所構成),其包括由上述一種方法形成 的包合物區域21。包合物區域係位於距基材表面22,相當 於欲轉移之薄膜厚度的距離處。第6Β圓係說明離子植入 步驟。氣態化合物係藉由例如轟置或擴散方式,經由基材 的表面22植入*與深度ρ成函數的氣態化合物密度係為其 平均射程範圍Rp相當於包合物區域21者,該包合物區域21 隨著氣態化合物的高密度而變成陷阱區域。第6C圖係說 明基材20表面22因添加中間層24而結合在強化器23上》也 可以使用其它技術來結合表面22及強化器23,而不用添加 中間層。第60囷係說明如上述所需之熱能函數所說明之 適當熱處理後的分離步驟。該®式裡,分離斷裂進入陷阱 區域。因此,初始基材包括结合強化器23之薄膜25及其餘 部分26。圖中顯示陷阱包括二個分離區域。然而^根據情 況而定,其可以藉由與薄膜25結合,或與基材的其餘部分 2 6結合而保持完整* 在以氣體擴散法植入的情況裡,化合物可以藉由調整 擴散時間及溫度,使其擴散至接近包合物深度之處。可使 用典型擴散方程式來調整擴散深度。因此,在9:15之比例 的氬及氫大氣(稱為形成氣體)下熱處理能使氫在大約350 艺下在矽中擴散。 — II--- I — — — — — ^ ----- - I - 1!111111 (請先Μΐι背面之注項再填寫本 本紙張尺度通用中國國家標準(CNS)A4規格(210 X 297公* ) -20- 經濟部智慧財產局員Η消費合作社印製 4!2598 at ----------B7___ 五、發明說明(is) 無論植入方法為何,經植入之氣態化合物的數量必須 足以參與從上述包合物或其附近凝核及/或發展微空隙, 微泡(或薄片)》植入條件(劑量,能量,靶溫度,植入時 間)尤其視下列因素而定: •初始材料(靶), -包合物之本質及位置, -植入步驟所提供的熱能, -經植入之氣態化合物的本質, -下一個黏附步驟所提供的熱能(如果有的話), -藉由減弱熱處理所提供之熱能(能量), -任何的機械應力♦ 然而,經植入之計量必須低於植入期間材料内發生剝 落所測得的最大計量。包合物之效率係受限於其對轉移所 需之氣態化合物的限制能力,將接近包合物之這些化合物 的濃度列入考慮。 在離子植入的情況裡,該效應可利用由於植入Rp附 近之經植入化合物的濃度較高而減小植入物寬度做說明。 例如,考慮欲轉移之結構包括0.4微米後形成於矽載體上 的5丨〇2薄膜》能量1001€6¥,等於3.〗〇1611 + /平方公分之氣 之第一次離子植入(設計用來產生包合物)將得到平均深度 為0.9微米的氫濃度。熱處理典型在大約350eC進行2小時 ,而且設計用來改良包合物之形態外觀(微空隙)。傾發現 ’包含空隙之層比在使用較高劑量植入之情況,如FR-冬 2 681 472所述之方法中所得者更薄。包合物區域相當於 本紙張尺度適用中國國家標準(CNS)A4規格(2J0 X 297公釐) -21 - <請先Μ讀背面之注意事項再填寫本頁) ^--------訂---------線 A7 B7 412598 五、發明說明(b) 該生長微空隊層。第二次植入2J016H+/平方公分將足以 能在分離熱處理,例如在500t下進行1小時期間在接近該 包合物處斷製》 非常容易瞭解因所得之包合物區域厚度及/或所用之 薄膜結構限制微空隊,微泡(或薄片)在非常薄之厚度範圍 内及其可能位置的優點。同樣地,斷裂表面的硬度也將由 於限制包合物及因此限制斷裂區域而降低e 概言之’接著可能降低凝核及/或發展微空隙所需之 植入劑量及/或降低欲施加的力量及/或降低引發斷裂之熱 處理的能量· 設計用來獲得形成於載艘上之最终結構的轉移方法假 設,初始材料係在第三步驟期間加在第二載鱧上β藉由晶 圓結合’或透過結合層而直接接觸。這必須使最終載想扮 演強化器的角色。在兩種接觸(直接或間接)方式中,可能 需要以低溫熱處理進行固定步驟。該處理必須不妨礙初始 材料中微空隙及斷裂生長機制。必須考慮到方法中第四步 称期間引發斷裂所需之熱能》如果欲轉移之結構充分堅硬 及/或厚度夠而且該步驟不是一定必要的,則’,自行負載” 之結構將在轉移期間獲得。 因此,在復蓋一層Si〇2薄膜,欲轉移至矽載體之結構 的實例中,200°C的溫度係足以強化晶圓結合β氧化薄膜 及矽載體之間的黏附能量將超過0.3焦耳/平方米* 轉移薄膜結構之方法裡的第四步驟熱處理,該熱處理 需要明訂時間及溫度’特別是與所得包合物之效率,已植 本紙張尺度適用令國因家標準(CNS)A4規格<210 X 297公釐)
請 先 讀 背 面 之 注 * 事 項 再 填 寫 本 I 訂 線 經濟部智慧財1局員工消費合作社印製 -22-

Claims (1)

  1. 第87121806號專利申請案申請專利範圍修正本 修正日期:89年01月 1· 一種轉移至少一界定在初始基材(20)内之固體材料薄 膜(25)的方法,其特徵在於其包括下列步驟: -在初始基材(20)内,於一相當於所需之薄膜厚 度的深度處形成一層包合物(21)的步驟,這些包合物 係被設計用來形成接著被植入之氣態化合物用的陷阱 -植入該氣態化合物的步驟,這些氣態化合物的 植入能量係為其在基質(20)内之平均射程範圍相當於 包合物層(21)之深度者’被植入的氣態化合物的劑量 係足以造成微空陈之形成,該微空隙可能沿著薄膜可 能與基材之其餘部分分離之處而盖生一斷裂平面。 2. 如申請專利範圍第1項之方法,其中初始基材係由一個 固體元件所構成,該固體元件支撐一或多個該固體材 料的薄膜必須被界定於内之薄膜結構。 3. 如申請專利範圍第2項之方法,其中該結構的全部或部 分係利用取向附生法而被獲得。 經濟部智慧財產局員工消費合作社印製 (請先閎讀背面之注意Ϋ項再填寫本頁) 4. 如申請專利範圍第2項之方法,其中該結構可以為基材 (可以是或可以不是取向附生載體)之其餘部分可在薄 膜已被轉移後再被用來轉移另一薄膜者。 5. 如申請專利範圍第2項之方法,其中包合物層係由一薄 膜沈積技術所形成。 6. 如申請專利範圍第5項之方法’其中包合物層(3)係由 本紙張尺度適用中S®家揉準(CNS ) A4規格(210X297公羡
    第87121806號專利申請案申請專利範圍修正本 修正日期:89年01月 1· 一種轉移至少一界定在初始基材(20)内之固體材料薄 膜(25)的方法,其特徵在於其包括下列步驟: -在初始基材(20)内,於一相當於所需之薄膜厚 度的深度處形成一層包合物(21)的步驟,這些包合物 係被設計用來形成接著被植入之氣態化合物用的陷阱 -植入該氣態化合物的步驟,這些氣態化合物的 植入能量係為其在基質(20)内之平均射程範圍相當於 包合物層(21)之深度者’被植入的氣態化合物的劑量 係足以造成微空陈之形成,該微空隙可能沿著薄膜可 能與基材之其餘部分分離之處而盖生一斷裂平面。 2. 如申請專利範圍第1項之方法,其中初始基材係由一個 固體元件所構成,該固體元件支撐一或多個該固體材 料的薄膜必須被界定於内之薄膜結構。 3. 如申請專利範圍第2項之方法,其中該結構的全部或部 分係利用取向附生法而被獲得。 經濟部智慧財產局員工消費合作社印製 (請先閎讀背面之注意Ϋ項再填寫本頁) 4. 如申請專利範圍第2項之方法,其中該結構可以為基材 (可以是或可以不是取向附生載體)之其餘部分可在薄 膜已被轉移後再被用來轉移另一薄膜者。 5. 如申請專利範圍第2項之方法,其中包合物層係由一薄 膜沈積技術所形成。 6. 如申請專利範圍第5項之方法’其中包合物層(3)係由 本紙張尺度適用中S®家揉準(CNS ) A4規格(210X297公羡 412598 ί88 C8 D8 六、申請專利範圍 ' 產生柱體所構成。 7. 如申請專利範圍第5項之方法,纟—包合物層⑺係由 產生顆粒接頭所構成。 8. 如申請專利範圍第丨項之方法’其中包合物對該氣態化 合物具有一化學親和力。 9. 如申請專利範圍第1項之方法’其中該包合物係源生自 形成包合物層之材料及其相鄰之基材區域間的參數不 符。 10. 如申請專利範圍第2項之方法,其中包合物層係藉由一 蝕刻基材(13)之層(15)的技術所形成。 11. 如申請專利範圍第1項之方法’其中包合物層係藉由將 元素植入基材層而被形成》 12. 如申請專利範圍第11項之方法,其中以能增加陷阱效 率之熱處理來輔助元素之植入。 13. 如申請專利範圍第11項之方法,其中包合物之形態外 觀藉由一熱處理而被改良。 14. 如申請專利範圍第2項之方法,其中包合物層係藉由熱 處理位於薄膜結構内之薄膜而被獲得。 15. 如申請專利範圍第2項之方法,其中包合物層係藉由對 該薄膜結構内之薄膜施加應力而被獲得。 16. 如申請專利範圍第1項之方法,其中氣態化合物係藉由 轟置選自於中性化合物/及離子之化合物的方式而被植 入。 17·如申請專利範圍第1項之方法’其中氣態化合物係藉由 本紙浪尺度逋用中國國家揉準(CNS ) A4规格(210X297公釐) <請先閲讀背面之注^K項再填寫本頁) 訂—: 經濟部智慧財產局員工消費合作社印製 Α8 Β8 C8 D8 412598 κ、申請專利範圍 一種選自於下列之方法而被植入:等離子體擴散法、 熱擴散法及結合熱擴散之等離子擴散法及/或輔以電極 化的擴散法。 18.如申請專利範圍第〗項之方法,其中該方法包括一能減 弱包合物層内之基材的熱處理步驟,以使薄膜(25)及 基材(26)之其餘部分之間能分離》 19·如申請專利範圍第1項之方法,其中該方法也包括一個 將被界定於基材内的薄膜(25)在與基材之其餘部分(26) 分離後令該薄膜緊密接觸一個該薄膜將會結合的載體 (23)之步驟。 20.如申請專利範圍第19項之方法,其中該緊密接觸係藉 由晶圓結合法。 21·如申請專利範圍第〗8項之方法’其中減弱基材之熱處 理步驟係藉由脈衝式加熱法來完成。 22,如申請專利範圍第丨項之方法,其中該方法包括使用機 械應力’以助於薄膜與基材其餘部分之間的分離。 23‘如申請專利範圍第!項之方法,其係供用於從一初始基 材來轉移一薄矽骐。 24‘如申請專利範圍第丨項之方法,其係供用於從—初始基 材來轉移一III-V半導體材料薄膜。 25·如申請專利範圍第〗項之方法,其係供用於轉移一個本 身由一薄膜構成之結構所構成的薄膜。 26.如申請專利範圍第丨項之方法,其中薄膜在其被轉移以 形成一積體電路之前被至少部分地處理過。 本紙張认適用中固國家標年(CNS ) Α4胁(2[〇χ297^ > (請先《讀背面之注意事項再填寫本頁) 、?! 經濟部智慧財產局員工消費合作杜印製
    412598 六、申請專利範圍27.如申請專利範圍第1項之方法,其中薄膜在其被轉移以 形成一光電組件之前被至少部分地處理過。 (請先閲讀背面之注意事項再填寫本I) 經濟部智慧財產局員工消費合作社印製 4 本紙張尺度逋用中國®家標率(CNS ) A4规格(210X297公釐)
TW087121806A 1997-12-30 1999-02-06 Process for the transfer of a thin film comprising an inclusion creation step TW412598B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
FR9716696A FR2773261B1 (fr) 1997-12-30 1997-12-30 Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions

Publications (1)

Publication Number Publication Date
TW412598B true TW412598B (en) 2000-11-21

Family

ID=9515292

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087121806A TW412598B (en) 1997-12-30 1999-02-06 Process for the transfer of a thin film comprising an inclusion creation step

Country Status (9)

Country Link
US (5) US6756286B1 (zh)
EP (2) EP2175478B1 (zh)
JP (1) JP3681178B2 (zh)
KR (1) KR100545338B1 (zh)
DE (1) DE69841545D1 (zh)
FR (1) FR2773261B1 (zh)
MY (1) MY119838A (zh)
TW (1) TW412598B (zh)
WO (1) WO1999035674A1 (zh)

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2748851B1 (fr) 1996-05-15 1998-08-07 Commissariat Energie Atomique Procede de realisation d'une couche mince de materiau semiconducteur
US6013563A (en) 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US20070122997A1 (en) 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
FR2809867B1 (fr) 2000-05-30 2003-10-24 Commissariat Energie Atomique Substrat fragilise et procede de fabrication d'un tel substrat
FR2811807B1 (fr) * 2000-07-12 2003-07-04 Commissariat Energie Atomique Procede de decoupage d'un bloc de materiau et de formation d'un film mince
US8507361B2 (en) 2000-11-27 2013-08-13 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
FR2894990B1 (fr) * 2005-12-21 2008-02-22 Soitec Silicon On Insulator Procede de fabrication de substrats, notamment pour l'optique,l'electronique ou l'optoelectronique et substrat obtenu selon ledit procede
FR2840731B3 (fr) * 2002-06-11 2004-07-30 Soitec Silicon On Insulator Procede de fabrication d'un substrat comportant une couche utile en materiau semi-conducteur monocristallin de proprietes ameliorees
FR2818010B1 (fr) * 2000-12-08 2003-09-05 Commissariat Energie Atomique Procede de realisation d'une couche mince impliquant l'introduction d'especes gazeuses
FR2823596B1 (fr) * 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US7622129B1 (en) 2002-08-05 2009-11-24 Purdue Research Foundation Nano-structured polymers for use as implants
WO2003015836A1 (en) * 2001-08-16 2003-02-27 Purdue Research Foundation Material and method for promoting tissue growth
US6875671B2 (en) 2001-09-12 2005-04-05 Reveo, Inc. Method of fabricating vertical integrated circuits
US7163826B2 (en) 2001-09-12 2007-01-16 Reveo, Inc Method of fabricating multi layer devices on buried oxide layer substrates
FR2830983B1 (fr) 2001-10-11 2004-05-14 Commissariat Energie Atomique Procede de fabrication de couches minces contenant des microcomposants
JP4277481B2 (ja) * 2002-05-08 2009-06-10 日本電気株式会社 半導体基板の製造方法、半導体装置の製造方法
TWI272641B (en) * 2002-07-16 2007-02-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
FR2844634B1 (fr) * 2002-09-18 2005-05-27 Soitec Silicon On Insulator Formation d'une couche utile relaxee a partir d'une plaquette sans couche tampon
US8187377B2 (en) 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
FR2847075B1 (fr) * 2002-11-07 2005-02-18 Commissariat Energie Atomique Procede de formation d'une zone fragile dans un substrat par co-implantation
US7176108B2 (en) 2002-11-07 2007-02-13 Soitec Silicon On Insulator Method of detaching a thin film at moderate temperature after co-implantation
US6998327B2 (en) * 2002-11-19 2006-02-14 International Business Machines Corporation Thin film transfer join process and multilevel thin film module
FR2848336B1 (fr) 2002-12-09 2005-10-28 Commissariat Energie Atomique Procede de realisation d'une structure contrainte destinee a etre dissociee
JP4373085B2 (ja) 2002-12-27 2009-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法、剥離方法及び転写方法
TWI330269B (en) * 2002-12-27 2010-09-11 Semiconductor Energy Lab Separating method
WO2004096085A2 (en) * 2003-03-27 2004-11-11 Purdue Research Foundation Nanofibers as a neural biomaterial
FR2856844B1 (fr) 2003-06-24 2006-02-17 Commissariat Energie Atomique Circuit integre sur puce de hautes performances
FR2857953B1 (fr) 2003-07-21 2006-01-13 Commissariat Energie Atomique Structure empilee, et procede pour la fabriquer
US7538010B2 (en) * 2003-07-24 2009-05-26 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating an epitaxially grown layer
FR2858715B1 (fr) * 2003-08-04 2005-12-30 Soitec Silicon On Insulator Procede de detachement de couche de semiconducteur
US7446016B2 (en) * 2003-09-08 2008-11-04 Sumco Corporation Method for producing bonded wafer
US8475693B2 (en) 2003-09-30 2013-07-02 Soitec Methods of making substrate structures having a weakened intermediate layer
FR2860249B1 (fr) 2003-09-30 2005-12-09 Michel Bruel Procede de fabrication d'une structure en forme de plaque, en particulier en silicium, application de procede, et structure en forme de plaque, en particulier en silicium
FR2861497B1 (fr) * 2003-10-28 2006-02-10 Soitec Silicon On Insulator Procede de transfert catastrophique d'une couche fine apres co-implantation
US7772087B2 (en) 2003-12-19 2010-08-10 Commissariat A L'energie Atomique Method of catastrophic transfer of a thin film after co-implantation
US20060014363A1 (en) * 2004-03-05 2006-01-19 Nicolas Daval Thermal treatment of a semiconductor layer
FR2867307B1 (fr) * 2004-03-05 2006-05-26 Soitec Silicon On Insulator Traitement thermique apres detachement smart-cut
FR2867310B1 (fr) * 2004-03-05 2006-05-26 Soitec Silicon On Insulator Technique d'amelioration de la qualite d'une couche mince prelevee
US7282449B2 (en) * 2004-03-05 2007-10-16 S.O.I.Tec Silicon On Insulator Technologies Thermal treatment of a semiconductor layer
DE102004021113B4 (de) 2004-04-29 2006-04-20 Siltronic Ag SOI-Scheibe und Verfahren zu ihrer Herstellung
JP4730581B2 (ja) * 2004-06-17 2011-07-20 信越半導体株式会社 貼り合わせウェーハの製造方法
WO2006037783A1 (fr) * 2004-10-04 2006-04-13 S.O.I.Tec Silicon On Insulator Technologies Procédé de transfert d'une couche mince comprenant une perturbation controlée d'une structure cristalline
US8329202B2 (en) * 2004-11-12 2012-12-11 Depuy Products, Inc. System and method for attaching soft tissue to an implant
US10374120B2 (en) * 2005-02-18 2019-08-06 Koninklijke Philips N.V. High efficiency solar cells utilizing wafer bonding and layer transfer to integrate non-lattice matched materials
TW200707799A (en) * 2005-04-21 2007-02-16 Aonex Technologies Inc Bonded intermediate substrate and method of making same
FR2886051B1 (fr) 2005-05-20 2007-08-10 Commissariat Energie Atomique Procede de detachement d'un film mince
DE602006021014D1 (de) * 2005-06-07 2011-05-12 Fujifilm Corp Struktur enthaltender funktionaler film und verfahren zur herstellung eines funktionalen films
FR2887074A1 (fr) * 2005-06-09 2006-12-15 St Microelectronics Crolles 2 Formation d'un masque sur un circuit electronique integre
FR2887075B1 (fr) * 2005-06-09 2007-10-12 St Microelectronics Crolles 2 Realisation de deux elements superposes au sein d'un circuit electronique integre
US7427554B2 (en) * 2005-08-12 2008-09-23 Silicon Genesis Corporation Manufacturing strained silicon substrates using a backing material
FR2889887B1 (fr) 2005-08-16 2007-11-09 Commissariat Energie Atomique Procede de report d'une couche mince sur un support
DE102005052357A1 (de) * 2005-09-01 2007-03-15 Osram Opto Semiconductors Gmbh Verfahren zum lateralen Zertrennen eines Halbleiterwafers und optoelektronisches Bauelement
DE102005052358A1 (de) * 2005-09-01 2007-03-15 Osram Opto Semiconductors Gmbh Verfahren zum lateralen Zertrennen eines Halbleiterwafers und optoelektronisches Bauelement
FR2891281B1 (fr) 2005-09-28 2007-12-28 Commissariat Energie Atomique Procede de fabrication d'un element en couches minces.
DE102006007293B4 (de) * 2006-01-31 2023-04-06 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Verfahren zum Herstellen eines Quasi-Substratwafers und ein unter Verwendung eines solchen Quasi-Substratwafers hergestellter Halbleiterkörper
JP5064692B2 (ja) * 2006-02-09 2012-10-31 信越化学工業株式会社 Soi基板の製造方法
JP5064693B2 (ja) * 2006-02-13 2012-10-31 信越化学工業株式会社 Soi基板の製造方法
FR2898431B1 (fr) 2006-03-13 2008-07-25 Soitec Silicon On Insulator Procede de fabrication de film mince
FR2899378B1 (fr) 2006-03-29 2008-06-27 Commissariat Energie Atomique Procede de detachement d'un film mince par fusion de precipites
US20070243703A1 (en) * 2006-04-14 2007-10-18 Aonex Technololgies, Inc. Processes and structures for epitaxial growth on laminate substrates
JP5082299B2 (ja) * 2006-05-25 2012-11-28 株式会社Sumco 半導体基板の製造方法
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
FR2905801B1 (fr) * 2006-09-12 2008-12-05 Soitec Silicon On Insulator Procede de transfert d'une couche a haute temperature
US7442599B2 (en) * 2006-09-15 2008-10-28 Sharp Laboratories Of America, Inc. Silicon/germanium superlattice thermal sensor
US8124499B2 (en) * 2006-11-06 2012-02-28 Silicon Genesis Corporation Method and structure for thick layer transfer using a linear accelerator
US20080128641A1 (en) * 2006-11-08 2008-06-05 Silicon Genesis Corporation Apparatus and method for introducing particles using a radio frequency quadrupole linear accelerator for semiconductor materials
FR2910179B1 (fr) 2006-12-19 2009-03-13 Commissariat Energie Atomique PROCEDE DE FABRICATION DE COUCHES MINCES DE GaN PAR IMPLANTATION ET RECYCLAGE D'UN SUBSTRAT DE DEPART
JP5201831B2 (ja) * 2006-12-25 2013-06-05 ルネサスエレクトロニクス株式会社 膜の評価方法
JP4820801B2 (ja) * 2006-12-26 2011-11-24 株式会社Sumco 貼り合わせウェーハの製造方法
US20080188011A1 (en) * 2007-01-26 2008-08-07 Silicon Genesis Corporation Apparatus and method of temperature conrol during cleaving processes of thick film materials
US7732301B1 (en) 2007-04-20 2010-06-08 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
US20100099640A1 (en) * 2007-05-04 2010-04-22 Joannes Geuns Tissue degeneration protection
US20090278233A1 (en) * 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
US8101501B2 (en) * 2007-10-10 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
FR2922359B1 (fr) * 2007-10-12 2009-12-18 Commissariat Energie Atomique Procede de fabrication d'une structure micro-electronique impliquant un collage moleculaire
FR2925221B1 (fr) 2007-12-17 2010-02-19 Commissariat Energie Atomique Procede de transfert d'une couche mince
US8003483B2 (en) 2008-03-18 2011-08-23 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
EP2281302B1 (en) 2008-05-21 2012-12-26 Nxp B.V. A method of manufacturing a bipolar transistor semiconductor device
FR2934924B1 (fr) 2008-08-06 2011-04-22 Soitec Silicon On Insulator Procede de multi implantation dans un substrat.
US8330126B2 (en) 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
CN102099894B (zh) * 2008-08-27 2014-04-16 S.O.I.Tec绝缘体上硅技术公司 制造半导体结构或使用具有选择或受控晶格参数的半导体材料层的器件的方法
FR2936904B1 (fr) 2008-10-03 2011-01-14 Soitec Silicon On Insulator Procedes et structures pour alterer la contrainte dans des materiaux nitrure iii.
US8367520B2 (en) * 2008-09-22 2013-02-05 Soitec Methods and structures for altering strain in III-nitride materials
EP2329517A1 (en) * 2008-09-24 2011-06-08 S.O.I.Tec Silicon on Insulator Technologies Methods of forming relaxed layers of semiconductor materials, semiconductor structures, devices and engineered substrates including same
US8637383B2 (en) 2010-12-23 2014-01-28 Soitec Strain relaxation using metal materials and related structures
JP5907730B2 (ja) 2008-10-30 2016-04-26 エス・オー・アイ・テック・シリコン・オン・インシュレーター・テクノロジーズ 低減した格子ひずみを備えた半導体材料、同様に包含する半導体構造体、デバイス、および、加工された基板を製造する方法
US8679942B2 (en) 2008-11-26 2014-03-25 Soitec Strain engineered composite semiconductor substrates and methods of forming same
US8278167B2 (en) * 2008-12-18 2012-10-02 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US20100187568A1 (en) * 2009-01-28 2010-07-29 S.O.I.Tec Silicon On Insulator Technologies, S.A. Epitaxial methods and structures for forming semiconductor materials
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
US8198172B2 (en) * 2009-02-25 2012-06-12 Micron Technology, Inc. Methods of forming integrated circuits using donor and acceptor substrates
US8178396B2 (en) 2009-03-11 2012-05-15 Micron Technology, Inc. Methods for forming three-dimensional memory devices, and related structures
FR2947098A1 (fr) 2009-06-18 2010-12-24 Commissariat Energie Atomique Procede de transfert d'une couche mince sur un substrat cible ayant un coefficient de dilatation thermique different de celui de la couche mince
WO2011011111A1 (en) 2009-07-20 2011-01-27 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures and devices using quantum dot structures and related structures
US8461566B2 (en) * 2009-11-02 2013-06-11 Micron Technology, Inc. Methods, structures and devices for increasing memory density
WO2011061580A1 (en) 2009-11-18 2011-05-26 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures and devices using glass bonding layers, and semiconductor structures and devices formed by such methods
US8367519B2 (en) * 2009-12-30 2013-02-05 Memc Electronic Materials, Inc. Method for the preparation of a multi-layered crystalline structure
US7935612B1 (en) 2010-02-05 2011-05-03 International Business Machines Corporation Layer transfer using boron-doped SiGe layer
US20110207306A1 (en) * 2010-02-22 2011-08-25 Sarko Cherekdjian Semiconductor structure made using improved ion implantation process
US8288795B2 (en) * 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US9646869B2 (en) * 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8987114B2 (en) 2010-03-31 2015-03-24 Soitec Bonded semiconductor structures and method of forming same
FR2961948B1 (fr) * 2010-06-23 2012-08-03 Soitec Silicon On Insulator Procede de traitement d'une piece en materiau compose
US8461017B2 (en) 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
TW201214627A (en) 2010-09-10 2012-04-01 Soitec Silicon On Insulator Methods of forming through wafer interconnects in semiconductor structures using sacrificial material and semiconductor structures formes by such methods
FR2968121B1 (fr) 2010-11-30 2012-12-21 Soitec Silicon On Insulator Procede de transfert d'une couche a haute temperature
WO2012085219A1 (en) 2010-12-23 2012-06-28 Soitec Strain relaxation using metal materials and related structures
US9142412B2 (en) 2011-02-03 2015-09-22 Soitec Semiconductor devices including substrate layers and overlying semiconductor layers having closely matching coefficients of thermal expansion, and related methods
US9082948B2 (en) 2011-02-03 2015-07-14 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
US8436363B2 (en) 2011-02-03 2013-05-07 Soitec Metallic carrier for layer transfer and methods for forming the same
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US8338294B2 (en) 2011-03-31 2012-12-25 Soitec Methods of forming bonded semiconductor structures including two or more processed semiconductor structures carried by a common substrate, and semiconductor structures formed by such methods
US20120248621A1 (en) * 2011-03-31 2012-10-04 S.O.I.Tec Silicon On Insulator Technologies Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
US8970045B2 (en) 2011-03-31 2015-03-03 Soitec Methods for fabrication of semiconductor structures including interposers with conductive vias, and related structures and devices
RU2469433C1 (ru) * 2011-07-13 2012-12-10 Юрий Георгиевич Шретер Способ лазерного отделения эпитаксиальной пленки или слоя эпитаксиальной пленки от ростовой подложки эпитаксиальной полупроводниковой структуры (варианты)
FR2978600B1 (fr) 2011-07-25 2014-02-07 Soitec Silicon On Insulator Procede et dispositif de fabrication de couche de materiau semi-conducteur
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
KR101219358B1 (ko) * 2011-07-26 2013-01-21 삼성코닝정밀소재 주식회사 기판 분리 방법 및 이를 이용한 접합기판 제조방법
FR2978605B1 (fr) * 2011-07-28 2015-10-16 Soitec Silicon On Insulator Procede de fabrication d'une structure semi-conductrice comprenant une couche fonctionnalisee sur un substrat support
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8842945B2 (en) 2011-08-09 2014-09-23 Soitec Methods of forming three dimensionally integrated semiconductor systems including photoactive devices and semiconductor-on-insulator substrates
US8728863B2 (en) 2011-08-09 2014-05-20 Soitec Methods of forming bonded semiconductor structures including interconnect layers having one or more of electrical, optical, and fluidic interconnects therein, and bonded semiconductor structures formed using such methods
TWI500123B (zh) 2011-08-09 2015-09-11 Soitec Silicon On Insulator 包含內有一個或多個電性、光學及流體互連之互連層之黏附半導體構造之形成方法及應用此等方法形成之黏附半導體構造
US10032956B2 (en) 2011-09-06 2018-07-24 Sensor Electronic Technology, Inc. Patterned substrate design for layer growth
US9653313B2 (en) 2013-05-01 2017-05-16 Sensor Electronic Technology, Inc. Stress relieving semiconductor layer
US8841742B2 (en) 2011-09-27 2014-09-23 Soitec Low temperature layer transfer process using donor structure with material in recesses in transfer layer, semiconductor structures fabricated using such methods
US8673733B2 (en) 2011-09-27 2014-03-18 Soitec Methods of transferring layers of material in 3D integration processes and related structures and devices
TWI573198B (zh) 2011-09-27 2017-03-01 索泰克公司 在三度空間集積製程中轉移材料層之方法及其相關結構與元件
WO2013093590A1 (en) 2011-12-23 2013-06-27 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
US9136134B2 (en) 2012-02-22 2015-09-15 Soitec Methods of providing thin layers of crystalline semiconductor material, and related structures and devices
CN104115259B (zh) 2012-02-22 2017-03-22 索泰克公司 设置晶体半导体材料薄层的方法以及有关的结构和器件
WO2013132332A1 (en) 2012-03-09 2013-09-12 Soitec Methods for forming semiconductor structures including iii-v semiconductor material using substrates comprising molybdenum, and structures formed by such methods
US8916483B2 (en) 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
US9257339B2 (en) * 2012-05-04 2016-02-09 Silicon Genesis Corporation Techniques for forming optoelectronic devices
US8980688B2 (en) 2012-06-28 2015-03-17 Soitec Semiconductor structures including fluidic microchannels for cooling and related methods
WO2014020388A1 (en) 2012-07-31 2014-02-06 Soitec Methods of forming semiconductor structures including mems devices and integrated circuits on common sides of substrates, and related structures and devices
WO2014020390A1 (en) 2012-07-31 2014-02-06 Soitec Methods for fabrication of semiconductor structures using laser lift-off process, and related semiconductor structures
WO2014020387A1 (en) 2012-07-31 2014-02-06 Soitec Methods of forming semiconductor structures including mems devices and integrated circuits on opposing sides of substrates, and related structures and devices
WO2014020389A1 (en) 2012-07-31 2014-02-06 Soitec Methods of forming semiconductor structures including a conductive interconnection, and related structures
WO2014030040A1 (en) 2012-08-24 2014-02-27 Soitec Methods of forming semiconductor structures and devices including graphene, and related structures and devices
FR2995444B1 (fr) * 2012-09-10 2016-11-25 Soitec Silicon On Insulator Procede de detachement d'une couche
TWI588955B (zh) 2012-09-24 2017-06-21 索泰克公司 使用多重底材形成iii-v族半導體結構之方法及應用此等方法所製作之半導體元件
FR2999801B1 (fr) * 2012-12-14 2014-12-26 Soitec Silicon On Insulator Procede de fabrication d'une structure
TWI602315B (zh) 2013-03-08 2017-10-11 索泰克公司 具有經組構成效能更佳之低帶隙主動層之感光元件及相關方法
TWI593135B (zh) 2013-03-15 2017-07-21 索泰克公司 具有含氮化銦鎵之主動區域之半導體結構,形成此等半導體結構之方法,以及應用此等半導體結構形成之發光元件
TWI648872B (zh) 2013-03-15 2019-01-21 法商梭意泰科公司 具有包含InGaN之作用區域之半導體結構、形成此等半導體結構之方法及由此等半導體結構所形成之發光裝置
FR3003397B1 (fr) 2013-03-15 2016-07-22 Soitec Silicon On Insulator Structures semi-conductrices dotées de régions actives comprenant de l'INGAN
US8946054B2 (en) 2013-04-19 2015-02-03 International Business Machines Corporation Crack control for substrate separation
EP2992562A4 (en) 2013-05-01 2017-02-15 Sensor Electronic Technology Inc. Stress relieving semiconductor layer
US10460952B2 (en) 2013-05-01 2019-10-29 Sensor Electronic Technology, Inc. Stress relieving semiconductor layer
JP6400693B2 (ja) 2013-06-27 2018-10-03 ソイテックSoitec 犠牲材料で充填されたキャビティを含む半導体構造を作製する方法
CN104516576B (zh) * 2013-09-29 2016-04-13 宸鸿科技(厦门)有限公司 触控面板的制作方法
US9165945B1 (en) 2014-09-18 2015-10-20 Soitec Method for fabricating semiconductor structures including transistor channels having different strain states, and related semiconductor structures
US9209301B1 (en) 2014-09-18 2015-12-08 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
US9219150B1 (en) 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9627249B2 (en) 2015-09-17 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing the same
FR3068510B1 (fr) 2017-07-03 2019-08-30 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation d'une interface destinee a assembler temporairement un support microelectronique et une poignee de manipulation, et interface d'assemblage temporaire
DE102017120535B4 (de) 2017-09-06 2021-08-12 Infineon Technologies Ag Halbleitervorrichtung und Halbleitersubstrat, das eine poröse Schicht enthält, und Herstellungsverfahren
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer

Family Cites Families (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3915757A (en) 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3913520A (en) 1972-08-14 1975-10-21 Precision Thin Film Corp High vacuum deposition apparatus
US3993909A (en) 1973-03-16 1976-11-23 U.S. Philips Corporation Substrate holder for etching thin films
FR2245779B1 (zh) 1973-09-28 1978-02-10 Cit Alcatel
US3901423A (en) 1973-11-26 1975-08-26 Purdue Research Foundation Method for fracturing crystalline materials
US4170662A (en) 1974-11-05 1979-10-09 Eastman Kodak Company Plasma plating
US4121334A (en) 1974-12-17 1978-10-24 P. R. Mallory & Co. Inc. Application of field-assisted bonding to the mass production of silicon type pressure transducers
US3957107A (en) 1975-02-27 1976-05-18 The United States Of America As Represented By The Secretary Of The Air Force Thermal switch
US4039416A (en) 1975-04-21 1977-08-02 White Gerald W Gasless ion plating
GB1542299A (en) 1976-03-23 1979-03-14 Warner Lambert Co Blade shields
US4028149A (en) * 1976-06-30 1977-06-07 Ibm Corporation Process for forming monocrystalline silicon carbide on silicon substrates
US4074139A (en) 1976-12-27 1978-02-14 Rca Corporation Apparatus and method for maskless ion implantation
US4108751A (en) 1977-06-06 1978-08-22 King William J Ion beam implantation-sputtering
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
DE2849184A1 (de) 1978-11-13 1980-05-22 Bbc Brown Boveri & Cie Verfahren zur herstellung eines scheibenfoermigen silizium-halbleiterbauelementes mit negativer anschraegung
JPS55104057A (en) 1979-02-02 1980-08-09 Hitachi Ltd Ion implantation device
US4324631A (en) 1979-07-23 1982-04-13 Spin Physics, Inc. Magnetron sputtering of magnetic materials
CH640886A5 (de) 1979-08-02 1984-01-31 Balzers Hochvakuum Verfahren zum aufbringen harter verschleissfester ueberzuege auf unterlagen.
US4244348A (en) * 1979-09-10 1981-01-13 Atlantic Richfield Company Process for cleaving crystalline materials
FR2506344B2 (fr) 1980-02-01 1986-07-11 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
FR2475068B1 (fr) 1980-02-01 1986-05-16 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
US4342631A (en) 1980-06-16 1982-08-03 Illinois Tool Works Inc. Gasless ion plating process and apparatus
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
FR2501727A1 (fr) 1981-03-13 1982-09-17 Vide Traitement Procede de traitements thermochimiques de metaux par bombardement ionique
US4361600A (en) 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4412868A (en) 1981-12-23 1983-11-01 General Electric Company Method of making integrated circuits utilizing ion implantation and selective epitaxial growth
US4486247A (en) 1982-06-21 1984-12-04 Westinghouse Electric Corp. Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof
FR2529383A1 (fr) 1982-06-24 1983-12-30 Commissariat Energie Atomique Porte-cible a balayage mecanique utilisable notamment pour l'implantation d'ioris
FR2537768A1 (fr) 1982-12-08 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'obtention de faisceaux de particules de densite spatialement modulee, application a la gravure et a l'implantation ioniques
FR2537777A1 (fr) 1982-12-10 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'implantation de particules dans un solide
DE3246480A1 (de) 1982-12-15 1984-06-20 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zur herstellung von halbleiterscheiben mit getternder scheibenrueckseite
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4468309A (en) 1983-04-22 1984-08-28 White Engineering Corporation Method for resisting galling
GB2144343A (en) 1983-08-02 1985-03-06 Standard Telephones Cables Ltd Optical fibre manufacture
US4567505A (en) 1983-10-27 1986-01-28 The Board Of Trustees Of The Leland Stanford Junior University Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like
JPS6088535U (ja) 1983-11-24 1985-06-18 住友電気工業株式会社 半導体ウエハ
FR2558263B1 (fr) 1984-01-12 1986-04-25 Commissariat Energie Atomique Accelerometre directif et son procede de fabrication par microlithographie
GB2155024A (en) 1984-03-03 1985-09-18 Standard Telephones Cables Ltd Surface treatment of plastics materials
FR2563377B1 (fr) 1984-04-19 1987-01-23 Commissariat Energie Atomique Procede de fabrication d'une couche isolante enterree dans un substrat semi-conducteur, par implantation ionique
US4542863A (en) * 1984-07-23 1985-09-24 Larson Edwin L Pipe-thread sealing tape reel with tape retarding element
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
JPS6288137A (ja) 1985-10-14 1987-04-22 Sumitomo Special Metals Co Ltd 磁気ディスク用基板の製造方法
JPS62265717A (ja) 1986-05-13 1987-11-18 Nippon Telegr & Teleph Corp <Ntt> ガリウムひ素集積回路用基板の熱処理方法
US4837172A (en) 1986-07-18 1989-06-06 Matsushita Electric Industrial Co., Ltd. Method for removing impurities existing in semiconductor substrate
US4717683A (en) 1986-09-23 1988-01-05 Motorola Inc. CMOS process
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
EP0284818A1 (de) * 1987-04-03 1988-10-05 BBC Brown Boveri AG Verfahren zum Herstellen eines Schichtverbunds sowie Vorrichtung zur Durchführung eines Verfahrens
JPS63254762A (ja) 1987-04-13 1988-10-21 Nissan Motor Co Ltd Cmos半導体装置
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
SE458398B (sv) 1987-05-27 1989-03-20 H Biverot Ljusdetekterande och ljusriktningsbestaemmande anordning
FR2616590B1 (fr) 1987-06-15 1990-03-02 Commissariat Energie Atomique Procede de fabrication d'une couche d'isolant enterree dans un substrat semi-conducteur par implantation ionique et structure semi-conductrice comportant cette couche
JPS644013A (en) 1987-06-26 1989-01-09 Sony Corp Formation of substrate
US4956698A (en) 1987-07-29 1990-09-11 The United States Of America As Represented By The Department Of Commerce Group III-V compound semiconductor device having p-region formed by Be and Group V ions
US4846928A (en) * 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
US5138422A (en) 1987-10-27 1992-08-11 Nippondenso Co., Ltd. Semiconductor device which includes multiple isolated semiconductor segments on one chip
GB8725497D0 (en) 1987-10-30 1987-12-02 Atomic Energy Authority Uk Isolation of silicon
US5200805A (en) 1987-12-28 1993-04-06 Hughes Aircraft Company Silicon carbide:metal carbide alloy semiconductor and method of making the same
US4904610A (en) * 1988-01-27 1990-02-27 General Instrument Corporation Wafer level process for fabricating passivated semiconductor devices
DE3803424C2 (de) 1988-02-05 1995-05-18 Gsf Forschungszentrum Umwelt Verfahren zur quantitativen, tiefendifferentiellen Analyse fester Proben
JP2666945B2 (ja) 1988-02-08 1997-10-22 株式会社東芝 半導体装置の製造方法
US4894709A (en) 1988-03-09 1990-01-16 Massachusetts Institute Of Technology Forced-convection, liquid-cooled, microchannel heat sinks
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
NL8802028A (nl) 1988-08-16 1990-03-16 Philips Nv Werkwijze voor het vervaardigen van een inrichting.
JP2670623B2 (ja) * 1988-09-19 1997-10-29 アネルバ株式会社 マイクロ波プラズマ処理装置
US4952273A (en) * 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4891329A (en) * 1988-11-29 1990-01-02 University Of North Carolina Method of forming a nonsilicon semiconductor on insulator structure
NL8900388A (nl) 1989-02-17 1990-09-17 Philips Nv Werkwijze voor het verbinden van twee voorwerpen.
JPH02302044A (ja) 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
US4929566A (en) 1989-07-06 1990-05-29 Harris Corporation Method of making dielectrically isolated integrated circuits using oxygen implantation and expitaxial growth
JPH0355822A (ja) 1989-07-25 1991-03-11 Shin Etsu Handotai Co Ltd 半導体素子形成用基板の製造方法
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5036023A (en) 1989-08-16 1991-07-30 At&T Bell Laboratories Rapid thermal processing method of making a semiconductor device
US5013681A (en) 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
JPH0650738B2 (ja) 1990-01-11 1994-06-29 株式会社東芝 半導体装置及びその製造方法
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
US4962090A (en) * 1990-03-22 1990-10-09 International Flavors & Fragrances Inc. 2,4-disubstituted and 2,2,4-trisubstituted tetrahydropyranyl-4-ethers, process for preparing same and perfumery uses thereof
DE69123143T2 (de) * 1990-05-09 1997-04-03 Lanxide Technology Co Ltd Dünne mmc's und deren herstellung
CN1018844B (zh) * 1990-06-02 1992-10-28 中国科学院兰州化学物理研究所 防锈干膜润滑剂
US5131968A (en) * 1990-07-31 1992-07-21 Motorola, Inc. Gradient chuck method for wafer bonding employing a convex pressure
JPH0719739B2 (ja) 1990-09-10 1995-03-06 信越半導体株式会社 接合ウェーハの製造方法
US5198371A (en) 1990-09-24 1993-03-30 Biota Corp. Method of making silicon material with enhanced surface mobility by hydrogen ion implantation
US5618739A (en) 1990-11-15 1997-04-08 Seiko Instruments Inc. Method of making light valve device using semiconductive composite substrate
US5300788A (en) 1991-01-18 1994-04-05 Kopin Corporation Light emitting diode bars and arrays and method of making same
GB2251546B (en) 1991-01-11 1994-05-11 Philips Electronic Associated An electrical kettle
DE4106288C2 (de) 1991-02-28 2001-05-31 Bosch Gmbh Robert Sensor zur Messung von Drücken oder Beschleunigungen
JP2812405B2 (ja) 1991-03-15 1998-10-22 信越半導体株式会社 半導体基板の製造方法
US5110748A (en) 1991-03-28 1992-05-05 Honeywell Inc. Method for fabricating high mobility thin film transistors as integrated drivers for active matrix display
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5256581A (en) 1991-08-28 1993-10-26 Motorola, Inc. Silicon film with improved thickness control
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5243049A (en) 1992-01-22 1993-09-07 Neurogen Corporation Certain pyrroloquinolinones: a new class of GABA brain receptor ligands
JP3416163B2 (ja) * 1992-01-31 2003-06-16 キヤノン株式会社 半導体基板及びその作製方法
JPH05235312A (ja) 1992-02-19 1993-09-10 Fujitsu Ltd 半導体基板及びその製造方法
US5614019A (en) 1992-06-08 1997-03-25 Air Products And Chemicals, Inc. Method for the growth of industrial crystals
US5234535A (en) 1992-12-10 1993-08-10 International Business Machines Corporation Method of producing a thin silicon-on-insulator layer
WO1994017558A1 (en) * 1993-01-29 1994-08-04 The Regents Of The University Of California Monolithic passive component
US5400458A (en) 1993-03-31 1995-03-28 Minnesota Mining And Manufacturing Company Brush segment for industrial brushes
FR2714524B1 (fr) 1993-12-23 1996-01-26 Commissariat Energie Atomique Procede de realisation d'une structure en relief sur un support en materiau semiconducteur
US5611316A (en) * 1993-12-28 1997-03-18 Honda Giken Kogyo Kabushiki Kaisha Gas fuel supply mechanism for gas combustion engine
DE4400985C1 (de) 1994-01-14 1995-05-11 Siemens Ag Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
TW289837B (zh) * 1994-01-18 1996-11-01 Hwelett Packard Co
FR2715503B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Substrat pour composants intégrés comportant une couche mince et son procédé de réalisation.
FR2715501B1 (fr) * 1994-01-26 1996-04-05 Commissariat Energie Atomique Procédé de dépôt de lames semiconductrices sur un support.
FR2715502B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Structure présentant des cavités et procédé de réalisation d'une telle structure.
JP3352340B2 (ja) 1995-10-06 2002-12-03 キヤノン株式会社 半導体基体とその製造方法
JP3293736B2 (ja) 1996-02-28 2002-06-17 キヤノン株式会社 半導体基板の作製方法および貼り合わせ基体
JP3257580B2 (ja) 1994-03-10 2002-02-18 キヤノン株式会社 半導体基板の作製方法
US5880010A (en) 1994-07-12 1999-03-09 Sun Microsystems, Inc. Ultrathin electronics
JPH0851103A (ja) 1994-08-08 1996-02-20 Fuji Electric Co Ltd 薄膜の生成方法
US5524339A (en) 1994-09-19 1996-06-11 Martin Marietta Corporation Method for protecting gallium arsenide mmic air bridge structures
FR2725074B1 (fr) 1994-09-22 1996-12-20 Commissariat Energie Atomique Procede de fabrication d'une structure comportant une couche mince semi-conductrice sur un substrat
US5567654A (en) 1994-09-28 1996-10-22 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
EP0749500B1 (en) 1994-10-18 1998-05-27 Koninklijke Philips Electronics N.V. Method of manufacturing a thin silicon-oxide layer
WO1996015550A1 (en) 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
EP0717437B1 (en) * 1994-12-12 2002-04-24 Advanced Micro Devices, Inc. Method of forming buried oxide layers
JP3381443B2 (ja) 1995-02-02 2003-02-24 ソニー株式会社 基体から半導体層を分離する方法、半導体素子の製造方法およびsoi基板の製造方法
FR2736934B1 (fr) 1995-07-21 1997-08-22 Commissariat Energie Atomique Procede de fabrication d'une structure avec une couche utile maintenue a distance d'un substrat par des butees, et de desolidarisation d'une telle couche
FR2738671B1 (fr) 1995-09-13 1997-10-10 Commissariat Energie Atomique Procede de fabrication de films minces a materiau semiconducteur
FR2744285B1 (fr) * 1996-01-25 1998-03-06 Commissariat Energie Atomique Procede de transfert d'une couche mince d'un substrat initial sur un substrat final
FR2746919B1 (fr) 1996-03-28 1998-04-24 Commissariat Energie Atomique Capteur a jauge de contrainte utilisant l'effet piezoresistif et son procede de fabrication
FR2747506B1 (fr) * 1996-04-11 1998-05-15 Commissariat Energie Atomique Procede d'obtention d'un film mince de materiau semiconducteur comprenant notamment des composants electroniques
FR2748851B1 (fr) 1996-05-15 1998-08-07 Commissariat Energie Atomique Procede de realisation d'une couche mince de materiau semiconducteur
FR2748850B1 (fr) * 1996-05-15 1998-07-24 Commissariat Energie Atomique Procede de realisation d'un film mince de materiau solide et applications de ce procede
JP4001650B2 (ja) 1996-05-16 2007-10-31 株式会社リコー 画像形成装置
US5863832A (en) 1996-06-28 1999-01-26 Intel Corporation Capping layer in interconnect system and method for bonding the capping layer onto the interconnect system
US5897331A (en) 1996-11-08 1999-04-27 Midwest Research Institute High efficiency low cost thin film silicon solar cell design and method for making
US6127199A (en) 1996-11-12 2000-10-03 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
SG65697A1 (en) * 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
US6054363A (en) * 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
DE19648501A1 (de) 1996-11-22 1998-05-28 Max Planck Gesellschaft Verfahren für die lösbare Verbindung und anschließende Trennung reversibel gebondeter und polierter Scheiben sowie eine Waferstruktur und Wafer
KR100232886B1 (ko) 1996-11-23 1999-12-01 김영환 Soi 웨이퍼 제조방법
DE19648759A1 (de) 1996-11-25 1998-05-28 Max Planck Gesellschaft Verfahren zur Herstellung von Mikrostrukturen sowie Mikrostruktur
FR2756847B1 (fr) * 1996-12-09 1999-01-08 Commissariat Energie Atomique Procede de separation d'au moins deux elements d'une structure en contact entre eux par implantation ionique
ATE261612T1 (de) 1996-12-18 2004-03-15 Canon Kk Vefahren zum herstellen eines halbleiterartikels unter verwendung eines substrates mit einer porösen halbleiterschicht
DE69738307T2 (de) 1996-12-27 2008-10-02 Canon K.K. Herstellungsverfahren eines Halbleiter-Bauelements und Herstellungsverfahren einer Solarzelle
FR2758907B1 (fr) 1997-01-27 1999-05-07 Commissariat Energie Atomique Procede d'obtention d'un film mince, notamment semiconducteur, comportant une zone protegee des ions, et impliquant une etape d'implantation ionique
JP3114643B2 (ja) 1997-02-20 2000-12-04 日本電気株式会社 半導体基板の構造および製造方法
JPH10275752A (ja) 1997-03-28 1998-10-13 Ube Ind Ltd 張合わせウエハ−及びその製造方法、基板
US6013954A (en) 1997-03-31 2000-01-11 Nec Corporation Semiconductor wafer having distortion-free alignment regions
US6251754B1 (en) 1997-05-09 2001-06-26 Denso Corporation Semiconductor substrate manufacturing method
US6013563A (en) 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6150239A (en) 1997-05-31 2000-11-21 Max Planck Society Method for the transfer of thin layers monocrystalline material onto a desirable substrate
EP1009235A1 (de) * 1997-06-04 2000-06-21 Basf Aktiengesellschaft Fungizide mischungen
IL133129A0 (en) * 1997-06-11 2001-03-19 Univ New York Prenylcysteine carboxyl methyltransferase dna encoding same and a method of screening for inhibistors thereof
US6054369A (en) 1997-06-30 2000-04-25 Intersil Corporation Lifetime control for semiconductor devices
WO1999001893A2 (de) * 1997-06-30 1999-01-14 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Verfahren zur herstellung von schichtartigen gebilden auf einem substrat, substrat sowie mittels des verfahrens hergestellte halbleiterbauelemente
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
US6534380B1 (en) 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
JPH1145862A (ja) 1997-07-24 1999-02-16 Denso Corp 半導体基板の製造方法
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6316820B1 (en) 1997-07-25 2001-11-13 Hughes Electronics Corporation Passivation layer and process for semiconductor devices
US6255731B1 (en) 1997-07-30 2001-07-03 Canon Kabushiki Kaisha SOI bonding structure
FR2767416B1 (fr) 1997-08-12 1999-10-01 Commissariat Energie Atomique Procede de fabrication d'un film mince de materiau solide
FR2767604B1 (fr) 1997-08-19 2000-12-01 Commissariat Energie Atomique Procede de traitement pour le collage moleculaire et le decollage de deux structures
JP3697034B2 (ja) 1997-08-26 2005-09-21 キヤノン株式会社 微小開口を有する突起の製造方法、及びそれらによるプローブまたはマルチプローブ
US5882987A (en) 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
JPH1174208A (ja) 1997-08-27 1999-03-16 Denso Corp 半導体基板の製造方法
JP3412470B2 (ja) 1997-09-04 2003-06-03 三菱住友シリコン株式会社 Soi基板の製造方法
US5981400A (en) 1997-09-18 1999-11-09 Cornell Research Foundation, Inc. Compliant universal substrate for epitaxial growth
US5920764A (en) * 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
JP2998724B2 (ja) 1997-11-10 2000-01-11 日本電気株式会社 張り合わせsoi基板の製造方法
FR2771852B1 (fr) 1997-12-02 1999-12-31 Commissariat Energie Atomique Procede de transfert selectif d'une microstructure, formee sur un substrat initial, vers un substrat final
JP4173573B2 (ja) 1997-12-03 2008-10-29 株式会社ナノテム 多孔質砥粒砥石の製造方法
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
SG70141A1 (en) 1997-12-26 2000-01-25 Canon Kk Sample separating apparatus and method and substrate manufacturing method
JP3501642B2 (ja) * 1997-12-26 2004-03-02 キヤノン株式会社 基板処理方法
US6071795A (en) * 1998-01-23 2000-06-06 The Regents Of The University Of California Separation of thin films from transparent substrates by selective optical processing
FR2774510B1 (fr) 1998-02-02 2001-10-26 Soitec Silicon On Insulator Procede de traitement de substrats, notamment semi-conducteurs
FR2774797B1 (fr) 1998-02-11 2000-03-10 Commissariat Energie Atomique Procede de realisation d'un ensemble a plusieurs tetes magnetiques et ensemble a tetes multiples obtenu par ce procede
JPH11233449A (ja) 1998-02-13 1999-08-27 Denso Corp 半導体基板の製造方法
TW437078B (en) 1998-02-18 2001-05-28 Canon Kk Composite member, its separation method, and preparation method of semiconductor substrate by utilization thereof
JP3809733B2 (ja) 1998-02-25 2006-08-16 セイコーエプソン株式会社 薄膜トランジスタの剥離方法
US6156623A (en) 1998-03-03 2000-12-05 Advanced Technology Materials, Inc. Stress control of thin films by mechanical deformation of wafer substrate
JPH11307747A (ja) 1998-04-17 1999-11-05 Nec Corp Soi基板およびその製造方法
US6057212A (en) 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
US5909627A (en) 1998-05-18 1999-06-01 Philips Electronics North America Corporation Process for production of thin layers of semiconductor material
GB9813070D0 (en) * 1998-06-17 1998-08-19 Exxon Chemical Patents Inc Lubricant compositions
DE19840421C2 (de) 1998-06-22 2000-05-31 Fraunhofer Ges Forschung Verfahren zur Fertigung von dünnen Substratschichten und eine dafür geeignete Substratanordnung
US6054370A (en) 1998-06-30 2000-04-25 Intel Corporation Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer
US6271101B1 (en) 1998-07-29 2001-08-07 Semiconductor Energy Laboratory Co., Ltd. Process for production of SOI substrate and process for production of semiconductor device
US6118181A (en) 1998-07-29 2000-09-12 Agilent Technologies, Inc. System and method for bonding wafers
FR2781925B1 (fr) 1998-07-30 2001-11-23 Commissariat Energie Atomique Transfert selectif d'elements d'un support vers un autre support
EP0989593A3 (en) 1998-09-25 2002-01-02 Canon Kabushiki Kaisha Substrate separating apparatus and method, and substrate manufacturing method
FR2784795B1 (fr) 1998-10-16 2000-12-01 Commissariat Energie Atomique Structure comportant une couche mince de materiau composee de zones conductrices et de zones isolantes et procede de fabrication d'une telle structure
FR2784800B1 (fr) 1998-10-20 2000-12-01 Commissariat Energie Atomique Procede de realisation de composants passifs et actifs sur un meme substrat isolant
US6276345B1 (en) 1998-12-23 2001-08-21 Kohler Co. Dual fuel system for an internal combustion engine
US6346458B1 (en) 1998-12-31 2002-02-12 Robert W. Bower Transposed split of ion cut materials
FR2789518B1 (fr) 1999-02-10 2003-06-20 Commissariat Energie Atomique Structure multicouche a contraintes internes controlees et procede de realisation d'une telle structure
GB2347230B (en) 1999-02-23 2003-04-16 Marconi Electronic Syst Ltd Optical slow-wave modulator
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
WO2000063965A1 (en) 1999-04-21 2000-10-26 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
JP2001015721A (ja) 1999-04-30 2001-01-19 Canon Inc 複合部材の分離方法及び薄膜の製造方法
US6310387B1 (en) 1999-05-03 2001-10-30 Silicon Wave, Inc. Integrated circuit inductor with high self-resonance frequency
US6664169B1 (en) 1999-06-08 2003-12-16 Canon Kabushiki Kaisha Process for producing semiconductor member, process for producing solar cell, and anodizing apparatus
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
FR2796491B1 (fr) 1999-07-12 2001-08-31 Commissariat Energie Atomique Procede de decollement de deux elements et dispositif pour sa mise en oeuvre
US6323108B1 (en) 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6287940B1 (en) 1999-08-02 2001-09-11 Honeywell International Inc. Dual wafer attachment process
FR2797347B1 (fr) 1999-08-04 2001-11-23 Commissariat Energie Atomique Procede de transfert d'une couche mince comportant une etape de surfragililisation
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
AU6905000A (en) 1999-08-10 2001-03-05 Silicon Genesis Corporation A cleaving process to fabricate multilayered substrates using low implantation doses
EP1077475A3 (en) 1999-08-11 2003-04-02 Applied Materials, Inc. Method of micromachining a multi-part cavity
US6387600B1 (en) 1999-08-25 2002-05-14 Micron Technology, Inc. Protective layer during lithography and etch
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
KR100413789B1 (ko) 1999-11-01 2003-12-31 삼성전자주식회사 고진공 패키징 마이크로자이로스코프 및 그 제조방법
DE19958803C1 (de) 1999-12-07 2001-08-30 Fraunhofer Ges Forschung Verfahren und Vorrichtung zum Handhaben von Halbleitersubstraten bei der Prozessierung und/oder Bearbeitung
JP2001196566A (ja) 2000-01-07 2001-07-19 Sony Corp 半導体基板およびその製造方法
US6306720B1 (en) 2000-01-10 2001-10-23 United Microelectronics Corp. Method for forming capacitor of mixed-mode device
JP3975634B2 (ja) 2000-01-25 2007-09-12 信越半導体株式会社 半導体ウェハの製作法
US6521477B1 (en) 2000-02-02 2003-02-18 Raytheon Company Vacuum package fabrication of integrated circuit components
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6586841B1 (en) 2000-02-23 2003-07-01 Onix Microsystems, Inc. Mechanical landing pad formed on the underside of a MEMS device
US6548375B1 (en) 2000-03-16 2003-04-15 Hughes Electronics Corporation Method of preparing silicon-on-insulator substrates particularly suited for microwave applications
KR100742790B1 (ko) 2000-04-14 2007-07-25 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 특히 반도체 재료(들)로 제조된 기판 또는 잉곳에서 적어도 하나의 박층을 절단하는 방법 및 장치
FR2809867B1 (fr) 2000-05-30 2003-10-24 Commissariat Energie Atomique Substrat fragilise et procede de fabrication d'un tel substrat
US6407929B1 (en) 2000-06-29 2002-06-18 Intel Corporation Electronic package having embedded capacitors and method of fabrication therefor
JP2002016150A (ja) 2000-06-29 2002-01-18 Nec Corp 半導体記憶装置及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US20020005990A1 (en) 2000-07-11 2002-01-17 Nikon Corporation Optical element formed with optical thin film and exposure apparatus
FR2811807B1 (fr) 2000-07-12 2003-07-04 Commissariat Energie Atomique Procede de decoupage d'un bloc de materiau et de formation d'un film mince
KR100414479B1 (ko) 2000-08-09 2004-01-07 주식회사 코스타트반도체 반도체 패키징 공정의 이식성 도전패턴을 갖는 테이프 및그 제조방법
KR100995457B1 (ko) 2000-08-22 2010-11-18 프레지던트 앤드 펠로우즈 오브 하버드 칼리지 나노센서 제조 방법
US6600173B2 (en) 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
FR2816445B1 (fr) 2000-11-06 2003-07-25 Commissariat Energie Atomique Procede de fabrication d'une structure empilee comprenant une couche mince adherant a un substrat cible
FR2818010B1 (fr) 2000-12-08 2003-09-05 Commissariat Energie Atomique Procede de realisation d'une couche mince impliquant l'introduction d'especes gazeuses
US7139947B2 (en) 2000-12-22 2006-11-21 Intel Corporation Test access port
FR2819099B1 (fr) 2000-12-28 2003-09-26 Commissariat Energie Atomique Procede de realisation d'une structure empilee
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
JP2002270553A (ja) 2001-03-13 2002-09-20 Mitsubishi Gas Chem Co Inc 電子部品の製造法
JP2002305293A (ja) 2001-04-06 2002-10-18 Canon Inc 半導体部材の製造方法及び半導体装置の製造方法
US6734762B2 (en) 2001-04-09 2004-05-11 Motorola, Inc. MEMS resonators and method for manufacturing MEMS resonators
FR2823373B1 (fr) 2001-04-10 2005-02-04 Soitec Silicon On Insulator Dispositif de coupe de couche d'un substrat, et procede associe
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
FR2828428B1 (fr) 2001-08-07 2003-10-17 Soitec Silicon On Insulator Dispositif de decollement de substrats et procede associe
US6744114B2 (en) 2001-08-29 2004-06-01 Honeywell International Inc. Package with integrated inductor and/or capacitor
FR2830983B1 (fr) 2001-10-11 2004-05-14 Commissariat Energie Atomique Procede de fabrication de couches minces contenant des microcomposants
US6593212B1 (en) 2001-10-29 2003-07-15 The United States Of America As Represented By The Secretary Of The Navy Method for making electro-optical devices using a hydrogenion splitting technique
DE10153319B4 (de) 2001-10-29 2011-02-17 austriamicrosystems AG, Schloss Premstätten Mikrosensor
TWI251813B (en) 2001-11-09 2006-03-21 Via Tech Inc Method for protecting phase lock loop in optical data-reading system
KR100442105B1 (ko) 2001-12-03 2004-07-27 삼성전자주식회사 소이형 기판 형성 방법
FR2833106B1 (fr) 2001-12-03 2005-02-25 St Microelectronics Sa Circuit integre comportant un composant auxiliaire, par exemple un composant passif ou un microsysteme electromecanique, dispose au-dessus d'une puce electronique, et procede de fabrication correspondant
US6953735B2 (en) 2001-12-28 2005-10-11 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device by transferring a layer to a support with curvature
FR2834820B1 (fr) 2002-01-16 2005-03-18 Procede de clivage de couches d'une tranche de materiau
FR2835097B1 (fr) 2002-01-23 2005-10-14 Procede optimise de report d'une couche mince de carbure de silicium sur un substrat d'accueil
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6596569B1 (en) 2002-03-15 2003-07-22 Lucent Technologies Inc. Thin film transistors
US6607969B1 (en) 2002-03-18 2003-08-19 The United States Of America As Represented By The Secretary Of The Navy Method for making pyroelectric, electro-optical and decoupling capacitors using thin film transfer and hydrogen ion splitting techniques
US6767749B2 (en) 2002-04-22 2004-07-27 The United States Of America As Represented By The Secretary Of The Navy Method for making piezoelectric resonator and surface acoustic wave device using hydrogen implant layer splitting
FR2838865B1 (fr) 2002-04-23 2005-10-14 Soitec Silicon On Insulator Procede de fabrication d'un substrat avec couche utile sur support de resistivite elevee
US6632082B1 (en) 2002-05-01 2003-10-14 Colibri Corporation Lighter and method of use
US6645831B1 (en) 2002-05-07 2003-11-11 Intel Corporation Thermally stable crystalline defect-free germanium bonded to silicon and silicon dioxide
US7157119B2 (en) 2002-06-25 2007-01-02 Ppg Industries Ohio, Inc. Method and compositions for applying multiple overlying organic pigmented decorations on ceramic substrates
US6953736B2 (en) 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
FR2842349B1 (fr) 2002-07-09 2005-02-18 Transfert d'une couche mince a partir d'une plaquette comprenant une couche tampon
US7018910B2 (en) 2002-07-09 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Transfer of a thin layer from a wafer comprising a buffer layer
US7535100B2 (en) 2002-07-12 2009-05-19 The United States Of America As Represented By The Secretary Of The Navy Wafer bonding of thinned electronic materials and circuits to high performance substrates
KR100511656B1 (ko) 2002-08-10 2005-09-07 주식회사 실트론 나노 에스오아이 웨이퍼의 제조방법 및 그에 따라 제조된나노 에스오아이 웨이퍼
JP4199504B2 (ja) 2002-09-24 2008-12-17 イーグル工業株式会社 摺動部品及びその製造方法
EP1403684A1 (en) 2002-09-30 2004-03-31 Corning Incorporated High-speed optical modulator
US7176108B2 (en) 2002-11-07 2007-02-13 Soitec Silicon On Insulator Method of detaching a thin film at moderate temperature after co-implantation
FR2847075B1 (fr) 2002-11-07 2005-02-18 Commissariat Energie Atomique Procede de formation d'une zone fragile dans un substrat par co-implantation
FR2847076B1 (fr) 2002-11-07 2005-02-18 Soitec Silicon On Insulator Procede de detachement d'une couche mince a temperature moderee apres co-implantation
FR2848336B1 (fr) 2002-12-09 2005-10-28 Commissariat Energie Atomique Procede de realisation d'une structure contrainte destinee a etre dissociee
FR2848337B1 (fr) 2002-12-09 2005-09-09 Commissariat Energie Atomique Procede de realisation d'une structure complexe par assemblage de structures contraintes
FR2850487B1 (fr) * 2002-12-24 2005-12-09 Commissariat Energie Atomique Procede de realisation de substrats mixtes et structure ainsi obtenue
US20040124509A1 (en) 2002-12-28 2004-07-01 Kim Sarah E. Method and structure for vertically-stacked device contact
US20040126708A1 (en) 2002-12-31 2004-07-01 3M Innovative Properties Company Method for modifying the surface of a polymeric substrate
KR100889886B1 (ko) 2003-01-07 2009-03-20 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 박층을 박리한 후 다층 구조를 포함하는 웨이퍼의 재활용방법
FR2892228B1 (fr) 2005-10-18 2008-01-25 Soitec Silicon On Insulator Procede de recyclage d'une plaquette donneuse epitaxiee
US6995427B2 (en) 2003-01-29 2006-02-07 S.O.I.Tec Silicon On Insulator Technologies S.A. Semiconductor structure for providing strained crystalline layer on insulator and method for fabricating same
US7348260B2 (en) 2003-02-28 2008-03-25 S.O.I.Tec Silicon On Insulator Technologies Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
US7018909B2 (en) 2003-02-28 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Forming structures that include a relaxed or pseudo-relaxed layer on a substrate
US7071077B2 (en) 2003-03-26 2006-07-04 S.O.I.Tec Silicon On Insulator Technologies S.A. Method for preparing a bonding surface of a semiconductor layer of a wafer
JP2004335642A (ja) 2003-05-06 2004-11-25 Canon Inc 基板およびその製造方法
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
FR2855910B1 (fr) 2003-06-06 2005-07-15 Commissariat Energie Atomique Procede d'obtention d'une couche tres mince par amincissement par auto-portage provoque
FR2856844B1 (fr) 2003-06-24 2006-02-17 Commissariat Energie Atomique Circuit integre sur puce de hautes performances
FR2856841A1 (fr) 2003-06-24 2004-12-31 Commissariat Energie Atomique Procede de realisation d'une structure empilee par transfert de couche mince.
US20040262686A1 (en) 2003-06-26 2004-12-30 Mohamad Shaheen Layer transfer technique
FR2857953B1 (fr) 2003-07-21 2006-01-13 Commissariat Energie Atomique Structure empilee, et procede pour la fabriquer
FR2857983B1 (fr) 2003-07-24 2005-09-02 Soitec Silicon On Insulator Procede de fabrication d'une couche epitaxiee
KR20060030911A (ko) 2003-07-29 2006-04-11 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 공동-임플란트 및 열적 아닐링에 의한 개선된 품질의 박층제조방법
US7279369B2 (en) 2003-08-21 2007-10-09 Intel Corporation Germanium on insulator fabrication via epitaxial germanium bonding
US7052978B2 (en) 2003-08-28 2006-05-30 Intel Corporation Arrangements incorporating laser-induced cleaving
US20050067377A1 (en) 2003-09-25 2005-03-31 Ryan Lei Germanium-on-insulator fabrication utilizing wafer bonding
US7029980B2 (en) 2003-09-25 2006-04-18 Freescale Semiconductor Inc. Method of manufacturing SOI template layer
FR2861497B1 (fr) 2003-10-28 2006-02-10 Soitec Silicon On Insulator Procede de transfert catastrophique d'une couche fine apres co-implantation
US6975562B2 (en) 2003-12-05 2005-12-13 Timex Group B.V. Wearable electronic device with mode operation indicator
EP1697976A1 (en) 2003-12-16 2006-09-06 Koninklijke Philips Electronics N.V. Method for forming a strained si-channel in a mosfet structure
US7772087B2 (en) * 2003-12-19 2010-08-10 Commissariat A L'energie Atomique Method of catastrophic transfer of a thin film after co-implantation
KR100925310B1 (ko) 2004-01-16 2009-11-04 인터내셔널 비지네스 머신즈 코포레이션 고 완화율 및 저 적층 결함 밀도를 갖는 박막 sgoi웨이퍼를 형성하는 방법
KR100631922B1 (ko) 2004-02-23 2006-10-04 삼성전자주식회사 개선된 열 확산 성능을 갖는 다층 회로 보오드 및 그에따른 제조방법
FR2868202B1 (fr) 2004-03-25 2006-05-26 Commissariat Energie Atomique Procede de preparation d'une couche de dioxyde de silicium par oxydation a haute temperature sur un substrat presentant au moins en surface du germanium ou un alliage sicicium- germanium.
FR2871172B1 (fr) 2004-06-03 2006-09-22 Soitec Silicon On Insulator Support d'epitaxie hybride et son procede de fabrication
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US6893936B1 (en) 2004-06-29 2005-05-17 International Business Machines Corporation Method of Forming strained SI/SIGE on insulator with silicon germanium buffer
FR2876220B1 (fr) 2004-10-06 2007-09-28 Commissariat Energie Atomique Procede d'elaboration de structures empilees mixtes, a zones isolantes diverses et/ou zones de conduction electrique verticale localisees.
FR2876219B1 (fr) 2004-10-06 2006-11-24 Commissariat Energie Atomique Procede d'elaboration de structures empilees mixtes, a zones isolantes diverses et/ou zones de conduction electrique verticale localisees.
EP1650794B1 (en) 2004-10-19 2008-01-16 S.O.I. Tec Silicon on Insulator Technologies S.A. A method for fabricating a wafer structure with a strained silicon layer and an intermediate product of this method
FR2877142B1 (fr) 2004-10-21 2007-05-11 Commissariat Energie Atomique Procede de transfert d'au moins un objet de taille micrometrique ou millimetrique au moyen d'une poignee en polymere.
FR2877491B1 (fr) 2004-10-29 2007-01-19 Soitec Silicon On Insulator Structure composite a forte dissipation thermique
TW200707799A (en) 2005-04-21 2007-02-16 Aonex Technologies Inc Bonded intermediate substrate and method of making same
FR2888400B1 (fr) 2005-07-08 2007-10-19 Soitec Silicon On Insulator Procede de prelevement de couche
US7754008B2 (en) 2005-07-19 2010-07-13 The Regents Of The University Of California Method of forming dislocation-free strained thin films
FR2889887B1 (fr) * 2005-08-16 2007-11-09 Commissariat Energie Atomique Procede de report d'une couche mince sur un support
US7399686B2 (en) 2005-09-01 2008-07-15 International Business Machines Corporation Method and apparatus for making coplanar dielectrically-isolated regions of different semiconductor materials on a substrate
FR2891281B1 (fr) 2005-09-28 2007-12-28 Commissariat Energie Atomique Procede de fabrication d'un element en couches minces.
FR2895562B1 (fr) 2005-12-27 2008-03-28 Commissariat Energie Atomique Procede de relaxation d'une couche mince contrainte
FR2899378B1 (fr) * 2006-03-29 2008-06-27 Commissariat Energie Atomique Procede de detachement d'un film mince par fusion de precipites
ATE383656T1 (de) 2006-03-31 2008-01-15 Soitec Silicon On Insulator Verfahren zur herstellung eines verbundmaterials und verfahren zur auswahl eines wafers
EP1928020B1 (en) 2006-11-30 2020-04-22 Soitec Method of manufacturing a semiconductor heterostructure
FR2910179B1 (fr) 2006-12-19 2009-03-13 Commissariat Energie Atomique PROCEDE DE FABRICATION DE COUCHES MINCES DE GaN PAR IMPLANTATION ET RECYCLAGE D'UN SUBSTRAT DE DEPART
FR2922359B1 (fr) 2007-10-12 2009-12-18 Commissariat Energie Atomique Procede de fabrication d'une structure micro-electronique impliquant un collage moleculaire
FR2925221B1 (fr) * 2007-12-17 2010-02-19 Commissariat Energie Atomique Procede de transfert d'une couche mince
US7998835B2 (en) 2008-01-15 2011-08-16 Globalfoundries Singapore Pte. Ltd. Strain-direct-on-insulator (SDOI) substrate and method of forming
FR2934924B1 (fr) 2008-08-06 2011-04-22 Soitec Silicon On Insulator Procede de multi implantation dans un substrat.
FR2947098A1 (fr) 2009-06-18 2010-12-24 Commissariat Energie Atomique Procede de transfert d'une couche mince sur un substrat cible ayant un coefficient de dilatation thermique different de celui de la couche mince

Also Published As

Publication number Publication date
WO1999035674A1 (fr) 1999-07-15
US6756286B1 (en) 2004-06-29
FR2773261A1 (fr) 1999-07-02
DE69841545D1 (de) 2010-04-22
FR2773261B1 (fr) 2000-01-28
US20070232025A1 (en) 2007-10-04
US20040058555A1 (en) 2004-03-25
EP2175478B1 (fr) 2017-05-17
EP0963598B1 (fr) 2010-03-10
US20110092051A1 (en) 2011-04-21
KR100545338B1 (ko) 2006-01-24
KR20000075598A (ko) 2000-12-26
MY119838A (en) 2005-07-29
US8470712B2 (en) 2013-06-25
US8609514B2 (en) 2013-12-17
US7229899B2 (en) 2007-06-12
EP2175478A2 (fr) 2010-04-14
JP2001507525A (ja) 2001-06-05
EP2175478A3 (fr) 2014-11-19
US20130273713A1 (en) 2013-10-17
US7883994B2 (en) 2011-02-08
JP3681178B2 (ja) 2005-08-10
EP0963598A1 (fr) 1999-12-15

Similar Documents

Publication Publication Date Title
TW412598B (en) Process for the transfer of a thin film comprising an inclusion creation step
TWI282117B (en) High-quality SGOI by oxidation near the alloy melting temperature
TWI313511B (en) Method of fabricating strained si soi wafers
US6211041B1 (en) Silicon-on-insulator (SOI) substrate and method of fabricating the same
US6593173B1 (en) Low defect density, thin-layer, SOI substrates
US6809044B1 (en) Method for making a thin film using pressurization
US7018484B1 (en) Semiconductor-on-insulator silicon wafer and method of formation
JP4994530B2 (ja) 特にヘテロエピタキシャル堆積用のコンプライアント基板
JPH05102445A (ja) 半導体基材の作製方法
JP2004533726A (ja) 半導体材料からなるフィルムまたは層およびフィルムまたは層の製造方法
JP2010093233A (ja) 補剛材の適用によるひずみ材料層の緩和
US7947571B2 (en) Method for fabricating a semiconductor on insulator substrate with reduced Secco defect density
JPH1126390A (ja) 欠陥発生防止方法
US20110233733A1 (en) Method of fabricating a release substrate
TWI330383B (en) A method for fabricating a strained crystalline layer on an insulator, a semiconductor structure therefor, and a fabricated semiconductor structure
Lee et al. Effects of deposition temperature and pressure of the surface roughness and the grain size of polycrystalline Si1− xGex films
JP2004528707A5 (zh)
JPH05101998A (ja) 半導体基材の作製方法
JPH09283467A (ja) 半導体装置の製造方法
Dong et al. Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation
JPH02126631A (ja) Soi基板の製造方法
Liu et al. Competitive oxidation during buried oxide formation using separation by plasma implantation of oxygen (SPIMOX)

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent