TW201501179A - 用於euv微影之pecvd膜 - Google Patents

用於euv微影之pecvd膜 Download PDF

Info

Publication number
TW201501179A
TW201501179A TW103106336A TW103106336A TW201501179A TW 201501179 A TW201501179 A TW 201501179A TW 103106336 A TW103106336 A TW 103106336A TW 103106336 A TW103106336 A TW 103106336A TW 201501179 A TW201501179 A TW 201501179A
Authority
TW
Taiwan
Prior art keywords
layer
extreme ultraviolet
photoresist
ultraviolet lithography
processing
Prior art date
Application number
TW103106336A
Other languages
English (en)
Other versions
TWI595538B (zh
Inventor
Nader Shamma
Thomas Mountsier
Donald Schlosser
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201501179A publication Critical patent/TW201501179A/zh
Application granted granted Critical
Publication of TWI595538B publication Critical patent/TWI595538B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/092Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文中提供一種用於極紫外線微影的多層堆疊體,該多層堆疊體被特製用以達成最佳的蝕刻反差以縮小特徵部並使特徵部的邊緣平滑化,同時賦予使用光學水平感測器的能力而只會有很少或減少的錯誤。該多層堆疊體可具有介於待圖案化的目標層與光阻之間的一原子級平滑層及一或更多下層, 其中該原子級平滑層具有小於一單分子層的一平均局部粗糙度。亦提供在極紫外線微影中使用之多層堆疊體的沉積方法。

Description

用於EUV微影之PECVD膜
本發明係關於用於EUV微影之PECVD膜。
對於半導體製程而言,圖案化的方法係極重要的。尤其是,極紫外線(EUV)微影被開發以使微影技術延伸超過其光學限制,並取代現行的光微影方法以將小關鍵尺寸特徵部圖案化。現行的EUV微影方法造成不良的邊緣粗糙及弱的圖案,而這可最終導致基板無法使用。
本文中提供一種適用於極紫外線微影的多層堆疊體及在半導體處理中沉積多層堆疊體的方法。一態樣涉及用於極紫外線微影的半導體基板上之多層堆疊體,該多層堆疊體包括:一原子級平滑層,具有小於一單分子層之平均局部粗糙度;及一或更多下層,該一或更多下層對其相鄰的層具有高蝕刻反差。
在各樣的實施例中,該多層堆疊體亦包括一光阻、及一目標層,俾使該原子級平滑層及該一或更多下層係介於光阻與目標層之間,且該原子級平滑層與該光阻相鄰。在一些實施例中,該光阻的厚度介於約100Å與600Å之間。在一些實施例中,該原子級平滑層的厚度介於約30Å與約60Å之間。
在許多實施例中,一或更多下層其中一者係與目標層相鄰的一硬遮罩。在一些實施例中,該硬遮罩包括具有小於約20%的氫含量之非晶碳。在一些實施例中,該非晶碳的下層介於約400Å與約900Å之間。在一些實施例中,該非晶碳的下層具有約1:1的模數應力比。在各樣的實施例中,該一或更多下層其中一者包括具有小於約5%的氫含量之非晶碳。
在各樣的實施例中,原子級平滑層包括氧化物。在一些實施例中,該一或更多下層其中至少一者反射或吸收打在基板上的測水平光束,其中該測水平光束係用以測量晶圓水平度。在一些實施例中,原子級平滑層的平均局部粗糙度小於約2Å。
另一態樣涉及於極紫外線微影中處理半導體基板的方法,藉由:在目標層上沉積一或更多下層;沉積具有小於一單分子層的粗糙度之原子級平滑層;然後在該原子級平滑層的頂部上沉積光阻層。
在各樣的實施例中,藉由電漿增強化學氣相沉積法沉積每一層。在許多實施例中,沉積一或更多下層更包含藉由將基板暴露於烴前驅物而在該目標層上沉積非晶碳層。
在一些實施例中,將該原子級平滑層沉積至介於約30Å與約60Å之間的厚度。在各樣的實施例中,該方法更包含使用極紫外線微影將光阻層圖案化。
另一態樣涉及用以處理半導體基板的設備,包括:一或更多處理腔室;一或更多氣體入口,該一或更多氣體入口進入一或更多處理腔室及聯結的流量控制硬體中;一低頻射頻(LFRF)產生器;一高頻射頻(HFRF)產生器;及一控制器,具有至少一處理器及一記憶體。該至少一處理器及該記憶體彼此通訊上連接,該至少一處理器至少操作上連接至該流量控制硬體、該LFRF產生器、及HFRF產生器,且該記憶體儲存電腦可執行的指令用以:在基板上沉積一或更多下層; 沉積具有小於一單分子層的粗糙度之原子級平滑層;及在該原子級平滑層的頂部上沉積一光阻層。
在各樣的實施例中,將該原子級平滑層沉積至介於約30Å與約60Å之間一厚度。在一些實施例中,沉積在基板上的一或更多下層其中至少一者包括非晶碳。
以下參照圖式進一步描述這些及其他態樣。
在以下描述中,提出許多的特定細節以提供對所提出的實施例之周密的了解。揭露的實施例可被實行而無須部分或全部的特定細節。在其他情況下,為了不對揭露的實施例造成不必要地混淆,眾所周知的程序運作則沒有被詳述。當配合特定的實施例描述揭露的實施例時,將會了解吾人並非意圖限制所揭露之實施例。
在半導體處理中,薄膜的圖案化通常為半導體製造及加工中的關鍵步驟。用於微影之習知的堆疊體通常包括在下層的頂部上的光阻層,該光阻層一般藉由旋塗式方法被沉積在硬遮罩上。該硬遮罩一般由合成物製成,且硬遮罩本身係沉積在目標層上。習知的圖案化涉及光微影,例如193nm的微影。在光微影中,藉由從光子源放射的光子將圖案印刷至光罩上,然後將圖案印刷至光敏光阻上,藉此引起光阻中的化學反應以移除光阻的某些部分並形成圖案。在習知的微影中,可擊中光阻之光子的數量可能變化。在許多實例中,大量的光子擊中光阻,但其中每一光子攜帶較少的能量。由於可使用大量的光子,一些散逸光子導致的錯誤不會實質上影響所產生的界定圖案。
當元件縮小,印刷更小特徵部的需求增加。雖然已研發用於習知的光微影的多重圖案化技術,但多重圖案化使用多層的沉積及蝕刻處理。在先進的半導體積體電路(ICs)及其他元件上之特徵部的縮放,已經驅使微影藉由移動到甚至更小的成像來源波長以改善解析度。
極紫外線(EUV)微影已被研發出來,以在尖端的微影工具(亦被稱為掃描機)中使用波長接近13.5nm的EUV光源在光阻上印刷更小的圖案。EUV輻射在廣泛的材料中被強烈地吸收,包括石英及水,因此在真空中運作。
在EUV微影中,較少光子被從來源放射,然後光子擊中光阻以形成圖案。每一光子較習知的微影中使用的光子攜帶更高的能量。此外,在EUV微影中較少的較高能量光子擊中光阻,因此少數錯過光敏部位的散逸光子可在界定圖案中造成較大的錯誤。與習知的微影比較,習知的微影使用更多的較低能量光子,且少數散逸光子不會對圖案造成實質上的影響,在EUV微影中因光子及感光劑的散粒雜訊所造成的機率效應係特別令人顧慮的。
本文中提供一多層堆疊體,特製用以達成最佳的蝕刻反差,進而縮小特徵部並使特徵部的邊緣平滑化,同時賦予使用光學水平感測器的能力而只會有很少或減少的錯誤。該多層堆疊體可用於形成積體電路中的線或間距或接觸窗及孔部,並可形成用於先進技術節點之特徵部,其中該先進技術節點係如國際半導體技術藍圖(the International Technology Roadmap for Semiconductors)所定義。這些先進技術節點的範例包括22nm節點、16nm節點、及更小的節點。在16nm節點中,金屬鑲嵌結構中一般的介層窗或線之寬度不大於約30nm。
圖1為半導體基板上的多層堆疊體之範例的示意圖。晶圓100包括一目標層110、一多層堆疊體120、一可選性的下層130、及一光阻140。目標層110可為任何目標層或基板,例如金屬、氧化物、介電材料、或超低k值(ULK)基板。在許多實施例中,目標層110為矽基板。.
多層堆疊體120包括一原子級平滑層120a、及下層120b與120c。在許多實施例中,多層堆疊體120包括二下層(如多層堆疊體120中顯示)、或多於二下層、或多於三下層、或多於四下層、或多於五下層。在一些實施例中,多層堆疊體120包括下層130作為其一部分。可選性的下層130可係一旋塗層或一藉由電漿增強化學氣相沉積法(PECVD)而沉積的層。作為範例,圖1描繪二下層120b及120c,還有原子級平滑層120a。
在各樣的實施例中,與目標層110相鄰的下層係一結實的硬遮罩層,該硬遮罩可被以模數及應力測量。例如,結實的硬遮罩層可具有至少100MPa的模數及較約500MPa更小的應力。在許多實施例中,下層120c或與目標層110相鄰的該下層係非晶碳層。在一些實施例中,非晶碳的下層120c之模數應力比至少為約1:1。用於下層110之結實硬遮罩層的範例亦包括類鑽碳(DLC)、摻雜非晶碳、及旋塗碳(SoC)。
在各樣的實施例中,在將圖案轉移至後續層之後,下層120b及120c可為可移除的。例如,在圖案被轉移至下層120c後可將下層120b移除。在一些實施例中,下層120b及120c可反射、折射、或吸收被放射在基板上用以測量晶圓水平度的光束。
下層120b及120c的成分可由許多成分種類中選擇,例如氧化物、金屬(例如,鉿、鈷、鎢、及鈦)或導電膜(例如,鈦氮化物、鈦矽化物、鈷矽化物)、介電材料(例如,矽氧化物、矽氮化物)、硬遮罩材料(例如,非晶碳、非晶矽)、或其他如矽氮氧化物(SiON)、無氮抗反射層(NFARL)、或矽抗反射塗層(SiARC)。在一範例中,下層120b係一非晶矽層且下層120c係一非晶碳層。在大多實施例中,下層120b及下層120c其中每一者可介於約100Å與約900Å厚之間。
下層120b及120c之選擇係基於其相對於相鄰層的蝕刻反差。在許多實施例中,下層120b相對於下層120c具有高蝕刻反差且相對於120a亦具有高蝕刻反差。在各樣的實施例中,下層120c相對於下層120b及目標層110皆具有高蝕刻反差。例如,若下層120c為非晶碳層,則下層120b可對原子級平滑層120a及該非晶碳下層120c皆具有高蝕刻反差。
下層120b及120c之選擇亦可基於其它的可最佳化特性,例如物理特性、化學特性、及光學特性。如此的特性之範例可包括表面能、結合結構、疏水性、折射率、及消光係數。
原子級平滑層120a為一薄層,一般被沉積在多層堆疊體的頂部附近的層中,例如光阻140下的第一或第二層。原子級平滑層120a的特徵在於其非常低的粗糙度。”原子級平滑”定義為具有小於1單分子層的粗糙度,或從平均線起約半單分子層的偏差。”局部”粗糙度定義為在晶圓之表面積的1平方微米內的粗糙度。層的粗糙度可藉由觀察及藉由原子力顯微鏡(AFM)而估算。可藉由粗糙輪廓從平均線算起的垂直偏差之平均值而測量粗糙度。在一範例中,”原子級平滑”層120a可具有較約2Å更小的平均粗糙度。亦可藉由粗糙輪廓從平均線算起的垂直偏差之均方根(RMS)而測量粗糙度。
在許多實施例中,原子級平滑層120a係一薄層。原子級平滑層120a的厚度可介於約30Å與約60Å之間。原子級平滑層120a對相鄰層可具有高蝕刻反差,且在將圖案轉移至後續層之後亦可為可移除的。在各樣的實施例中,原子級平滑層120a為氧化物層。在一些範例中,原子級平滑層120a為矽氧化物的薄層。
藉由使用縮減效應,可將多層堆疊體用於EUV微影。使用EUV微影將較大的特徵部印刷在光阻上,然後當每一層被沿著多層堆疊體往下蝕刻時特徵部被縮小,藉此減少由上述的光子及感光劑之散粒雜訊所導致的粗糙。在許多實施例中,印刷在光阻上的特徵部縮小,使得在目標層中圖案化之特徵部的關鍵尺寸小於或等於光阻中圖案的關鍵尺寸之尺寸或寬度的約70%。可藉由各樣的蝕刻處理將縮小的百分比最佳化。縮小的百分比亦可能被間距(pitch)限制。
在EUV微影中多層堆疊體的使用可導致幾個最佳化效應。例如,使用多層堆疊體可導致最佳化的低線邊緣粗糙度(LER)。線邊緣粗糙度可定義為特徵部邊緣與平滑、理想的形狀之偏差(由上往下看)–即是,在較用以印刷特徵部的成像工具之解析度限制更小的尺寸級別所發生之特徵部的邊緣偏差。LER的大小可被一些因素的強度影響,例如在暴露的輻射中之光子散粒雜訊、在顯影劑中光阻的分解之隨機本質、掃描式電子顯微鏡引起的量測雜訊、及光阻與底下的膜之間的化學交互作用。在圖案化的光阻上之側壁粗糙度可為高度異向性的,且粗糙可從光阻-基板介面往上擴散至光阻圖案側壁。在超薄光阻膜中(厚度可約為100nm或更小),對於一些不同的光阻材料平台而言,從光阻-基板介面至光阻-空氣介面的粗糙度可為互相關連的。在EUV微影中,特別是對於大量生產,吾人希望光阻的LER小於約1nm (3σ)。當每一層在多層堆疊體中被往下蝕刻時,特徵部的壁被平滑化且LER可被最佳化至更接近小於1nm。
使用多層堆疊體的另一最佳化效應係改善的生產量。多層堆疊體的使用可賦予或實質上賦予以EUV微影進行大量生產的能力。可使用更薄的光阻膜,藉此減少用以將光阻圖案化的曝光劑量。例如,將光阻厚度從接近1000Å減少至接近300Å可顯著地減少曝光光阻所需要的劑量,從而改善了掃描機的生產量。在對底下的膜進行反應性離子蝕刻(RIE) 的期間,光阻亦可作為遮罩以將遮罩圖案轉移至目標層上。為了將光阻作為遮罩這個目的,能作為遮罩的最小光阻厚度可決定光阻厚度的下限。
使用多層堆疊體的另一最佳化效應可為得到低局部關鍵尺寸均勻性(LCDU)。在各樣的實施例中,可藉由使用EUV微影界定較大的特徵部並在每次圖案被往下轉移至每一層(例如原子級平滑層,接著一或更多下層,然後最後到目標層)時使特徵部窄化或縮小以得到低LCDU。
在EUV微影中使用多層堆疊體的另一最佳化效應係有效使用光學水平感測器以判定晶圓的水平度。在習知的微影中為了精確地印刷圖案,使用測水平方法以確保光子撞擊水平的光阻並精確地將圖案印刷至光阻上。光阻中適當的影像之形成通常涉及投射在光阻膜上的曝光輻射之焦平面的準確校準。這係重要的,因為光阻上所界定的圖案係作為蝕刻半導體基板的起始點並用以界定半導體堆疊體的後續層。
可藉由光學水平感測器測量基板的水平度。圖2提供偵測晶圓水平度的光學水平感測器之示意圖的範例。該基板或晶圓包括:一金屬201,該金屬可被圖案化;一氧化物層203;一硬遮罩層205,該硬遮罩層可為非晶碳(α-C)或非晶矽(α-Si)層;一頂蓋層207,該頂蓋層可為矽氮氧化物(SiON)層;一下層209;及一光阻211。光學水平感測器通常包含一測水平光束放射器213,可將一光束放射至光阻211上。光學感測器可運作在接近500nm至1800nm的波長範圍中,其亦被稱為寬頻測水平光束。光阻211將光束反射,然後反射的光束被感測器215偵測。依據晶圓或光阻的特性,感測器將能夠精確地偵測該基板是否為水平的。因為在一儀器或設備中可處理許多不同類型的晶圓,光學水平感測器應該要能判定各樣類型之晶圓的水平度。然而,由於光阻211可將該光束折射至可能具有其他反射或折射特性的下層,例如圖2中顯示的金屬201,後續層可能反射及/或折射該測水平光束,而其接著亦被感測器215偵測。如所描繪的,該光束從金屬層201反射離開且亦被感測器215偵測。因此,在光阻的表面之偵測中的一誤差來源為從現存晶圓地形反射的入射寬頻光束。因此,感應器可能無法精確地判定晶圓的水平度。雖然光學水平感測器可能可以快速地判定水平度,但由於在習知的微影中使用各樣類型的晶圓,光學水平感測器具有較高程度的誤差。
作為替代方案,可使用空氣量規以估算微影中晶圓之水平度。該空氣量規測量晶圓下的背壓且可偵測晶圓是否不平衡。然而,雖然該測量係更準確的,但測量晶圓是否水平會花費較長時間,因此減少了晶圓的生產量。
與習知的微影相比,EUV微影一般在真空中執行。由於空氣量規測量氣壓,所以無法使用空氣量規偵測微影的晶圓是否水平。因此,用以測量晶圓之水平度的光學水平感測器及在EUV微影中使用的標準晶圓可導致光束的反射或折射,然後感測器可能錯誤地偵測到錯的反射或折射光束。
本文中描述的多層堆疊體係實用的,因為一或更多下層可具有吸收的特性,該特性可防止或阻擋該測水平光束在後續層反射或折射,並藉此降低感測器的測量錯誤。因此,如本文中描述,藉由以最佳化的多層堆疊體取代現行使用的膜,多層堆疊體賦予EUV微影工具中準確的光學對焦及測水平系統之能力。
提供可呈現這些最佳化特性之多層堆疊體的一範例。可使用PECVD沉積每一層。目標層可為基於矽氧化物的層,例如約1500Å厚的TEOS層。在目標層的頂部上可為非晶碳的第一下層,該下層可為可灰化硬遮罩。該非晶碳層可為結實的且具有高模數,當其在後續步驟中被圖案化時特別用以維持精密的圖案。該非晶碳層的範例厚度可為約400Å至約900Å。在非晶碳層的頂部上可為非晶矽的第二下層,非晶矽與非晶碳具有高蝕刻反差。在一些實施例中,該非晶矽為摻雜的。在一些實施例中,該非晶矽為無摻雜的。此層可為約100Å厚。在非晶矽層的頂部上可為一原子級平滑層。該非晶矽層可與原子級平滑層具有高蝕刻反差。原子級平滑層可為約30Å至約60Å厚的矽氧化物層,並且被沉積俾使膜的粗糙度小於一單分子層。例如,原子級平滑層的平均粗糙度可為約2Å。在原子級平滑層的頂部上可為光阻層。藉由以下程序可在EUV微影中使用此多層堆疊體的範例:將較想要的更大之特徵部圖案化在光阻層中,隨後將圖案往下圖案化及蝕刻及移除每一層,俾使圖案在被往下蝕刻至每一層時,將特徵部的尺寸縮小。 方法
本文中提供根據揭露實施例之多層堆疊體的沉積方法。圖3為一處理流程圖,描繪沉積多層堆疊體的方法300。在操作303之前,可在基板上沉積一目標層,或可提供一包括目標層之基板。在許多實施例中,目標層被沉積在基板或晶圓上,例如矽晶圓。在一些實施例中,目標層為金屬層、氧化物層、介電層、或超低k值層。在一特定實施例中,目標層為四乙基矽氧烷(TEOS)。目標層的厚度可在200Å至約2000Å之間變化,或約1500Å。
在操作303中,在基板上沉積一或更多下層。在許多的實施例中,直接在目標層的頂部上沉積下層。在一些實施例中,沉積一下層。在各樣的實施例中,沉積二下層。可沉積之下層的數量可介於從1到至少4或至少5或更多。
每一下層可具有與相鄰層不同或相同的成分,並可以在將圖案轉移至後續層後被移除。在各樣的實施例中,下層其中至少一者為硬遮罩層。下層可為氧化物、金屬、導電膜、介電材料、或其他材料。範例金屬包括鉿、鈷、鎢、及鈦,而範例導電膜包括鈦氮化物、鈦矽化物、及鈷矽化物。範例介電材料包括矽氧化物和矽氮化物。其他範例下層成分包括非晶碳、非晶矽、矽氮氧化物(SiON)、無氮抗反射層(NFARL)和矽抗反射塗層(SiARC)。在一些實施例中,被直接沉積在目標層頂部上的下層具有高模數或係高度結實的,其可藉由模數或應力加以測量。結實下層之範例包括非晶碳或類鑽碳。在一些實施例中,可藉由將基板暴露至烴前驅物而沉積非晶碳層作為該一或更多下層其中至少一者。
在各樣的實施例中,直接沉積在目標層頂部上的下層對目標層具有高蝕刻反差。每一下層對相鄰的下層具有高蝕刻反差。例如,TEOS的目標層對非晶碳層具有高蝕刻反差。因此,在一些實施例中,可將非晶碳的下層沉積在TEOS的目標層的頂部上。
每一下層的厚度可介於從約100Å至約900Å或從約400Å至約900Å。在一些實施例中,每一下層的厚度相同。在一些實施例中,每一下層的厚度不同。在各樣的實施例中,在目標層頂部上的下層之厚度較其他下層更厚。在一特定的範例中,在目標層上沉積一400Å的非晶碳下層,然後在該非晶碳層的頂部上沉積一具有低氫含量之100Å的非晶矽層。低氫含量之非晶矽層中的氫含量可為少於約5%、或少於約10%、或少於約20%。低氫含量可取決於在該非晶矽層頂部上的層之附著性。在許多實施例中,非晶碳層亦可具有低氫含量,或少於約10%的氫,或少於約20%的氫。
回到圖3,在操作305中,在基板上沉積一原子級平滑層。”原子級平滑”可定義為具有小於1單分子層的局部粗糙度,或約半單分子層。"局部"粗糙度可定義為在晶圓表面積的1平方微米內測量的粗糙度。層的粗糙度可藉由觀察或原子力顯微鏡(AFM)而估算,亦可藉由估算粗糙輪廓從平均線算起的垂直偏差之平均值、或粗糙輪廓從平均線算起的垂直偏差之均方根(RMS)而測量。在一些實施例中,原子級平滑層的平均局部粗糙度小於約2Å。
在許多實施例中,原子級平滑層被沉積在一或更多下層上。在一特定實施例中,原子級平滑層被沉積在低氫非晶矽層的頂部上。在各樣的實施例中,原子級平滑層在將圖案轉移至後續層後係可移除的。該原子級平滑層可為一薄層且具有介於約30Å與約60Å之間的厚度。與原子級平滑層下方的下層及任何沉積在原子級平滑層頂部上的層比較,原子級平滑層可具有高蝕刻反差。在一特定範例中,原子級平滑層為矽氧化物(Si­O2 )的薄層。在許多實施例中,原子級平滑層為氧化物層。
在操作307中,可在原子級平滑層上沉積光阻。在各樣的實施例中,光阻可直接與原子級平滑層相鄰,俾使光阻係直接沉積在原子級平滑層的頂部上。在許多實施例中,沉積原子級平滑層及一或更多下層使得該等層介於光阻與目標層之間。在一些實施例中,於沉積光阻之前,在原子級平滑層的頂部上沉積一或更多下層。在許多實施例中,光阻可為聚(甲基丙烯酸甲酯)聚(甲基戊二醯亞胺) (PMGI)或酚甲醛樹脂。光阻的厚度可介於約100Å與約600Å之間,例如約300Å。在一些實施例中,沉積的光阻之厚度為600Å。
在一些實施例中,可使用極紫外線(EUV)微影將光阻圖案化。在許多實施例中,EUV微影涉及將界定圖案的光敏部位沉積至光阻上並將圖案印刷至光阻上。在許多實施例中,在圖案被界定於光阻上之後,藉由縮減法將圖案轉移至後續層,俾使在每一層被圖案化及移除時,縮減界定的特徵部尺寸。
可藉由各樣的方法沉積在方法300中描述的每一層。沉積處理的範例包括原子層沉積法(ALD)、電漿增強ALD(PEALD)、保角薄膜沉積法(CFD)、化學氣相沉積法(CVD)、電漿增強CVD(PECVD)、物理氣相沉積法(PVD)、及旋塗法。在一些實施例中,操作303中的下層可藉由沉積技術而沉積,例如電漿增強化學氣相沉積法(PECVD),且可涉及以包括烴前驅物的沉積氣體在沉積腔室中產生電漿。烴前驅物可被方程式C x H y ­ 所定義,其中x為介於2與10之間的整數,而y為介於2與24之間的整數。範例包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、環己烷(C6H12)、苯(C6H6)、及甲苯(C7H8)。可使用包括高頻(HF)功率及低頻(LF)功率之雙重射頻(RF)電漿來源。 設備
在本文中描述的多層堆疊體之沉積及使用可在任何適於半導體處理之設備上執行。在一些實施例中,該等設備可被整合並可具有一或更多用於處理半導體基板之腔室或站。適於實行本文中描述之方法的設備可包括微影工具、沉積工具、蝕刻工具、及其他。
如一範例,在本文中提供的沉積技術可在電漿增強化學氣相沉積(PECVD)反應器或保角薄膜沉積(CFD)反應器中實施。這樣的反應器可採取許多形式且可為包括一或更多腔室或反應器 (有時包括多個站) 之設備的一部分,其中每一者可容納一或更多晶圓並可執行多樣的晶圓操作。該一或更多腔室可將晶圓維持在界定的一位置或複數位置(在位置內具有或不具有動作,例如,旋轉、震動、或其他擾動)。在一實行例中,於執行揭露實施例中的操作前,一接受薄膜沉積的晶圓可於處理期間內在反應器或腔室中被從一站轉移至另一站。在其他實行例中,可將晶圓在設備中的腔室之間轉移以執行不同的操作。任何沉積步驟中之完整沉積或總膜厚的任何部分可完全在單一站發生。同時在處理中,可藉由底座、晶圓卡盤、及/或其他固定晶圓的設備固定每一晶圓。對某些要將晶圓加熱的操作而言,該設備可包括一加熱器,例如一加熱板。由Fremont, CA的Lam Research公司生產的VectorTM (例如,C3 Vector)或SequelTM (例如,C2 Sequel)反應器皆為可用以實行本文中描述的技術之合適反應器的範例。
圖4提供一簡單方塊圖,描繪各樣被配置用以實行本文中描述之方法的反應器組件。如顯示,反應器400包括一處理腔室424,該處理腔室包圍反應器400的其他組件,且用以容納電容放電型系統產生的電漿,該電容放電型系統包括一配合接地的加熱器區塊420一起工作的噴淋頭414。一高頻(HF)射頻(RF)產生器404及一低頻(LF)RF產生器402可連接至一匹配網路406然後至噴淋頭414。匹配網路406供應的功率及頻率可足夠從被供應至處理腔室424的處理氣體產生電漿。在一般處理中,HFRF組件可通常介於5MHz至60MHz,例如,13.56MHz。在具有LF組件的操作中,LF組件可介於約100kHz至2MHz之間,例如,430kHz。
在反應器中,晶圓底座418可支撐基板416。晶圓底座418可包括一卡盤、一叉狀物、或複數升降銷(未顯示)以在操作之間將基板固定並轉移進入及離開腔室424。該卡盤可為一靜電卡盤、一機械卡盤、或各樣只要在工業及/或研究使用中可獲得的其他類型之卡盤。
可經由入口412引入各樣的處理氣體。多重來源氣體線410連接至歧管408。氣體可被預混合或不預混合。可使用合適的閥門及質量流量控制機構以確保在處理中每一操作的沉積及電漿處理階段期間輸送正確的處理氣體。在以液體型態輸送化學前驅物的案例中,可使用液體流量控制機構。這樣的液體在到達沉積腔室424之前,可於傳輸期間內在歧管內被蒸發並與處理氣體混合,其中該歧管被加熱至以液體型態供應之化學前驅物的蒸發點之上。
處理氣體可經由出口422離開腔室424。一真空泵浦,例如,一或二級機械乾式泵浦及/或渦輪分子泵浦440,可用以將處理氣體從處理腔室424汲出並藉由使用閉路控制流量限制裝置(例如節流閥或鐘擺閥)將處理腔室424維持在合適的低壓。
如上面所述,本文中所述的沉積技術可在多站或單站工具上實行。在特定實行例中,可使用具有4站沉積架構的300mm Lam VectorTM 工具或具有6站沉積架構的200mm SequelTM 工具。在一些實行例中,可使用用以處理450mm晶圓的工具。在各樣的實行例中,可於每一沉積處理後對晶圓進行索引,若蝕刻腔室或站亦為相同工具的一部分可於蝕刻步驟後對晶圓進行索引,或可於對晶圓進行索引前在單一站進行多重沉積及處理。在一些實行例中,可於沉積每一層後對晶圓進行索引,例如在沉積下層之後,或沉積原子級平滑層之後。
在一些實施例中,可提供用以執行本文中描述之技術的設備。合適的設備可包含用以執行各樣處理操作的硬體,還有系統控制器430,該系統控制器具有用以控制根據揭露實施例之處理操作的指令。系統控制器430一般包括一或更多記憶裝置、及一或更多處理器,該處理器通訊上連接至各樣的處理控制配備,例如,閥門、RF產生器、晶圓搬運系統等,且用以執行指令俾使設備執行根據揭露實施例之技術,例如,如圖3的操作中提供的技術。 機器可讀媒體可被連結至系統控制器430,其中機器可讀媒體含有用以控制根據本揭露內容之處理操作的指令。控制器430可通訊上連接至各樣的硬體裝置,例如,質量流量控制器、閥門、RF產生器、真空泵浦等,以促進與本文中所述之沉積操作有關的各樣處理參數之控制。
在一些實施例中,系統控制器430可控制反應器400的所有活動。 系統控制器430可執行系統控制軟體,其中該軟體被儲存於大量儲存裝置中、被載入記憶裝置、然後被在處理器上執行。或者,控制邏輯可被硬碼化在控制器430中。特殊應用積體電路、可程式邏輯裝置(例如,場效可程式閘極陣列,亦即FPGAs)、及類似裝置可用於這些目的。在以下敘述中,無論使用”軟體”或”編碼”,皆可被功能上可比較之硬碼化邏輯取代。系統控制軟體可包括用以控制氣體流動的時間、晶圓移動、RF產生器活動等的指令,還有用以控制氣體的混合、腔室及/或站的壓力、腔室及/或站的溫度、晶圓溫度、目標功率水平、RF功率水平、基板底座、卡盤、及/或承受體位置、及反應器設備400執行的特定處理之其他參數的指令。可以任何合適的方式配置系統控制軟體。例如,可撰寫各樣的處理工具組件子程式或控制物件以控制完成各樣的處理工具處理所必須的處理工具組件之運作。可以任何合適的電腦可讀程式語言將系統控制軟體編碼。
系統控制器430一般可包括用以執行指令的一或更多記憶裝置及一或更多處理器,俾使設備會執行根據本揭露內容的技術。可將機器可讀媒體連結至系統控制器430,其中該媒體含有用以控制根據揭露實施例之處理操作的指令。
可配合如下述的微影圖案化工具或處理,將本文中描述之方法及設備用於半導體元件、顯示器、LEDs、太陽能板、及類似裝置的加工或製造。一般而言,雖然不是必要地,這樣的工具/處理在一般加工設施中會一起被使用或實施。薄膜的微影圖案化一般包括以下部分或全部的步驟,每一步驟係以一些可能的工具加以執行:(1) 使用旋塗法或噴塗工具以施用光阻在工作件上,亦即,在如揭露的實施例中提供的基板或多層堆疊體上;(2)使用加熱板、加熱爐、或UV固化工具將光阻固化;(3)以工具例如晶圓步進機將光阻暴露於可見、UV、或x-ray光;(4)藉由使用工具例如濕檯將光阻顯影以選擇性地移除光阻並藉此將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移進入底下的膜或工作件,例如非晶碳下層;(6)使用工具例如RF或微波電漿光阻剝除器將光阻移除。
多站處理工具可包括一或更多處理站。圖5顯示一多站處理工具500的實施例之示意圖,該多站處理工具具有一入站負載鎖502及一出站負載鎖504,其中任一者或兩者可包括一遠距電漿源。在常壓下,機械臂506將晶圓從透過晶圓盒(pod)508裝載的卡匣中經由氣壓埠510移動至入站附載鎖502中。藉由機械臂506將晶圓放置在入站附載鎖502中的底座512上,關閉氣壓埠,然後將附載鎖抽空。其中入站附載鎖502包括一遠距電漿源,晶圓可在被引入處理腔室514前在附載鎖中被暴露於遠距電漿處理。另外,晶圓亦可在入站附載鎖502中被加熱,例如,以移除濕氣及吸收的氣體。接下來,開啟通往處理腔室514的腔室傳輸埠516,然後另一機械臂(未顯示)將晶圓放置在反應器中的第一站(顯示於反應器中)的底座上以進行處理。雖然描繪的實施例包括附載鎖,但吾人應理解,在一些實施例中, 晶圓可直接進入處理站中。
描繪的處理腔室514包括四處理站,在圖5顯示的實施例中命名為1至4。每一站具有加熱的底座(對站1而言顯示於518)、及氣體線入口。吾人應理解在一些實施例中,每一處理站可具有不同或多重的目的。例如,在一些實施例中,一處理站可在CFD與PECVD處理模式之間切換。此外或或者,在一些實施例中,處理腔室514可包括一或更多配對的CFD及PECVD處理站。雖然描繪的處理腔室514包括四站,但吾人應了解根據本揭露內容之處理腔室可具有任何合適數量之站。例如,在一些實施例中,一處理腔室可具有五或更多的站,然而在其他實施例中,一處理腔室可具有三或更少的站。
圖5亦描繪一晶圓搬運系統590的實施例,其中該晶圓搬運系統用以在處理腔室514內轉移晶圓。在一些實施例中,晶圓搬運系統590可將晶圓在不同處理站之間及/或在處理站與附載鎖之間轉移。吾人應理解,可使用任何合適的晶圓搬運系統。非限制性的範例包括晶圓旋轉料架及晶圓搬運機械臂。圖5亦描繪一系統控制器550的實施例,其中該系統控制器被用以控制處理工具500的處理條件及硬體狀態。系統控制器550可包括一或更多記憶裝置556、一或更多大量儲存裝置554、及一或更多處理器552。處理器552可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制板、等。
在一些實施例中,系統控制器550控制處理工具500的全部活動。系統控制器550執行系統控制軟體558,其中該軟體被儲存於大量儲存裝置554中、被載入記憶裝置556、然後被在處理器552上執行。或者,控制邏輯可被硬碼化在控制器550中。特殊應用積體電路、可程式邏輯裝置(例如,場效可程式閘極陣列,亦即FPGAs)、及類似裝置可被用於這些目的。在以下描述中,無論使用”軟體”或”編碼”,皆可被功能上可比較之硬碼化邏輯取代。系統控制軟體558可包括複數指令,用以控制計時、氣體的混合、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率水平、RF功率水平、RF曝露時間、基板底座、卡盤、及/或承受體位置、及處理工具500執行的特定處理之其他參數。 可以任何合適的方式配置系統控制軟體558。例如,可撰寫各樣的處理工具組件子程式或控制物件以控制用以實行各樣處理工具之處理所必須的處理工具組件之運作。可以任何合適的電腦可讀程式語言將系統控制軟體558編碼。
在一些實施例中,系統控制軟體558可包括輸入/輸出控制(IOC)序列指令,用以控制上述的各樣參數。例如,沉積處理的每一操作可包括一或更多用以被系統控制器550執行的指令。用以為PECVD處理操作設定處理條件的指令可被包括在對應的PECVD配方處理操作中。在一些實施例中,可將PECVD處理操作做序列安排,俾使所有用於PECVD處理的指令被與其處理階段同時執行。
在一些實施例中可使用儲存在與系統控制器550聯結的大量儲存裝置554及/或記憶裝置556上的其他電腦軟體及/或程式。用於此目的之程式或程式的片段之範例包括基板放置程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板放置程式可包括用於處理工具組件上之程式碼,其中該處理工具組件係用以將基板裝載至底座518上並控制基板與處理儀器500的其他零件之間的間隔。
處理氣體控制程式可包括編碼,該編碼用以控制氣體成分、及流量速率,並可選性地用以在多層堆疊體的沉積前將氣體流動進入一或更多處理站以穩定處理站內的壓力。在一些實施例中,控制器550包括用以沉積一或更多下層並隨後沉積一原子級平滑層的指令。
壓力控制程式可包括編碼,該編碼藉由調節例如處理站之排氣系統中的節流閥、進入處理站的氣體流量、等,以控制處理站中的壓力。在一些實施例中,控制器550包括用以沉積一或更多下層及沉積一原子級平滑層的指令。
加熱器控制程式可包括編碼,該編碼用於控制傳輸到用以加熱基板之加熱單元的電流。或者,加熱器控制程式可控制熱傳氣體(例如氦)至基板的輸送。在某些實行例中,控制器550包括用以沉積一或更多下層、及沉積一原子級平滑層的指令。
電漿控制程式可包括編碼,該編碼用以在根據本文中實施例的一或更多處理站中設定RF功率水平及曝露時間。在一些實施例中,控制器550包括用以沉積一或更多下層、及沉積一原子級平滑層的指令。
在一些實施例中,可能具有與系統控制器550聯結的使用者介面。該使用者介面可包括:顯示螢幕;繪圖軟體,用以顯示設備及/或處理條件;及使用者輸入裝置,例如指標裝置、鍵盤、觸控螢幕、麥克風、等。
在一些實施例中,藉由系統控制器550調整的參數可關於處理條件。非限制性範例包括處理氣體成分及流量速率、溫度、壓力、電漿條件(例如RF偏壓功率水平及曝露時間)、等。可將這些參數以配方的形式提供給使用者,而該配方可藉由利用使用者介面而輸入。
可從各樣的處理工具感測器藉由系統控制器550的類比及/或數位輸入連接提供用以監控處理的訊號。可由處理工具500的類比及/或數位輸出連接輸出用以控制處理的訊號。可監控的處理工具感測器之非限制性範例包括質量流量控制器、壓力感測器(例如壓力計)、熱偶、等。可配合來自這些感測器的數據,使用合適地被程式化之反饋及控制演算法以維持處理條件。
系統控制器550可提供用以實行上述沉積處理的程式指令。該程式指令可控制各樣的處理參數,例如DC功率水平、RF偏壓功率水平、壓力、溫度、等。該程式指令可控制參數以操作根據本文中描述的各樣實施例之多層堆疊體的原位沉積。
系統控制器550一般會包括用以執行指令的一或更多記憶裝置及一或更多處理器,俾使設備會執行根據揭露實施例之方法。可將非暫態的機器可讀媒體連結至系統控制器550,其中該媒體含有用以控制根據揭露實施例之處理操作的指令。 實驗
進行實驗以評估在極紫外線(EUV)微影中使用多層堆疊體的效果。使用兩類型的多層堆疊體以將一般性的介層孔圖案化。
在第一實驗中,將1500Å的四乙基矽氧烷(TEOS)層沉積在矽基板上作為目標層。將900Å的非晶碳下層沉積在目標層上,接著是具有低氫含量之200Å的非晶矽層。將60Å的原子級平滑層沉積在非晶矽層上。在原子級平滑層上沉積100Å的下層,接著沉積600Å的標準光阻。使用EUV微影將具有多層堆疊體的晶圓圖案化以將較大的孔圖案化在光阻中。估算在52nm間距中的30nm介層窗在局部關鍵尺寸均勻性(LCDU)上的改善。目標LCDU為2.5nm(3σ)。於EUV微影後光阻的平均關鍵尺寸(CD)為28.9nm且LCDU為4.92nm(3σ)。在圖6A中顯示多層堆疊體上被圖案化的光阻之由上而下的影像。
將後續層加以圖案化並蝕刻,同時將特徵部縮小至目標尺寸。在將光阻前處理且於硬遮罩開口蝕刻(後文中稱為”HMO”)中將圖案轉移至非晶碳之後,平均CD為27.9nm且LCDU為2.56(3σ)。 在圖6B中提供圖案化的基板於HMO後之由上而下的影像。最後,將目標層圖案化,而局部CD平均值為23.1nm,LCDU為2.38(3σ)。圖6C中提供圖案化的目標層之由上而下的影像且圖6D中提供圖案化的目標層之側視輪廓圖。造成的CD縮減使得目標層CD約為光阻中CD尺寸的70%。目標層蝕刻接近2.5的目標LCDU。如圖6C及6D中顯示,圖案化的目標層具有平滑的邊緣及低線邊緣粗糙度。
在第二實驗中, 將1000Å的四乙基矽氧烷(TEOS)層沉積在矽基板上作為目標層。將400Å的非晶碳下層沉積在目標層上,接著是具有低氫含量之100Å的非晶矽層。將30Å的原子級平滑層沉積在非晶矽層上。在原子級平滑層上沉積100Å的下層,接著沉積300Å的標準光阻。使用EUV微影將具有多層堆疊體的晶圓圖案化以將較大的孔圖案化在光阻中。估算在52nm間距中的30nm介層窗在局部關鍵尺寸均勻性(LCDU)上的改善。目標LCDU為2.5nm(3σ)。於EUV微影後光阻的平均CD為28.6nm且LCDU為4.29nm(3σ)。在圖7A中提供圖案化的光阻之由上而下的影像。
將後續層加以圖案化並蝕刻,同時將特徵部縮小至目標尺寸。在HMO之後,平均CD為20.9nm且LCDU為3.39(3σ)。 在圖7B中提供基板於HMO後之由上而下的影像。最後,將目標層圖案化,而局部CD平均值為22.8nm,LCDU為2.77(3σ)。圖7C中提供圖案化的目標層之由上而下的影像,而圖7D中提供圖案化的目標層之側視輪廓圖。造成的CD縮減使得目標層CD約為光阻中CD尺寸的70%。目標層蝕刻接近2.5的目標LCDU。圖7C及7D中圖案化之目標層的品質比得上圖6C及6D中目標層的品質。這代表可在EUV微影中使用更薄的膜,例如更薄的下層或更薄的光阻。藉此可增加半導體處理中晶圓的生產量。 結論
雖然為了清楚理解的目的已詳述上述實施例,顯而易見地,仍可在隨附申請專利範圍之範圍內實施某些改變及修改。吾人應注意,本實施例之製程、系統、及設備具有許多替代的實行方式。因此,本實施例應被認為是舉例性的而非限制性的,且實施例不受限於本文中所述的細節。
100‧‧‧晶圓
110‧‧‧目標層
120‧‧‧多層堆疊體
120a‧‧‧原子級平滑層
120b‧‧‧下層
120c‧‧‧下層
130‧‧‧下層
140‧‧‧光阻
201‧‧‧金屬
203‧‧‧氧化物層
205‧‧‧硬遮罩層
207‧‧‧頂蓋層
209‧‧‧下層
211‧‧‧光阻
213‧‧‧測水平光束放射器
215‧‧‧感測器
300‧‧‧方法
303‧‧‧操作
305‧‧‧操作
307‧‧‧操作
400‧‧‧反應器
402‧‧‧射頻產生器
404‧‧‧射頻產生器
406‧‧‧匹配網路
408‧‧‧歧管
410‧‧‧多重來源氣體線
412‧‧‧入口
414‧‧‧噴淋頭
416‧‧‧基板
418‧‧‧晶圓底座
420‧‧‧加熱器區塊
422‧‧‧出口
424‧‧‧處理腔室
430‧‧‧系統控制器
440‧‧‧泵浦
500‧‧‧多站處理工具
502‧‧‧入站負載鎖
504‧‧‧出站負載鎖
506‧‧‧機械臂
508‧‧‧晶圓盒
510‧‧‧氣壓埠
512‧‧‧底座
514‧‧‧處理腔室
516‧‧‧腔室傳輸埠
518‧‧‧底座
550‧‧‧控制器
552‧‧‧處理器
554‧‧‧大量儲存裝置
556‧‧‧記憶裝置
558‧‧‧系統控制軟體
圖1為根據揭露的實施例之半導體基板上的多層堆疊體之示意圖。
圖2為根據揭露的實施例使用的光學水平感測器之示意圖。
圖3為根據揭露的實施例之方法的處理流程圖。
圖4為根據揭露的實施例使用的沉積腔室之示意圖。
圖5為根據揭露的實施例使用的沉積工具之示意圖。
圖6A-6D及7A-7D為使用揭露實施例的實驗結果中所形成圖案的影像。
300‧‧‧方法
303‧‧‧操作
305‧‧‧操作
307‧‧‧操作

Claims (20)

  1. 一種用於極紫外線微影的多層堆疊體,該多層堆疊體位於半導體基板上,包含: 一原子級平滑層,具有小於一單分子層的一平均局部粗糙度;及 一或更多下層, 其中該一或更多下層對與其等相鄰的層具有高蝕刻反差。
  2. 如申請專利範圍第1項之用於極紫外線微影的多層堆疊體,更包含: 一光阻;及 一目標層, 其中該原子級平滑層及該一或更多下層介於該光阻與該目標層之間,及 其中該原子級平滑層與該光阻相鄰。
  3. 如申請專利範圍第2項之用於極紫外線微影的多層堆疊體,其中該光阻的厚度介於約100Å與600Å之間。
  4. 如申請專利範圍第1項之用於極紫外線微影的多層堆疊體,其中該原子級平滑層的厚度介於約30Å與60Å之間。
  5. 如申請專利範圍第1項之用於極紫外線微影的多層堆疊體,其中該原子級平滑層包含一氧化物。
  6. 如申請專利範圍第1項之用於極紫外線微影的多層堆疊體,其中該原子級平滑層的該平均局部粗糙度小於約2Å。
  7. 如申請專利範圍第1項之用於極紫外線微影的多層堆疊體,其中該一或更多下層其中一者係與目標層相鄰的一硬遮罩。
  8. 如申請專利範圍第7項之用於極紫外線微影的多層堆疊體,其中該硬遮罩包含具有小於約20%的氫含量之非晶碳。
  9. 如申請專利範圍第8項之用於極紫外線微影的多層堆疊體,其中該非晶碳硬遮罩介於約400Å與約900Å之間。
  10. 如申請專利範圍第8項之用於極紫外線微影的多層堆疊體,其中該非晶碳硬遮罩具有約1:1的一模數應力比。
  11. 如申請專利範圍第1項之用於極紫外線微影的多層堆疊體,其中該一或更多下層其中一者包含具有小於約5%的氫含量之非晶矽。
  12. 如申請專利範圍第1項之用於極紫外線微影的多層堆疊體,其中該一或更多下層其中至少一者吸收打在基板上用以測量晶圓水平的一測水平光束。
  13. 一種用於極紫外線微影之處理半導體基板的方法,該方法包含: 在一目標層上沉積一或更多下層; 沉積具有小於一單分子層的一粗糙度的一原子級平滑層;及 在該原子級平滑層之頂部上沉積一光阻層。
  14. 如申請專利範圍第13項之用於極紫外線微影之處理半導體基板的方法,其中藉由電漿增強化學氣相沉積法沉積每一層。
  15. 如申請專利範圍第13項之用於極紫外線微影之處理半導體基板的方法,其中沉積該一或更多下層更包含藉由將該基板暴露於一烴前驅物而在該目標層上沉積一非晶碳層。
  16. 如申請專利範圍第13項之用於極紫外線微影之處理半導體基板的方法,其中將該原子級平滑層沉積至介於約30Å與約60Å之間的一厚度。
  17. 如申請專利範圍第13項之用於極紫外線微影之處理半導體基板的方法,更包含使用極紫外線微影將該光阻層圖案化。
  18. 一種處理半導體基板的設備,該設備包含: 一或更多處理腔室; 一或更多氣體入口,該一或更多氣體入口進入該一或更多處理腔室且與流量控制硬體聯結; 一低頻射頻(LFRF)產生器; 一高頻射頻(HFRF)產生器;及 一控制器,具有至少一處理器及一記憶體,其中 該至少一處理器及該記憶體彼此通訊上連接, 該至少一處理器至少操作上連接至該流量控制硬體、該LFRF產生器、及該HFRF產生器,及 該記憶體儲存電腦可執行的指令,用以: 在一基板上沉積一或更多下層; 沉積具有小於一單分子層的一粗糙度的一原子級平滑層;及 在該原子級平滑層之頂部上沉積一光阻層。
  19. 如申請專利範圍第18項之處理半導體基板的設備,其中將該原子級平滑下層沉積至介於約30Å與約60Å之間的一厚度。
  20. 如申請專利範圍第18項之處理半導體基板的設備,其中沉積在該基板上的該一或更多下層其中至少一者包含非晶碳。
TW103106336A 2013-02-25 2014-02-25 用於euv微影之pecvd膜 TWI595538B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361769126P 2013-02-25 2013-02-25
US14/185,757 US9304396B2 (en) 2013-02-25 2014-02-20 PECVD films for EUV lithography

Publications (2)

Publication Number Publication Date
TW201501179A true TW201501179A (zh) 2015-01-01
TWI595538B TWI595538B (zh) 2017-08-11

Family

ID=51387302

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103106336A TWI595538B (zh) 2013-02-25 2014-02-25 用於euv微影之pecvd膜

Country Status (3)

Country Link
US (2) US9304396B2 (zh)
KR (4) KR102247539B1 (zh)
TW (1) TWI595538B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI695901B (zh) * 2016-06-28 2020-06-11 美商應用材料股份有限公司 以電子束電漿製程形成的類鑽石碳層

Families Citing this family (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013102081A (ja) 2011-11-09 2013-05-23 Tamura Seisakusho Co Ltd ショットキーバリアダイオード
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US9583358B2 (en) 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
KR102287343B1 (ko) 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN105719981B (zh) * 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3258317B1 (en) * 2016-06-16 2022-01-19 IMEC vzw Method for performing extreme ultra violet (euv) lithography
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
TWI601617B (zh) * 2016-06-30 2017-10-11 C T M Co Ltd With the clamping device straight up and down opening and closing cylinder automatic rubber hydraulic press
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10784100B2 (en) * 2016-07-21 2020-09-22 Tokyo Electron Limited Back-side friction reduction of a substrate
KR102667884B1 (ko) 2016-07-27 2024-05-23 삼성전자주식회사 반도체 소자의 제조 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10082736B2 (en) * 2017-01-13 2018-09-25 International Business Machines Corporation Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102604084B1 (ko) 2017-06-08 2023-11-17 어플라이드 머티어리얼스, 인코포레이티드 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11034847B2 (en) 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102433666B1 (ko) 2017-07-27 2022-08-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
KR102486388B1 (ko) 2017-07-28 2023-01-09 삼성전자주식회사 그래핀 양자점의 제조방법, 상기 제조방법에 따라 얻어진 그래핀 양자점을 포함한 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR20190061872A (ko) * 2017-11-28 2019-06-05 주식회사 원익아이피에스 비정질 실리콘막의 형성 방법
KR102540963B1 (ko) 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
SG11202009406RA (en) 2018-04-09 2020-10-29 Applied Materials Inc Carbon hard masks for patterning applications and methods related thereto
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11187983B2 (en) 2018-06-26 2021-11-30 International Business Machines Corporation EUV patterning of monolayers for selective atomic layer deposition
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
WO2020190941A1 (en) * 2019-03-18 2020-09-24 Lam Research Corporation Reducing roughness of extreme ultraviolet lithography resists
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
EP3723112B1 (en) * 2019-04-09 2023-12-20 Imec Vzw Method for forming a gate mask layer
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN113785381A (zh) 2019-04-30 2021-12-10 朗姆研究公司 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JP2022538455A (ja) 2019-07-01 2022-09-02 アプライド マテリアルズ インコーポレイテッド プラズマカップリング材料の最適化による膜特性の変調
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
TW202104645A (zh) * 2019-07-09 2021-02-01 荷蘭商Asm Ip私人控股有限公司 包括光阻底層之結構及其形成方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210039194A (ko) * 2019-10-01 2021-04-09 삼성전자주식회사 집적회로 소자의 제조 방법
KR20220076488A (ko) * 2019-10-02 2022-06-08 램 리써치 코포레이션 고성능 euv 포토레지스트들을 위한 고 euv 흡수제들을 사용한 기판 표면 개질
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021099411A (ja) * 2019-12-20 2021-07-01 ギガフォトン株式会社 極端紫外光集光ミラー、極端紫外光集光ミラーの製造方法、及び電子デバイスの製造方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210135004A (ko) 2020-01-15 2021-11-11 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US12022647B2 (en) 2021-05-18 2024-06-25 Micron Technology, Inc. Microelectronic devices including memory cell structures, and related methods and electronic systems
US11776810B2 (en) 2021-08-31 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN118541782A (zh) * 2022-01-13 2024-08-23 朗姆研究公司 高选择比和均匀介电蚀刻
WO2023147212A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Enhanced euv underlayer effect with diffusion barrier layer

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
JPH062682B2 (ja) 1985-07-18 1994-01-12 日合アセチレン株式会社 アセチレンの精製法およびそれに用いる装置
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
EP0386248B1 (en) 1988-07-04 1992-12-30 Japan Oxygen Co. Ltd. Condenser/evaporator
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
WO1992017900A1 (en) 1991-04-03 1992-10-15 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
GB2275364B (en) 1993-02-18 1996-10-16 Northern Telecom Ltd Semiconductor etching process
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
EP0653501B1 (en) 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
ES2256948T3 (es) 1997-06-16 2006-07-16 Robert Bosch Gmbh Procedimiento y dispositivo para el recubrimiento en fase de vacio de un sustrato.
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) * 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
AU2003231423A1 (en) 2002-05-09 2003-11-11 Toyoki Kunitake Thin film material and method for preparation thereof
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
WO2005048367A1 (en) 2003-11-13 2005-05-26 Philips Intellectual Property & Standards Gmbh Electronic device comprising a protective barrier layer stack
KR100743745B1 (ko) 2004-01-13 2007-07-27 동경 엘렉트론 주식회사 반도체장치의 제조방법 및 성막시스템
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) * 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
EP1720072B1 (en) * 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) * 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
JP5319868B2 (ja) 2005-10-17 2013-10-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US20070134917A1 (en) 2005-12-13 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Partial-via-first dual-damascene process with tri-layer resist approach
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7790047B2 (en) 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8236476B2 (en) * 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
JP5460230B2 (ja) * 2008-10-31 2014-04-02 富士フイルム株式会社 ポジ型感光性組成物
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
US7637269B1 (en) 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
CN102001616A (zh) 2009-08-31 2011-04-06 上海丽恒光微电子科技有限公司 装配和封装微型机电系统装置的方法
JP5675078B2 (ja) 2009-10-13 2015-02-25 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
KR20130115085A (ko) 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
JP5622448B2 (ja) * 2010-06-15 2014-11-12 東京応化工業株式会社 レジスト組成物、レジストパターン形成方法、高分子化合物、化合物
US9023583B2 (en) * 2010-10-14 2015-05-05 Nissan Chemical Industries, Ltd. Monolayer or multilayer forming composition
EP2525416A2 (en) 2011-05-17 2012-11-21 Intevac, Inc. Method for rear point contact fabrication for solar cells
US8778207B2 (en) 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
US8986921B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) * 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) * 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI695901B (zh) * 2016-06-28 2020-06-11 美商應用材料股份有限公司 以電子束電漿製程形成的類鑽石碳層

Also Published As

Publication number Publication date
KR102390822B1 (ko) 2022-04-25
KR20140106442A (ko) 2014-09-03
US20140239462A1 (en) 2014-08-28
KR102592305B1 (ko) 2023-10-19
KR102247539B1 (ko) 2021-05-03
US20160179005A1 (en) 2016-06-23
KR20230148803A (ko) 2023-10-25
TWI595538B (zh) 2017-08-11
US9304396B2 (en) 2016-04-05
US9618846B2 (en) 2017-04-11
KR20220054276A (ko) 2022-05-02
KR20210050504A (ko) 2021-05-07

Similar Documents

Publication Publication Date Title
KR102592305B1 (ko) Euv 리소그래피용 pecvd 막
TWI711887B (zh) 低粗糙度之極紫外光微影技術
CN106154767B (zh) 使用收缩和生长来减小极紫外敏感度的方法
CN105190840B (zh) 用于多图案化应用的光调谐硬掩模
KR101633937B1 (ko) Dc 및 rf 하이브리드 처리 시스템
KR100828781B1 (ko) 포토마스크 제조용으로 적합한 카본 하드마스크를 통한크롬 층의 플라즈마 에칭 방법
JP5968225B2 (ja) 切り換え可能な中性ビーム源
JP2023134804A (ja) プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
US8741396B2 (en) Method for forming amorphous carbon nitride film, amorphous carbon nitride film, multilayer resist film, method for manufacturing semiconductor device, and storage medium in which control program is stored
JP2005012218A (ja) エッチング処理をモニタリングする方法およびシステム
KR19990023841A (ko) 반사방지 코팅층 박막 및 그 제조 공정
TWI490912B (zh) Pattern forming method and manufacturing method of semiconductor device
JP2023507677A (ja) 照射フォトレジストパターニングのための統合乾式プロセス
US20190393105A1 (en) Protective coating on photoresist for photoresist metrology
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
TW202417812A (zh) 最佳化光阻性材料的沉積後烘烤狀態的方法
WO2024123632A2 (en) Endpoint detection and tracking of photoresist processes
KR20070088859A (ko) 반도체 디바이스 제조를 위한 사진식각 방법