KR20230148803A - Euv 리소그래피용 pecvd 막 - Google Patents

Euv 리소그래피용 pecvd 막 Download PDF

Info

Publication number
KR20230148803A
KR20230148803A KR1020230138917A KR20230138917A KR20230148803A KR 20230148803 A KR20230148803 A KR 20230148803A KR 1020230138917 A KR1020230138917 A KR 1020230138917A KR 20230138917 A KR20230138917 A KR 20230138917A KR 20230148803 A KR20230148803 A KR 20230148803A
Authority
KR
South Korea
Prior art keywords
layer
photoresist
substrate
deposited
processing
Prior art date
Application number
KR1020230138917A
Other languages
English (en)
Inventor
네이더 샴마
토마스 마운트시어
도날드 슐로서
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230148803A publication Critical patent/KR20230148803A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/092Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

매우 작은 또는 저감된 오차를 갖는 광학적 수평성 검사 (leveling) 센서의 사용을 가능하게 하면서 피처의 크기를 점점 줄이고 피처의 에지를 평탄화하는 최적의 에칭 콘트라스트 (contrast) 를 달성하도록 제작된 극자외선 (EUV) 리소그래피용 다중층 스택이 제공된다. 이 다중층 스택은 모노레이어의 두께 (monolayer) 보다 작은 평균 국부적 조도 (roughness) 를 갖는 원자적으로 평탄한 (atomically smooth) 층 및 하나 이상의 하층을 포함하며, 이 원자적으로 평탄한 층과 하나 이상의 하층은 패터닝될 타겟 층과 포토레지스트 간에 개재될 수 있다. 또한, 극자외선 리소그래피용 다중층 스택을 증착하는 방법이 제공된다.

Description

EUV 리소그래피용 PECVD 막{PECVD FILMS FOR EUV LITHOGRAPHY}
패터닝 방법은 반도체 프로세싱에서 중요하다. 특히, 극자외선 (EUV) 리소그래피가 개발되어서 리소그래피 기술이 자신의 광학적 한계점을 넘어서까지 확장되고 있으며 작은 임계 치수 (critical dimension) 의 피처를 패터닝하는 현재의 포토리소그래피 방법을 대체하고 있다. 현재의 EUV 리소그래피 방법으로는 에지 조도 (roughness) 가 불량하며 약한 패턴이 발생하게 되며 이로써 궁극적으로는 기판을 쓸 수 없게 만들어 버린다.
본 명세서에서는 반도체 프로세싱에서 다중층 스택을 증착하기 위한 극자외선 리소그래피 및 방법에 적합한 다중층 스택이 제공된다. 일 측면은 극자외선 리소그래피을 위한 반도체 기판 상의 다중층 스택 (stack) 에 관한 것이며, 이 다중층 스택은 모노레이어의 두께 (monolayer) 보다 작은 평균 국부적 조도 (roughness) 를 갖는 원자적으로 평탄한 (atomically smooth) 층; 및 하나 이상의 하층이 자신에 인접하는 층들에 대해서 높은 에칭 콘트라스트 (high etch contrast) 를 갖도록상기 하나 이상의 하층 (underlayer) 을 포함한다.
다양한 실시예들에서, 상기 다중층 스택은 포토레지스트; 및 타겟 층을 더 포함하며, 상기 원자적으로 평탄한 층 및 상기 하나 이상의 하층들은 상기 원자적으로 평탄한 층이 상기 포토레지스트에 인접하도록 상기 포토레지스트와 상기 타겟 층 간에 존재한다. 몇몇 실시예들에서, 상기 포토레지스트는 약 100 Å 내지 약 600 Å 의 두께를 갖는다. 몇몇 실시예들에서, 상기 원자적으로 평탄한 층은 약 30 Å 내지 약 60 Å 의 두께를 갖는다.
다수의 실시예들에서, 상기 하나 이상의 하층 중 하나는 타겟 층에 인접한 하드마스크이다. 몇몇 실시예들에서, 상기 하드마스크는 약 20 % 보다 작은 수소 함유량을 갖는 비정질 탄소를 포함한다. 몇몇 실시예들에서, 상기 비정질 탄소 하층은 약 400 Å 내지 약 900 Å 이다. 몇몇 실시예들에서, 상기 비정질 탄소 하층은 약 1:1 의 모듈러스 (modulus) 대 응력 비를 갖는다. 다양한 실시예들에서, 상기 하나 이상의 하층 중 하나는 약 5 % 보다 작은 수소 함유량을 갖는 비정질 실리콘을 포함한다.
다양한 실시예들에서, 원자적으로 평탄한 층은 산화물을 포함한다. 몇몇 실시예들에서, 상기 하나 이상의 하층 중 적어도 하나는 웨이퍼 수평성 (leveling) 을 측정하기 위해서 상기 기판과 충돌한 수평성 검사 빔 (leveling beam) 을 반사 또는 흡수한다. 몇몇 실시예들에서, 상기 원자적으로 평탄한 층의 평균 국부적 조도는 약 2 Å 보다 작다.
본 발명의 다른 측면은 극자외선 리소그래피용 반도체 기판을 프로세싱하는 방법에 관한 것이며, 이 방법은 타겟 층 상에 하나 이상의 하층들을 증착하는 단계, 모노레이어의 두께 (monolayer) 보다 작은 조도를 갖는 원자적으로 평탄한 (atomically smooth) 층을 증착하는 단계, 및 상기 원자적으로 평탄한 층 상면에 포토레지스트 층을 증착하는 단계를 포함한다.
다양한 실시예들에서, 각 층은 플라즈마 강화 화학 기상 증착 (PECVD) 에 의해서 증착된다. 다수의 실시예들에서, 상기 하나 이상의 하층들을 증착하는 단계는 상기 기판을 하이드로카본 프리커서 (hydrocarbon precursor) 에 노출시킴으로써 상기 타겟 층 상에 비정질 탄소 층을 증착하는 단계를 더 포함한다.
몇몇 실시예들에서, 상기 원자적으로 평탄한 층은 약 30 Å 내지 약 60 Å 의 두께로 증착된다. 다양한 실시예들에서, 이 방법은 극자외선 포토리소그래피를 사용하여 상기 포토레지스트 층을 패터닝하는 단계를 더 포함한다.
다른 측면은 반도체 기판을 프로세싱하는 장치에 관한 것으로서, 이 장치는 하나 이상의 프로세스 챔버; 상기 하나 이상의 프로세스 챔버 및 이와 연관된 플로우 제어 하드웨어 내로의 하나 이상의 가스 유입구들; 저 주파수 무선 주파수 (LFRF) 생성기; 고 주파수 무선 주파수 (HFRF) 생성기; 및 하나 이상의 프로세서 및 메모리를 갖는 제어기를 포함한다. 상기 하나 이상의 프로세서 및 메모리는 서로 통신 가능하게 연결되며, 상기 하나 이상의 프로세서는 상기 플로우 제어 하드웨어, 상기 LFRF 생성기 및 상기 HFRF 생성기와 적어도 동작 가능하게 연결되며, 상기 메모리는 기판 상에 하나 이상의 하층들을 증착하기 위한 컴퓨터 실행 가능한 인스트럭션과, 모노레이어의 두께 (monolayer) 보다 작은 조도를 갖는 원자적으로 평탄한 (atomically smooth) 층을 증착하기 위한 컴퓨터 실행 가능한 인스트럭션과, 상기 원자적으로 평탄한 층 상면에 포토레지스트 층을 증착하기 위한 컴퓨터 실행 가능한 인스트럭션을 저장한다.
다양한 실시예들에서, 상기 원자적으로 평탄한 층은 약 30 Å 내지 약 60 Å 의 두께로 증착된다. 몇몇 실시예들에서, 상기 기판 상에 증착된 하나 이상의 하층 중 적어도 하나는 비정질 탄소를 포함한다.
이러한 측면 및 다른 측면들은 첨부 도면을 참조하여서 이하에서 상세하게 기술된다.
도 1은 개시된 실시예들에 따른 반도체 기판 상의 다중층 스택의 개략도이다.
도 2는 개시된 실시예들에 따른 사용 시의 광학적 수평성 센서의 개략도이다.
도 3은 개시된 실시예들에 따른 방법의 프로세스 흐름도이다.
도 4는 개시된 실시예들에 따른 사용 시의 증착 챔버의 개략도이다.
도 5는 개시된 실시예들에 따른 사용 시의 증착 툴의 개략도이다.
도 6a 내지 도 6d 및 도 7a 내지 도 7d는 개시된 실시예들을 사용한 실험 결과로서 형성된 패턴 이미지들을 나타내고 있다.
다음의 설명 부분에서, 다수의 특정 세부 사항들이 제공된 실시예들의 철저한 이해를 제공하기 위해서 제시된다. 개시된 실시예들은 이러한 특정 세부 사항이 전혀 없거나 그 일부가 없어도 실시될 수 있다. 다른 경우로서, 잘 알려진 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로 기술되지는 않는다. 개시된 실시예들은 특정 실시예들과 함께 기술될 것이지만, 이러한 특정 실시예들은 개시된 실시예들을 한정하기 위한 것은 아니다.
반도체 프로세싱 중 박막 패터닝은 반도체를 제조 및 가공하는데 있어서 때로 중요한 공정이다. 리소그래피용 스택은 하층 (underlayer) 위에 있는 포토레지스트 층을 포함하며, 포토레지스트 층은 통상 스핀 온 방법에 의해서 하드마스크 상에 증착된다. 하드마스크는 때로 단일 조성으로 구성되며 하드마스크 자체는 타겟 층 (target layer) 상에 증착된다. 통상적인 패터닝은 193 nm 리소그래피와 같은 포토리소그래피와 관련된다. 포토리소그래피에서, 광자 소스로부터 광자를 마스크 상에 방사하고 패턴을 감광성 포토레지스트 상에 인쇄함에 의해, 포토레지스트에서 화학적 반응이 발생하여서 패턴이 형성되도록 포토레지스트의 소정의 부분들이 제거되며, 이로써 패턴이 인쇄되게 된다. 통상적인 리소그래피에서, 포토레지스트와 충돌할 수 있는 광자의 수는 변할 수 있다. 다수의 실시들에서, 각 광자가 작은 에너지를 가지면서 많은 개수의 광자들이 포토레지스트와 충돌할 수 있다. 많은 개수의 광자들이 사용될 수 있기 때문에, 몇몇 표유 (stray) 광자들로 인한 에러 (error) 는 결과적으로 규정된 패턴에 실질적인 영향을 주지 않는다.
디바이스들의 크기가 줄어듬에 따라서, 보다 작은 피처들을 인쇄할 필요성은 증가하고 있다. 다수의 패터닝 기술들이 통상적인 포토리소그래피와 함께 사용되기 위해서 개발되었지만, 다수의 패터닝 기술들이 증착 프로세스 및 에칭 프로세스에서 다수의 층들을 사용한다. 진보된 반도체 집적 회로 (IC) 및 다른 디바이스들에서 피처들이 스케일링됨에 따라서 리소그래피의 분해능도 높아져서 보다 매우 작은 이미징 (imaging) 소스 파장으로 이동하고 있다.
극자외선 (EUV) 리소그래피가 개발되어서 스캐너라고 또한 지칭되는 최첨단 리소그래피 툴에서 대략 13.5 nm 파장의 EUV 광 소스를 사용하여서 포토레지스트 상에 보다 작은 패턴들을 인쇄하여 왔다. 이러한 EUV 방사선은 석영 및 물을 포함하여서 광범위한 재료에서 흡수되며 따라서 진공에서도 동작한다.
EUV 리소그래피에서, 소수의 광자들이 소스로부터 방사되어 포토레지스트와 충돌하여서 패턴을 형성한다. 이러한 리소그래피에서 사용되는 각 광자는 통상적인 리소그래피에서 사용되는 광자보다 높은 에너지를 가지고 있다. 또한, EUV 리소그래피에서는, 소수의 고 에너지 광자가 포토레지스트와 충돌하기 때문에 작은 수의 표유 광자가 포토레지스트 자리를 벗어나기만 해도 결과적으로 규정된 패턴에서는 큰 오차가 발생하게 된다. 많은 개수의 저 에너지 광자들이 사용되므로 소수의 표유 광자들은 최종 패턴에는 실질적으로 영향을 주지 않는 통상적인 리소그래피와 비교하여서, EUV 리소그래피에서는 이러한 광자 및 감광제 쇼트 노이즈 (shot noise) 로 인한 확률적 (stochastic) 영향이 특별한 관건이다.
본 명세서에서는 매우 작은 또는 저감된 오차를 갖는 광학적 수평성 검사 (leveling) 센서의 사용을 가능하게 하면서 피처의 크기를 줄이고 피처의 에지를 평탄화하는 최적의 에칭 콘트라스트 (contrast) 를 달성하도록 제작된 다중층 스택이 제공된다. 이 다중 층 스택이 사용되어서 집적 회로 내에 라인 또는 공간 또는 컨택트 및 구멍들을 형성할 수 있으며 ITRS (International Technology Roadmap for Semiconductors) 에 의해서 규정된 바와 같은 진보된 기술적 노드 (technology node) 를 위한 피처들을 형성할 수 있다. 이러한 진보된 기술적 노드의 실례는 22 nm 노드, 16 nm 노드 및 그보다 작은 크기의 노드를 포함한다. 16 nm 노드의 경우에, 다마신 (Damascene) 구조물의 통상적인 비아 또는 라인의 폭은 약 30 nm 보다 크지 않는다.
도 1은 반도체 기판 상의 다중 층 스택의 예시의 개략도이다. 웨이퍼 (100) 는 타겟 층 (110), 다중층 스택 (120), 선택사양적 하층 (130) 및 포토레지스트 층 (140) 을 포함한다. 타겟 층 (110) 은 금속, 산화물, 유전체 재료 또는 초저 k (ULK) 기판과 같은 임의의 타겟 층 또는 기판일 수 있다. 다수의 실시예들에서, 타겟 층 (110) 은 실리콘 기판이다.
다중층 스택 (220) 은 원자적으로 평탄한 (atomically smooth) 층 (120a) 및 하층들 (120b,120c) 을 포함한다. 다수의 실시예들에서, 다중층 스택 (120) 은 (다중층 스택 (120) 에서 도시된 바와 같이) 2 개의 하층을 포함하거나, 2 개 보다 많거나, 3 개보다 많거나, 4 개보다 많거나, 5 개보다 많은 하층을 포함한다. 몇몇 실시예들에서, 하층 (130) 이 다중층 스택 (120) 의 일부로서 포함된다. 선택 사양적인 층 (130) 은 스핀 온 층이거나 PECVD 에 의해서 증착된 층일 수 있다. 예시적으로, 도 1은 2 개의 하층 (120b, 120c) 및 원자적으로 평탄한 층 (120a) 을 나타낸다.
다양한 실시예들에서, 타겟 층 (110) 에 인접한 하층은 강성의 (robust) 하드마스크 층이며 그 강성은 모듈러스 (modulus) 및 응력 (stress) 에 의해서 측정될 수 있다. 예를 들어서, 강성의 하드마스크 층은 최소 100 MPa의 모듈러스 및 약 500 MPa 보다 작은 응력을 가질 수 있다. 다수의 실시예들에서, 하층 (120c) 또는 타겟 층 (110) 에 인접한 하층은 비정질 탄소 층이다. 몇몇 실시예들에서, 비정질 탄소로 된 하층 (120c) 의 모듈러스 대 응력 비는 최소 약 1:1이다. 하층 (110) 을 위해서 사용되는 강성의 하드마스크 층의 실례는 또한 다이아몬드성 탄소 (DLC), 도핑된 비정질 탄소 및 스핀-온 탄소 (SoC) 를 포함할 수 있다.
다양한 실시예들에서, 하층들 (120b 및 120c) 은 패턴을 후속 층으로 전사한 후에 제거될 수 있다. 예를 들어서, 하층 (120b) 은 패턴이 하층 (120c) 으로 전사된 후에 제거될 수 있다. 몇몇 실시예들에서, 웨이퍼 수평성 (levelness) 이 측정되도록 하층들 (120b 및 120c) 은 기판 상으로 방사된 수평성 검사 빔을 반사, 굴절 또는 흡수할 수 있다.
하층들 (120b 및 120c) 의 조성은 산화물, 금속 (예를 들어서, 하프늄, 코발트, 텅스텐, 티타늄), 도전성 막 (예를 들어서, 티타늄 질화물, 티타늄 규화물 (silicide), 코발트 규화물), 유전체 재료 (예를 들어서, 실리콘 산화물, 실리콘 질화물), 하드마스크 재료 (예를 들어서, 비정질 탄소, 비정질 실리콘) 또는 실리콘 옥시질화물 (SiON), 무질소 반사 방지 층 (NFARL) 또는 실리콘 반사 방지 코팅 (SiARC) 와 같은 다른 재료와 같은 다수의 종류의 조성들 중 하나로부터 선택될 수 있다. 일 실례에서, 하층 (120b) 은 비정질 실리콘 층이며 하층 (120c) 은 비정질 탄소 층이다. 대부분의 실시예들에서, 하층 (120b) 및 하층 (120c) 은 각각 약 100 Å 내지 약 900 Å 의 두께를 가질 수 있다.
하층들 (120b 및 120c) 은 인접하는 층들에 대한 자신의 에칭 콘트라스트에 기초하여서 선택된다. 다수의 실시예들에서, 하층 (120b) 은 하층 (120c) 에 대해서 높은 에칭 콘트라스트를 가지며 하층 (120a) 에 대해서도 역시 높은 에칭 콘트라스트를 가질 수 있다. 다양한 실시예들에서, 하층 (120c) 은 하층 (120b) 및 타겟 층 (110) 모두에 대해서 높은 에칭 콘트라스트를 갖는다. 예를 들어서, 하층 (120c) 이 비정질 탄소 층이면, 하층 (120b) 은 원자적으로 평탄한 (atomically smooth) 층 (120a) 및 비정질 탄소 층 (120c) 모두에 대해서 높은 에칭 콘트라스트를 가질 수 있다.
하층들 (120b 및 120c) 은 또한 물리적 특성, 화학적 특성 및 광학적 특성과 같은 다른 최적화 가능한 특성에 기초하여서 선택될 수 있다. 이러한 특성의 실례는 표면 에너지, 본딩 구조, 소수성, 굴절율 및 소광 계수 (extinction coefficient) 를 포함할 수 있다.
원자적으로 평탄한 층 (120a) 은 포토레지스트 층 (140) 아래의 제 1 층 또는 제 2 층과 같은, 다중층 스택의 상면 근처의 층 내에 통상적으로 증착된 얇은 층이다. 이 원자적으로 평탄한 층 (120a) 은 조도가 매우 낮다는 것이 특징이다. "원자적으로 평탄한 (atomically smooth)" 은 평균 선 (mean line) 으로부터의 편차 (deviation) 가 한 개의 모노레이어 (monolayer) 두께 또는 한 개의 모노레이어 두께의 약 1/2보다 작은 조도를 갖는 것을 의미한다. 또한, "국부적" 조도란 웨이퍼의 1 ㎛2의 표면적에서의 조도로서 규정된다. 층의 조도는 관측 및 AFM (atomic force microscopy) 에 의해서 평가될 수 있다. 조도는 평균 선으로부터의 요철 프로파일의 수직 편차의 평균을 구함으로써 측정될 수 있다. 일 실례에서, "원자적으로 평탄한" 층 (120a) 은 약 2 Å 보다 작은 평균 조도를 가질 수 있다. 또한, 조도는 평균 선으로부터의 요철 프로파일의 수직 편차의 RMS (root mean square) 을 구함으로써 측정될 수 있다.
다수의 실시예들에서, 원자적으로 평탄한 층 (120a) 은 얇은 층이다. 원자적으로 평탄한 층 (120a) 의 두께는 약 30 Å 내지 약 60 Å 일 수 있다. 원자적으로 평탄한 층 (120a) 은 인접하는 층들에 대해서 높은 에칭 콘트라스트를 가지며 또한 패턴이 후속 층으로 전사된 후에 제거될 수 있다. 다양한 실시예들에서, 원자적으로 평탄한 층 (120a) 은 산화물 층이다. 몇몇 실례들에서, 원자적으로 평탄한 층 (120a) 은 실리콘 산화물 얇은 층이다.
다중층 스택은 크기 작아짐 효과 (shrinking effect) 를 사용함으로써 EUV 리소그래피에서 사용될 수 있다. 보다 큰 피처가 EUV 리소그래피를 사용하여서 포토레지스트에 인쇄될 수 있으며 다중 측 스택 내의 각 층이 아래 방향으로 에칭됨에 따라서 피처의 크기가 작아지고 상술한 바와 같은 광자 및 감광제 쇼트 노이즈에 의해서 생성되는 조도를 감소시킬 수 있다. 다수의 실시예에서, 타겟 층 내의 패터닝된 피처들이 포토레지스트 내의 패턴의 임계 치수의 크기 또는 폭의 약 70 % 와 같거나 이보다 작은 임계 치수를 갖도록 상기 포토레지스트에 인쇄된 피처의 크기는 점점 작아진다. 이러한 크기 작아짐 정도의 퍼센트는 다양한 에칭 프로세스들에 의해서 최적화될 수 있다. 이러한 크기 작아짐 정도의 퍼센트는 또한 피치 (pitch) 에 의해서 그 한계치가 정해질 수 있다.
EUV 리소그래피에서 다중측 스택을 사용함으로써 몇몇 최적화된 효과가 달성될 수 있다. 예를 들어서, 다중 층 스택을 사용하면 최적화된 낮은 라인 에지 조도 (line edge roughness:LER) 가 획득될 수 있다. 라인 에지 조도는 (위에서 아래로 보았을 때에) 이상적인 평탄한 형상으로부터의 피처 에지의 편차로서 규정될 수 있는데, 즉 피처를 인쇄하는데 사용된 이미징 툴의 분해능 한계치보다 작은 수치적 스케일 (dimensional scale) 로 해서 발생할 수 있는 피처의 에지 편차를 말한다. LER의 크기는 노광 방사선의 광자 쇼트 노이즈 (short noise), 현상제 내에서 포토레지스트의 용해의 확률적 성질 (stochastic nature), SEM (scanning electron microscope) 에 의해 초래되는 계측 노이즈 (metrology noise) 및 포토레지스트와 그 아래의 막 간의 화학적 상호 작용과 같은 복수의 요인들에 의해 영향을 받을 수 있다. 패터닝된 포토레지스트 상의 측벽 요철 (roughness) 은 높은 이방성을 가지며 이 요철은 포토레지스트와 기판 간의 계면으로부터 포토레지스트 패턴 측벽 위로 전파될 수 있다. 두께가 약 100 nm보다 작을 수 있는 극히 얇은 포토레지스트 막에서는, 요철은 포토레지스트와 기판 간의 계면에서 포토레지스트와 공기 간의 계면까지 다수의 상이한 포토레지스트 재료 플랫폼들에 걸쳐서 서로 밀접하게 관련될 수 있다. EUV 리소그래피에서, 특히 높은 체적물을 제조할 시에는, 포토레지스트 LER은 약 1 nm (3α) 보다 작은 값으로 되는 것이 요망된다. 다중층 스택 내의 각 층이 아래로 에칭됨에 따라서, 피처의 측벽은 평탄화되고 LER은 1 nm 보다 작은 값에 보다 가깝게 되도록 최적화된다.
다중측 스택을 사용하는 것의 최적화된 다른 효과는 개선된 처리량이다. 다중층 스택을 사용할 경우에, EUV 리소그래피를 사용함으로써 고 체적물 제조가 가능하게 되거나 실질적으로 가능하게 된다. 보다 얇은 포토레지스트 막들이 사용될 수 있어서 포토레지스트를 패터닝하는데 사용되는 노광량을 감소시킬 수 있다. 예를 들어서, 포토레지스트 두께를 약 1000 Å에서 약 300 Å으로 줄이면 포토레지스트를 노광시키는데 사용되는 노광량이 크게 감소하며 이로써 스캐너 처리량을 증가시킬 수 있다. 또한, 포토레지스트는 그 아래의 막들에 대해 반응성 이온 에칭 (RIE) 을 수행하여서 마스크 패턴을 타겟 층으로 전사하는 동안에 마스크 역할을 한다. 포토레지스트가 이러한 목적을 위해서 마스크 역할을 할 경우에, 포토레지스트 두께 하한치는 포토레지스트가 마스크로서 사용될 수 있으면 되는 최소 두께로 결정될 수 있다.
다중층 스택을 사용하는 바의 다른 최적화된 효과는 LCDU (local critical dimension uniformity) 이 낮아진다는 것이다. 다양한 실시예들에서, 이러한 낮은 LCDU은 보다 큰 피처를 규정하도록 EUV 리소그래피를 사용하고 패턴이 아래로 각 층으로, 예를 들어서 원자적으로 평탄한 층, 이어서 하나 이상의 하층, 그리고 마지막으로 타겟 층으로 전사될 때마다 피처의 폭을 좁게 하거나 크기를 작게 함으로써 획득될 수 있다.
다중층 스택을 사용하여서 얻게 되는 다른 최적화된 효과는 광학적 수평성 검사 (levelling) 센서를 효과적으로 사용하여서 웨이퍼 수평성을 양호하게 판정할 수 있다는 것이다. 통상적인 리소그래피에서 패턴을 정확하게 인쇄하기 위해서, 광자가 수평으로 놓인 포토레지스트와 충돌하여서 패턴을 포토레지스에 정확하게 인쇄할 수 있도록 보장하기 위해서 수평성 검사 방법이 사용된다. 포토레지스트 내에 적합한 이미지를 형성하는 바는 때로 포토레지스트 막 상으로 투사되는 노광 방사선의 초점면 (focal plane) 을 정밀하게 정렬하는 동작과 관련된다. 이는 반도체 기판을 에칭하고 반도체 스택의 후속 층들을 규정하는데 있어서 포토레지스트의 규정된 패턴이 개시 지점으로서 사용되기 때문에 중요하다.
기판의 수평성은 광학적 수평성 센서에 의해서 측정될 수 있다. 도 2는 웨이퍼의 수평성을 검출하는 광학적 수평성 센서의 개략적 도면의 실례를 제공한다. 웨이퍼 또는 기판은 패터닝될 수 있는 금속 (201); 산화물 층 (203); 비정질 탄소 (α-C) 층 또는 비정질 실리콘 (α-Si) 층일 수 있는 하드마크스 층 (205); 실리콘 옥시질화물 (SiON) 층일 수 있는 캡 층 (207), 하층 (209); 및 포토레지스트 (211) 를 포함한다. 광학적 수평성 센서는 수평성 검사 빔 (213) 과 관련되며, 이는 종종 포토레지스트 (211) 상으로 빔을 방사할 수 있다. 광학적 센서는 또한 광대역 수평성 검사 빔으로도 지칭되는 대략 500 nm 내지 1800 nm 파장 범위에서 동작할 수 있다. 포토레지스트 (211) 는 이 빔을 반사시키고 이 반사된 빔은 센서 (215) 에 의해서 검출된다. 포토레지스트 또는 웨이퍼의 특성에 따라서, 센서는 기판이 수평으로 놓여 있는지의 여부를 정확하게 검출할 수 있다. 다수의 서로 상이한 타입의 웨이퍼들이 툴 또는 장치에서 처리되기 때문에, 광학적 수평성 센서는 다양한 타입의 웨이퍼의 수평성을 판정할 수 있어야 한다. 그러나, 포토레지스트 (211) 가 예를 들어서 도 2의 금속 (201) 과 같은 다른 굴절 특성 또는 반사 특성을 가질 수 있는 그 아래의 층으로 빔을 굴절시킬 수 있기 때문에, 이 후속 층이 이 수평성 검사 빔을 반사 및/또는 굴절시키게 되고 이 굴절 또는 반사된 빔이 센서 (215) 에 의해서 또한 검출되게 된다. 도시된 바와 같이, 금속 층 (201) 으로부터 반사된 빔이 센서 (215) 에 의해서 검출된다. 이로써, 포토레지스트 표면을 검출할 시에 발생하는 에러들의 일 근원은 입사된 광대역 빔이 이미 존재한 웨이퍼 토포그래피로부터 반사되는 것이다. 이로써, 센서는 웨이퍼의 수평성을 정확하게 판정할 수 없을 수 있다. 광학적 수평성 센서가 수평성을 신속하게는 판정할 수 있을지라도, 광학적 수평성 센서는 통상적인 리소그래피에서 사용되는 다양한 웨이퍼 타입으로 인해서 큰 오차 레벨을 가질 수 있다.
이와 달리, 리소그래피 시에 웨이퍼의 수평성을 평가하기 위해서, 공기 게이지가 사용될 수 있다. 공기 게이지는 웨이퍼 아래의 후방 압력을 측정하며 웨이퍼가 불균형 상태에 있는지의 여부를 검출할 수 있다. 그러나, 이러한 측정은 보다 정확할지라도, 웨이퍼가 수평으로 놓여 있는지의 여부를 측정하는데에 시간이 오래 걸려서 웨이퍼 처리량을 저감시킬 수 있다.
통상적인 리소그래피에서와는 대조적으로, EUV 리소그래피는 대부분 통상적으로 진공에서 수행된다. 공기 게이즈는 압력을 측정하기 때문에 리소그래피를 위해서 웨이퍼가 수평으로 놓여 있는지의 여부를 검출하는데 사용될 수 없다. 따라서, EUV 리소그래피에서 사용되는 웨이퍼 및 표준 웨이퍼의 수평성을 측정하는데 사용되는 광학적 수평성 센서는 빔을 반사 또는 굴절시키는 반사 또는 굴절 현상을 유발하므로 오 (wrong) 반사된 또는 오 굴절된 빔을 검출하는 에러를 범할 수 있다.
본 명세서에서 기술된 다중측 스택을 하나 이상의 하층들이 광 흡수 특성을 가져서 수평성 검사 빔이 후속 층들로부터 굴절 또는 반사되는 것을 방지하며 이로써 이 센서에 의해서 검출되는 측정치의 오차를 줄일 수 있다는 점에서 유용하다. 따라서, 현재 사용되고 있는 막들을 본 명세서에서 개시된 바와 같은 최적화된 다중층 스택으로 대체함으로써 본 발명의 다중측 스택은 EUV 리소그래피 시에 정확한 광학적 포커싱 (focusing) 및 수평성 검사 시스템을 가능하게 한다.
이러한 최적화된 특성을 보일 수 있는 다중층 스택의 일 실례가 제공된다. 각 층은 PECVD를 사용하여서 증착될 수 있다. 타겟 층은 약 1500 Å의 두께를 갖는 TEOS 층과 같은 실리콘 산화물 기반 층일 수 있다. 이 타겟 층 상에 애시가능한 (ashable) 하드마스크일 수 있는 비정질 탄소의 제 1 하층이 존재할 수 있다. 이 비정질 탄소 층은 강성의 층이며 특히 후속 단계들에서 패터닝될 때에 미세 패턴을 유지하도록 높은 모듈러스를 가질 수 있다. 이 비정질 탄소 층의 탄소 층의 예시적인 두께는 약 400 Å 또는 약 900 Å일 수 있다. 이 비정질 탄소 층 상에 비정질 탄소에 대해서 높은 에칭 콘트라스트를 갖는 비정질 실리콘의 제 2 하층이 존재할 수 있다. 몇몇 실시예들에서, 비정질 실리콘은 도핑된다. 몇몇 실시예들에서, 이 비정질 실리콘은 도핑되지 않는다. 이 층은 약 100 Å 두께를 가질 수 있다. 이 비정질 실리콘 층 상에 원자적으로 평탄한 층이 존재할 수 있다. 비정질 실리콘 층은 이 원자적으로 평탄한 층에 대해서 높은 에칭 콘라스트를 가질 수 있다. 이 원자적으로 평탄한 층은 약 30 Å 내지 약 60 Å 두께를 갖는 실리콘 산화물 층일 수 있으며 이 막의 조도가 모노레이어의 두께 (monolayer) 보다 작도록 증착될 수 있다. 예를 들어서, 이 원자적으로 평탄한 층의 평균 조도 (average roughness) 는 약 2 Å 일 수 있다. 이 원자적으로 평탄한 층 상에는 포토레지스트 층이 존재할 수 있다. 다중층 스택의 이러한 실례는 EUV 리소그래피에서 포토레지스트 층 내에서 소망하는 피처보다 더 큰 피처를 패터닝하고 패턴이 하향으로 각 층에 대해서 에칭되면서 피처의 크기가 감소하도록 패턴을 하향으로 패터닝 및 에칭하며 각 층을 제거함으로써 사용될 수 있다.
방법
개시된 실시예들에 따라서 다중층 스택을 증착하는 방법들이 본 명세서에서 제공된다. 도 3은 다중층 스택을 증착하는 방법 (300) 의 프로세스 흐름도이다. 동작 (303) 이전에, 타겟 층이 기판 상에 증착되거나 타겟 층을 포함하는 기판이 제공될 수 있다. 다수의 실례들에서, 타겟 층은 실리콘 웨이퍼와 같은 기판 또는 웨이퍼 상에 증착된다. 몇몇 실시예들에서, 타겟 층은 금속 층, 산화물 층, 유전체 층 또는 초저 k 층일 수 있다. 특정 실시예에서, 타겟 층은 TEOS (tetraethyl orthosilicate) 층이다. 이 타겟 층의 두께는 약 200 Å 내지 약 2000 Å 또는 약 1500 Å 간에서 변할 수 있다.
동작 (303) 에서, 하나 이상의 하층들이 기판 상에 증착된다. 다수의 실시예들에서, 하층들이 타겟 층 상면에 직접적으로 증착된다. 몇몇 실시예들에서, 일 하층이 증착된다. 다양한 실시예들에서, 2 개의 하층들이 증착된다. 증착될 수 있는 하층의 개수는 1 개 내지 적어도 4 개 또는 적어도 5 개 이상 간에서 변할 수 있다.
각 하층은 인접하는 층과 상이한 조성을 갖거나 동일한 조성을 가질 수 있으며 패턴을 후속 층으로 전사한 후에 제거될 수 있다. 다양한 실시예들에서, 이 하층들 중 적어도 하나는 하드마스크 층이다. 하층들은 산화물, 금속, 도전성 막, 유전체 재료 또는 다른 재료로 구성될 수 있다. 예시적인 금속은 하프늄, 코발트, 텅스텐 및 티타늄을 포함하며, 예시적인 도전성 막은 티타늄 질화물, 티타늄 규화물 및 코발트 규화물을 포함한다. 예시적인 유전체 재료는 실리콘 산화물 및 실리콘 질화물을 포함한다. 다른 예시적인 하층 조성은 비정질 탄소, 비정질 실리콘, 실리콘 옥시질화물 (SiON), 무질소 반사 방지 층 (NFARL) 및 실리콘 반사 방지 코팅 (SiARC) 을 포함한다. 몇몇 실시예들에서, 타겟 층 상면에 직접적으로 증착된 하층은 높은 모듈러스를 갖거나 큰 강성을 가지는데 이러한 강성은 모듈러스 또는 응력에 의해서 측정될 수 있다. 이 강성의 하층의 실례는 비정질 탄소 또는 다이아몬드성 탄소를 포함한다. 몇몇 실시예들에서, 비정질 탄소 층이 기판을 하이드로카본 프리커서 (hydrocarbon precursor) 에 노출시킴으로써 하나 이상의 하층들 중 일 하층으로서 증착될 수 있다.
다양한 실시예들에서, 이 타겟 층 상면에 직접적으로 증착된 하층은 타겟 층에 대해서 높은 에칭 콘트라스트를 갖는다. 각 하층은 인접하는 하층에 대해서 높은 에칭 콘트라스트를 갖는다. 예를 들어서, TEOS 타겟 층은 비정질 탄소 층에 대해서 높은 에칭 콘트라스트를 갖는다. 따라서, 몇몇 실시예들에서, 비정질 탄소 하층은 TEOS 타겟 층의 상면에 증착될 수 있다.
각 하층의 두께는 약 100 Å 내지 약 900 Å 또는 약 400 Å 내지 약 900 Å의 범위에 존재할 수 있다. 몇몇 실시예들에서, 각 하층의 두께는 동일하다. 몇몇 실시예들에서, 각 하층의 두께는 서로 상이하다. 다양한 실시예들에서, 타겟 층 상면에 놓인 하층의 두께는 다른 하층들의 두께보다 클 수 있다. 특정 실례에서, 400 Å 두께의 비정질 탄소 하층이 타겟 층 상에 증착되고 낮은 수소 함유량을 갖는 100 Å 두께의 비정질 실리콘 층이 이 비정질 탄소 층 상에 증착될 수 있다. 수소를 낮은 양으로 함유하는 비정질 실리콘 층에서의 수소 함유량은 약 5 % 보다 작거나 약 10 % 보다 작거나 약 20 % 보다 작을 수 있다. 이 낮은 수소 함유량은 비정질 실리콘 층 상면 상에서 층의 접착력에 의존할 수 있다. 다수의 실시예들에서, 비정질 탄소 층도 역시 수소를 낮은 함유량으로 포함할 수 있으며 수소 함유량은 약 10 % 보다 작거나 약 20 % 보다 작을 수 있다.
도 3으로 돌아가면, 동작 (305) 에서, 원자적으로 평탄한 층이 기판 상에 증착될 수 있다. "원자적으로 평탄한 (atomically smooth)" 이라는 용어는 한 개의 모노레이어의 두께 또는 한 개의 모노레이어의 두께의 약 1/2 보다 작은 국부적 조도를 갖는 바로서 규정될 수 있다. "국부적"이란 웨이퍼 표면적 1 ㎛2 에서 측정되는 조도로서 규정될 수 있다. 이 층의 조도 (roughness) 는 관측 또는 AFS (atomic force spectroscopy) 에 의해서 평가되거나 평균 선으로부터의 요철 프로파일의 수직 편차의 평균을 평가하거나 평균 선으로부터의 요철 프로파일의 수직 편차의 RMS (root mean square) 을 구함으로써 측정될 수 있다. 몇몇 실시예들에서, 이 원자적으로 평탄한 층의 평균 국부적 조도는 약 2 Å 보다 작다.
다수의 실시예들에서, 이 원자적으로 평탄한 층은 하나 이상의 하층 상에 증착된다. 특정 실시예에서, 이 원자적으로 평탄한 층은 낮은 수소 함유량을 갖는 비정질 실리콘 층 상면에 증착된다. 다양한 실시예들에서, 원자적으로 평탄한 층은 패턴을 후속 층으로 전사한 후에 제거 가능하다. 원자적으로 평탄한 층은 얇은 층이며 약 30Å 내지 약 60 Å 의 두께를 가질 수 있다. 그 아래의 하층들 및 그 위의 임의의 층들에 대해서, 이 원자적으로 평탄한 층은 높은 에칭 콘트라스트를 가질 수 있다. 특정 실례에서, 원자적으로 평탄한 층은 실리콘 산화물 (SiO2) 의 얇은 층이다. 다수의 실시예들에서, 이 원자적으로 평탄한 층은 산화물 층이다.
동작 (307) 에서, 포토레지스트가 원자적으로 평탄한 층 상에 증착된다. 다양한 실시예들에서, 포토레지스트가 원자적으로 평탄한 층 상면에 직접적으로 증착되도록 포토레지스트는 원자적으로 평탄한 층에 직접적으로 인접할 수 있다. 수많을 실시예들에서, 원자적으로 평탄한 층 및 그 아래의 하나 이상의 하층들은 포토레지스트와 타겟 층 간에 개재되도록 증착된다. 몇몇 실시예들에서, 포토레지스트가 증착되기 이전에, 하나 이상의 하층들이 원자적으로 평탄한 층 상면에 증착된다. 수많을 실시예들에서, 포토레지스트는 폴리(메틸 메타크릴레이트) 폴리 (메틸 글루타리미드) (PMGI) 또는 페놀 포르말데히드 수지일 수 있다. 이 포토레지스트의 두께는 약 100 Å 내지 약 600 Å 간에 존재하며 예를 들어서 약 300 Å 일 수 있다. 몇몇 실시예들에서, 증착된 포토레지스트의 두께는 약 600 Å 일 수 있다.
몇몇 실시예들에서, 극자외선 리소그래피를 사용하여서 포토레지스트가 패터닝될 수 있다. 수많을 실시예들에서, 이 극자외선 리소그래피는 규정된 패턴의 감광성 사이트들 (sites) 을 포토레지스트 상에 증착하고 이 패턴을 포토레지스트에 인쇄하는 동작들을 포함한다. 수많을 실시예들에서, 패턴이 포토레지스트에 규정된 후에, 각 층이 패터닝되고 제거됨에 따라서 규정된 피처들의 크기가 감소하도록 하는 크기를 점점 줄이는 방법 (shrinking method) 에 의해서 패턴이 후속 층들로 전사된다.
방법 (300) 에서 기술된 각 층은 다양한 방법들에 의해서 증착될 수 있다. 이 증착 프로세스의 실례들은 원자 층 증착 (ALD), 플라즈마 강화 ALD (PEALD), 컨포멀 막 증착 (CFD), 화학 기상 증착 (CVD), 플라즈마 강화 CVD (PECVD), 물리적 기상 증착 (PVD) 및 스핀-온 방법을 포함한다. 몇몇 실시예들에서, 동작 (303) 에서의 하층은 PECVD와 같은 증착 기술에 의해서 증착되며 하이드로카본 프리커서를 포함하는 증착 가스로부터 증착 챔버 내에서 플라즈마를 생성하는 동작이 수행될 수 있다. 이 하이드로카본 프리커서는 화학식 CxHy에 의해서 규정될 수 있으며, 여기서 x는 2 내 10 간의 정수이며 y는 2 내지 24 간의 정수이다. 그 실례는 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 부탄 (C4H10), 사이클로헥산 (C6H12), 벤젠 (C6H6) 및 톨루엔 (C7H8) 을 포함한다. 고주파수 (HF) 전력 및 저주파수 (LF) 전력을 포함하는 이중 무선 주파수 (RF) 플라즈마 소스가 사용될 수 있다.
장치
본 명세서에서 기술된 다중층 스택 증착 및 사용은 반도체 프로세싱에 적합한 임의의 장치 상에서 수행될 수 있다. 몇몇 실시예들에서, 이 장치는 통합되며 반도체 기판을 프로세싱하기 위한 하나 이상의 챔버 또는 스테이션을 가질 수 있다. 본 명세서에서 기술된 방법들을 실시하기에 적합한 장치는 리소그래피 툴, 증착 출, 에칭 툴 및 다른 툴들을 포함할 수 있다.
일 실례로서, 본 명세서에서 제공되는 증착 기술들은 PECVD 반응기 또는 CFD 반응기에서 구현될 수 있다. 이러한 반응기는 다수의 형태를 취할 수 있으며 각각이 하나 이상의 웨이퍼를 하우징하며 다양한 웨이퍼 동작들을 수행하도록 구성될 수 있는 하나 이상의 챔버 또는 반응기 (때로 복수의 스테이션을 포함함) 를 포함할 수 있는 장치의 일부일 수 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들 내에서 (이 규정된 위치 내에서 예를 들어서, 회전, 진동 또는 다른 운동과 같은 운동을 하면서 또는 이러한 운동을 하지 않고) 웨이퍼를 유지할 수 있다. 일 구현예에서, 개시된 실시예들에서 수행되는 동작들 이전에, 막 증착을 받고 있는 웨이퍼는 이 프로세스 동안에 반응기 또는 챔버 내에서 일 스테이션에서 다른 스테이션으로 전달될 수 있다. 다른 구현예들에서, 상이한 동작을 수행하기 위해서 웨이퍼는 이 장치 내에서 챔버 간에서 전달될 수 있다. 임의의 증착 단계에서 총 막 두께의 전체 또는 일부가 단일 스테이션에서 모두 증착될 수 있다. 이 프로세스 동안에, 각 웨이퍼는 페디스탈, 웨이퍼 척 및/또는 다른 웨이퍼 유지 장치에 의해서 그 자리에서 유지될 수 있다. 웨이퍼가 가열될 소정의 동작에서, 본 장치는 가열 플레이트와 같은 가열기를 포함할 수 있다. 미국 캘리포니아 프레몬트 소재의 Lam Research 사가 생산하고 있는 VectorTM (예를 들어서, C3 Vector) 반응기 또는 SequelTM (예를 들어서, C2 Sequel) 반응기들 모두는 본 명세서에서 개시되는 기술들을 구현하는데 사용될 수 있는 적합한 반응기의 실례들이다.
도 4는 본 명세서에서 기술되는 방법들을 구현하도록 구성된 다양한 반응기 구성 요소들을 나타내는 간단한 블록도이다. 도시된 바와 같이, 반응기 (400) 는 반응기 (400) 의 다른 구성 요소들을 그 내에 봉입하고 접지된 가열기 블록 (420) 과 함께 동작하는 샤워헤드 (414) 를 포함하는 용량성 방전 타입 시스템에 의해서 생성되는 플라즈마를 그 내에 포함하는 프로세스 챔버 (424) 를 포함한다. 고주파수 (HF) 의 무선 주파수 생성기 (404) 및 저주파수 (LF) 의 무선 주파수 생성기 (402) 가 매칭 네트워크 (406) 및 샤워헤드 (414) 에 연결될 수 있다. 매칭 네트워크 (406) 에 의해서 공급된 전력 및 주파수는 프로세스 챔버 (424) 에 공급된 프로세스 가스로부터 플라즈마를 생성하는데 충분할 수 있다. 통상적인 프로세스에서, HF 무선 주파수 성분은 일반적으로 5 MHz 내지 60 MHz, 예를 들어서 13.56 MHz 일 수 있다. LF 무선 주파수 성분이 존재하는 동작에서, 이 LF 무선 주파수 성분은 약 100 kHz 내지 2 MHz, 예를 들어서 430 kHz 일 수 있다.
이 반응기 내에서, 웨이퍼 페디스탈 (418) 은 기판 (416) 을 지지할 수 있다. 웨이퍼 페디스탈 (418) 은 기판을 유지하며 동작들 간에서 기판을 챔버 (424) 내로 반입 또는 외부로 반출하는 척, 포크 또는 리프트 핀 (lift pin) (미도시) 을 포함할 수 있다. 척은 정전 척, 기계적 척 또는 본 산업 분야에서 사용되고/되거나 연구를 위해서 입수 가능한 다양한 다른 타입의 척일 수 있다.
다양한 프로세스 가스들이 유입구 (412) 를 통해서 도입될 수 있다. 다수의 소스 가스 라인들 (410) 이 메니폴드 (408) 에 연결된다. 이 가스들은 사전 혼합되거나 그렇지 않을 수 있다. 적합한 밸브 및 대용량 플로우 제어 메카니즘이 사용되어서 올바른 프로세스 가스가 본 프로세스의 각 동작의 증착 및 플라즈마 처리 국면 (phase) 동안에 전달되는 것을 보장할 수 있다. 화학적 프리커서(들)가 액체 형태로 전달되는 경우에, 액체 플로우 제어 메카니즘이 사용될 수 있다. 이어서, 이러한 액체는 증착 챔버 (424) 에 도달하기 이전에, 액체 형태로 공급된 화학적 프리커서의 기화 온도 위의 온도로 가열되는 메니폴드 내에서 이송되는 동안에 기화되어서 프로세스 가스와 혼합될 수 있다.
프로세스 가스는 유출구 (422) 를 통해서 챔버 (4220 를 빠져 나갈 수 있다. 예를 들어서 1 단 (stage) 또는 2 단의 기계적 건식 펌프 및/또는 터보분자 펌프 (440) 와 같은 진공 펌프가 사용되어서 쓰로틀 밸브 또는 펜더럼 밸브와 같은 폐루프 제어형 플로우 제약 장치를 사용함으로써 프로세스 가스를 프로세스 챔버 (424) 외부로 인출시켜서 프로세스 챔버 (424) 내의 압력을 적절하게 낮은 압력으로 유지할 수 있다.
상술한 바와 같이, 본 명세서에서 기술되는 증착 기술은 다중 스테이션 툴 또는 단일 스텔이션 툴 상에서 구현될 수 있다. 특정 구현예들에서, 4 스테이션 증착 방식을 갖는 300 mm Lam VectorTM 또는 6 스테이션 증착 방식을 갖는 200 mm SequelTM 이 사용될 수 있다. 몇몇 구현예들에서, 450 mm 웨이퍼를 프로세싱하기 위한 툴이 사용될 수 있다. 다양한 구현예들에서, 매 증착 프로세스 후에 웨이퍼들이 인덱싱되거나 에칭 챔버들 또는 스테이션들이 동일한 툴의 일부인 경우에는 에칭 단계들 후에 웨이퍼들이 인덱싱되거나 다수의 증착 및 처리들이 단일 스테이션에서 수행된 후에 웨이퍼가 인덱싱될 수 있다. 몇몇 구현예들에서, 하층이 증착된 후이거나 원자적으로 평탄한 층이 증착된 후와 같이 각 층이 증착된 후에 웨이퍼들이 인덱싱될 수 있다.
몇몇 실시예들에서, 본 명세서에서 개시되는 기술들을 수행하도록 구성된 장치가 제공될 수 있다. 적합한 장치는 다양한 프로세스 동작들을 수행하기 위한 하드웨어 및 개시된 실시예들에 따른 프로세스 동작은 제어하기 위한 인스트럭션들을 갖는 시스템 제어기 (550) 를 포함할 수 있다. 이 시스템 제어기 (550) 는 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 통상적으로 포함하며, 이 하나 이상의 프로세서는 예를 들어서 밸브, RF 생성기, 웨이퍼 핸들링 시스템 등과 같은 다양한 프로세서 제어 장비와 통신 가능하게 연결되어서 본 장치가 개시된 실시예들에 따른 기술들, 예를 들어서 도 3의 동작들로 제공된 바와 같은 기술을 수행할 수 있도록 상기 인스트럭션들을 실행시키도록 구성된다. 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독 가능한 매체가 시스템 제어기 (550) 에 연결될 수 있다. 이 시스템 제어기 (550) 는 예를 들어서 대용량 플로우 제어기, 밸브, RF 생성기, 진공 펌프 등과 같은 다양한 하드웨어와 통신 가능하게 연결되어서 본 명세서에서 기술된 증착 동작들과 연관된 다양한 프로세스 파라미터들을 제어할 수 있다.
몇몇 실시예들에서, 시스템 제어기 (550) 는 반응기 (400) 의 모든 동작들을 제어할 수 있다. 시스템 제어기 (550) 는 대용량 저장 장치 내에 저장되어서 메모리 장치 내로 로딩되어서 프로세서 상에서 실행되는 시스템 제어 소프트웨어를 실행시킬 수 있다. 이와 달리, 제어 로직이 제어기 (430) 내에 하드 코딩될 수 있다. 이러한 목적을 위해서, ASIC (application specific integrated circuit), PLD (programmable logic device) (예를 들어서, FPGA (field-programmable gate array) ) 등이 사용될 수 있다. 다음의 설명 부분에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 대응하는 하드 코딩된 로직이 그 대신에 사용될 수 있다. 시스템 제어 소프트웨어는 가스 플로우, 웨이퍼 이동, RF 생성기 활성화에 대한 타이밍을 제어하기 위한 인스트럭션들 및 반응기 장치 (400) 에 의해서 수행되는 특정 프로세스의 가스 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨, RF 전력 레벨, 기판 페디스탈, 척 및/또는 서스셉터 (susceptor) 위치 및 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수 있다. 이 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수 있다. 예를 들어서, 다양한 프로세스 툴의 프로세스를 실행시키는데 필요한 프로세스 툴 구성 요소들의 동작을 제어하도록 다양한 프로세스 툴 구성 요소 서브루틴 또는 제어 객체가 기록될 수 있다. 이 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로서 코딩될 수 있다.
시스템 제어기 (550) 는 통상적으로 하나 이상의 메모리 장치들 및 본 개시에 따른 기술을 본 장치가 수행할 수 있도록 하는 인스트럭션들을 실행시키도록 구성된 하나 이상의 프로세서를 포함할 수 있다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독 가능한 매체가 시스템 제어기 (550) 에 연결될 수 있다.
본 명세서에서 상술한 장치 및/또는 방법은 예를 들어서 반도체 장치, 디스플레이, LED, 광전 패널 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수 있다. 통상적으로, 이러한 툴들 또는 프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 다음의 단계들 중 몇몇 또는 모두를 포함하며, 다음의 각 단계는 복수의 가능한 툴을 사용하여서 수행되며, 이러한 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여서, 개시된 실시예들에서 제공된 바와 같은 기판 또는 다중측 스택과 같은 작업 대상 (workpiec)에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용하여서 상기 포토레지스트 패턴을 비정질 탄소 하층과 같은 그 아래의 막 또는 작업 대상에 전사하는 단계 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 탈피기 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 단계를 포함할 수 있다.
하나 이상의 프로세스 스테이션들은 다중 스테이션 프로세스 툴 내에 포함될수 있다. 도 5는 어느 하나 또는 모두가 원격 플라즈마 소스를 포함할 수 있는 인바운드 로드 락 (inbound load lock) (502) 및 아웃바운드 로드 락 (504) 을 갖는 다중 스테이션 프로세싱 툴 (500) 의 일 실시예를 개략도이다. 대기 압력 하에 있는 로봇 (506) 이 웨이퍼를 포드 (508) 를 통해서 로딩된 카세트로부터 대기 압력 포트 (510) 를 통해서 인바운드 로드 락 (502) 내로 이동시키도록 구성된다. 웨이퍼는 로봇 (506) 에 의해서 인바운드 로드 락 (502) 내의 페디스탈 (512) 상에 배치되며, 대기 압력 포트 (510) 가 폐쇄되고, 인바운드 로드 락이 펌핑 다운된다. 이 인바운드 로드 락 (502) 이 원격 플라즈마 소스를 포함할 경우에, 웨이퍼는 프로세싱 챔버 (514) 내로 도입되기 이전에 이 인바운드 로드 락 내에서 원격 플라즈마 처리를 받게 된다. 또한, 웨이퍼는 예를 들어서 습기 및 흡수된 가스가 제거되도록 이 인바운드 로드 락 (502) 내에서 가열될 수 있다. 이어서, 프로세싱 챔버 (514) 로의 챔버 전송 포트 (502) 가 개방되고 다른 로봇 (미도시) 이 웨이퍼를 프로세싱을 위해서 반응기 내에 배치하되 반응기 내에 도시된 제 1 스테이션의 페디스탈 상에 배치한다. 도시된 실시예들은 로드 락들을 포함하지만, 몇몇 실시예들에서는, 로드락을 거치지 않고 웨이퍼가 프로세스 스테이션으로 바로 반입될 수 있다.
도시된 프로세싱 챔버 (514) 는 도 5에 도시된 실시예에서는 참조부호 (1) 내지 (4)로 표시된 4 개의 프로세스 스테이션을 포함한다. 각 스테이션은 가열된 페디스탈 (스테이션 (1) 에서는 참조 부호 (518) 로 표시됨) 및 가스 라인 유입구를 갖는다. 몇몇 실시예들에서, 각 프로세스 스테이션들은 상이하거나 복수의 목적을 가질 수 있다. 예를 들어서, 몇몇 실시예들에서, 프로세스 스테이션은 CFD 프로세스 모드와 PECVD 프로세스 모드 간에 스위칭될 수 있다. 이와 달리 또는 추가적으로, 몇몇 실시예들에서, 프로세싱 챔버 (514) 는 서로 함께 쌍을 이루는 CFD 프로세스 스테이션 및 PECVD 프로세스 스테이션의 하나 이상의 쌍들을 포함할 수 있다. 도시된 프로세싱 챔버 (514) 는 4 개의 스테이션을 포함하고 있지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 개수의 스테이션을 가질 수 있다. 예를 들어서, 몇몇 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션을 가질 수 있는 반면에, 다른 실시예에서는 프로세싱 챔버는 3 개 이하의 스테이션을 가질 수 있다.
도 5는 또한 프로세싱 챔버 (514) 내에서 웨이퍼들을 전달하기 위한 웨이퍼 핸들링 시스템 (509) 의 실시예를 나타내고 있다. 몇몇 실시예들에서, 웨이퍼 핸들링 시스템 (509) 은 다양한 프로세스 스테이션들 간에서 및/또는 프로세스 스테이션과 로드 락 간에서 웨이퍼를 전달할 수 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 사용될 수 있다. 비한정적인 실례들은 웨이퍼 카로우젤 (carousel) 및 웨이퍼 핸들링 로봇을 포함한다. 도 5는 또한 프로세스 툴 (500) 의 프로세스 조건 및 하드웨어 상태를 제어하도록 사용되는 시스템 제어기 (550) 의 실시예를 나타내고 있다. 이 시스템 제어기 (556) 는 하나 이상의 메모리 장치 (556), 하나 이상의 대용량 저장 장치 (554) 및 하나 이상의 프로세서 (552) 를 포함한다. 이 프로세서 (552) 는 CPU, 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부, 스텝퍼 모터 제어기 보드 및 다른 유사한 구성 요소들을 포함한다.
몇몇 실시예들에서, 시스템 제어기 (550) 는 프로세스 툴 (500) 의 모든 동작들을 제어할 수 있다. 시스템 제어기 (550) 는 대용량 저장 장치 (554) 내에 저장되어서 메모리 장치 (556) 내에 로딩되어 프로세서 (552) 상에서 실행되는 시스템 제어 소프트웨어 (558) 를 실행시킬 수 있다. 이와 달리, 제어 로직이 제어기 (550) 내에 하드 코딩될 수 있다. 이러한 목적을 위해서, ASIC (application specific integrated circuit), PLD (programmable logic device) (예를 들어서, FPGA (field-programmable gate array) ) 등이 사용될 수 있다. 다음의 설명 부분에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 대응하는 하드 코딩된 로직이 그 대신에 사용될 수 있다. 시스템 제어 소프트웨어 (558) 는 프로세스 툴 (500) 에 의해서 수행되는 특정 프로세스의 타이밍, 가스 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨, RF 전력 레벨, RF 노출 시간, 기판 페디스탈, 척 및/또는 서스셉터 위치 및 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수 있다. 이 시스템 제어 소프트웨어 (558) 는 임의의 적합한 방식으로 구성될 수 있다. 예를 들어서, 다양한 프로세스 툴의 프로세스를 실행시키는데 필요한 프로세스 툴 구성 요소들의 동작을 제어하도록 다양한 프로세스 툴 구성 요소 서브루틴 또는 제어 객체가 기록될 수 있다. 이 시스템 제어 소프트웨어 (558) 는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로서 코딩될 수 있다.
몇몇 실시예들에서, 시스템 제어 소프트웨어 (558) 는 상술한 바와 같은 다양한 파라미터를 제어하기 위한 인스트럭션들을 시퀀싱하는 IOC (input/output control) 를 포함한다. 예를 들어서, 증착 프로세스의 각 동작은 시스템 제어기 (550) 에 의해서 실행되도록 하나 이상의 인스트럭션들을 포함할 수 있다. PECVD 프로세스 동작을 위한 프로세스 조건을 설정하기 위한 인스트럭션들이 대응하는 PECVD 레시피 프로세스 동작 내에 포함될 수 있다. 몇몇 실시예들에서, 이 PECVD 프로세스 동작들은 순차적으로 배열되어서 PECVD 프로세스를 위한 모든 인스트럭션들이 해당 프로세스 국면 (phase) 과 동시에 실행될 수 있다.
시스템 제어기 (550) 와 연관된 대용량 저장 장치 (554) 및/또는 메모리 장치 (556) 내에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 몇몇 실시예들에서 사용될 수 있다. 이러한 목적을 위한 프로그램 또는 프로그램 섹션들의 실례는 기판 포지션닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지션닝 프로그램은 기판을 페디스탈 (518) 상에 로딩하고 이 기판과 프로세스 툴 (500) 의 다른 구성 요소 간의 이격 정도를 제어하도록 사용되는 프로세트 툴 구성 요소들에 대한 프로그램 코드를 포함할 수 있다.
프로세스 가스 제어 프로그램은 가스 성분 및 플로우 레이트를 제어하고 선택 사양적으로는 프로세스 스테이션 내의 압력을 안정화시키기 위해서 다중층 스택 증착 이전에 가스를 하나 이상의 프로세스 스테이션 내로 유입시키기 위한 코드를 포함할 수 있다. 몇몇 실시예들에서, 제어기 (550) 는 하나 이상의 하층을 증착하고 이어서 원자적으로 평탄한 층을 증착하기 위한 인스트럭션들을 포함한다.
압력 제어 프로그램은 예를 들어서 프로세스 스테이션 내로의 가스 플로우 또는 프로세스 스테이션의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수 있다. 몇몇 실시예들에서, 제어기 (550) 는 하나 이상의 하층을 증착하고 원자적으로 평탄한 층을 증착하기 위한 인스트럭션들을 포함한다.
가열기 제어 프로그램은 기판을 가열하는데 사용되는 가열부로의 전류를 제어하기 위한 코드를 포함할 수 있다. 이와 달리, 가열기 제어 프로그램은 기판으로의 열 전달 가스 (가령, 헬륨) 의 공급을 제어할 수 있다. 소정의 구현예들에서, 제어기 (550) 는 하나 이상의 하층을 증착하고 원자적으로 평탄한 층을 증착하기 위한 인스트럭션들을 포함한다.
플라즈마 제어 프로그램은 본 명세서에서 개시된 실시예들에 따라서 하나 이상의 프로세스 스테이션 내의 RF 전력 레벨 및 노출 시간을 설정하기 위한 코드를 포함할 수 있다. 몇몇 실시예들에서, 제어기 (550) 는 하나 이상의 하층을 증착하고 원자적으로 평탄한 층을 증착하기 위한 인스트럭션들을 포함한다.
몇몇 실시예들에서, 시스템 제어기 (550) 와 연관된 사용자 인터페이스가 존재할 수 있다. 이 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 상태의 그래픽 소프트웨어 디스플레이 및 포인팅 장치, 키보드, 터치 스크린, 마이크폰 등과 같은 사용자 입력 장치를 포함할 수 있다.
몇몇 실시예들에서, 시스템 제어기 (550) 에 의해서 조절되는 파라미터들은 프로세스 조건과 관련될 수 있다. 비한정적 실례들은 프로세스 가스 성분 및 플로우 레이트, 온도, 압력, (RF 바이어스 전력 레벨 및 노출 시간과 같은) 플라즈마 조건, 등을 포함할 수 있다. 이러한 파라미터들은 사용자 인터페이스를 사용하여서 입력될 수 있는 레시피의 형태로 해서 사용자에게 제공될 수 있다.
이러한 프로세스를 모니터링하기 위한 신호가 다양한 프로세스 툴 센서로부터 시스템 제어기 (550) 의 아날로그 및/또는 디지털 입력 접속부들에 의해서 제공될 수 있다. 프로세스를 제어하기 위한 신호는 프로세스 툴 (500) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수 있다. 모니터링될 수 있는 이러한 프로세스 툴 센서들의 비한정적 실례들은 대량 플로우 제어기, (마노미터와 같은) 압력 센서, 써모커플 등을 포함할 수 있다. 적절하게 프로그램된 피드백 알고리즘 및 제어 알고리즘이 이러한 센서들로부터의 데이터와 함께 사용되어서 프로세스 조건들을 관리할 수 있다.
시스템 제어기 (550) 는 상술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수 있다. 이러한 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등과 같은 다양한 프로세스 파라미터들을 제어할 수 있다. 이 인스트럭션들은 본 명세서에서 개시된 다양한 실시예들에 따른 다중층 막 스택의 인 시츄 증착 (in-situ deposition) 을 동작시키도록 이러한 파라미터들을 제어할 수 있다.
시스템 제어기 (550) 는 통상적으로 하나 이상의 메모리 장치 및 본 개시된 실시예들에 따른 방법을 본 장치가 수행하도록 하는 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서를 포함할 수 있다. 본 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독 가능한 비일시적 매체가 이 시스템 제어기 (550) 에 연결될 수 있다.
실험 결과
극자외선 리소그래피에서 다중층 스택을 사용한 효과를 평가하기 위해서 실험이 수행되었다. 2 개의 타입의 다중층 스택이 사용되어서 일반적인 비아 홀 (via hole) 을 패터닝하였다.
제 1 실험에서, 1500 Å 두께의 TEOS 층이 타겟 층으로서 실리콘 기판 상에 증착되었다. 900 Å 두께의 비정질 탄소 하층이 타겟 층 상에 증착되고 이어서 낮은 수소 함유량을 갖는 200 Å 두께의 비정질 실리콘 층이 상기 탄소 하층 상에 증착되었다. 이어서, 이 비정질 실리콘 층 상에 60 Å 두께의 원자적으로 평탄한 층이 증착되었다. 이어서, 100 Å 두께의 하층이 상기 원자적으로 평탄한 층 상에 증착되고, 이어서 600 Å 두께의 포토레지스트가 증착되었다. 이러한 다중층 스택을 갖는 웨이퍼가 극자외선 리소그래피를 사용하여서 패터닝되어서 포토레지스트 내에 큰 홀들이 패터닝되었다. 52 nm 피치의 30 nm 비아에 대한 LCDU (local critical dimension uniformity) 가 개선됨이 평가되었다. 목표 LCDU 은 2.5 nm (3α) 였다. 극자외선 리소그래피 이후의 포토레지스트의 평균 임계 치수 (CD) 는 28.9 nm 였으며 LCDU 은 4.92 nm (3α) 였다. 다중층 스택 상의 패터닝된 포토레지스트의 위에서 아래로 본 이미지가 도 6a에 도시되어 있다.
피처의 크기를 목표 크기로 줄여가면서 후속층이 아래로 패터닝 및 에칭되었다. 포토레지스트가 사전 처리되고 패턴이 하드마스크 개방 에칭 (이하에서는, HMO) 에 의해서 비정질 탄소로 전사된 후에, 평균 CD는 27.9 nm이며 LCDU 은 2.56 nm (3α) 였다. HMO 후의 패터닝된 기판의 위에서 아래로 본 이미지가 도 6b에 도시되어 있다. 마지막으로, 타겟 층이 패터닝되고 국부적 CD 평균치가 23.1 nm이며 LCDU 은 2.38 nm (3α) 였다. 패터닝된 타겟 층을 위에서 아래로 본 이미지가 도 6c에 제공되며, 이 패터닝된 타겟 층의 측 프로파일 이미지가 도 6d에서 제공된다. 타겟 층의 CD가 포토레지스트에서의 CD 크기의 대략 70 %가 되도록 결과적으로 생성된 CD의 크기는 줄어 들었다. 타겟 층 에칭은 2.5 nm (3α) 의 목표 LCDU에 보다 근사하게 되었다. 도 6c 및 도 6d에 도시된 바와 같이, 패터닝된 타겟 층은 평탄한 에지 및 낮은 라인 에지 조도를 갖는다.
제 2 실험에서, 1000 Å 두께의 TEOS 층이 타겟 층으로서 실리콘 기판 상에 증착되었다. 400 Å 두께의 비정질 탄소 하층이 타겟 층 상에 증착되고 이어서 낮은 수소 함유량을 갖는 100 Å 두께의 비정질 실리콘 층이 상기 탄소 하층 상에 증착되었다. 이어서, 이 비정질 실리콘 층 상에 30 Å 두께의 원자적으로 평탄한 층이 증착되었다. 이어서, 100 Å 두께의 하층이 상기 원자적으로 평탄한 층 상에 증착되고, 이어서 300 Å 두께의 표준 포토레지스트가 증착되었다. 이러한 다중층 스택을 갖는 웨이퍼가 극자외선 리소그래피를 사용하여서 패터닝되어서 포토레지스트 내에 큰 홀들이 패터닝되었다. 52 nm 피치의 30 nm 비아에 대한 LCDU (local critical dimension uniformity) 가 개선됨이 평가되었다. 목표 LCDU 은 2.5 nm (3α) 였다. 극자외선 리소그래피 이후의 포토레지스트의 평균 임계 치수 (CD) 는 28.6 nm 였으며 LCDU 은 4.29 nm (3α) 였다. 다중층 스택 상의 패터닝된 포토레지스트를 위에서 아래로 본 이미지가 도 7a에 도시되어 있다.
피처의 크기를 목표 크기로 줄여가면서 후속층이 아래로 패터닝 및 에칭되었다. 하드마스크 개방 에칭 (HMO) 이후에, 평균 CD는 20.9 nm이며 LCDU 은 3.39 nm (3α) 였다. HMO 후의 패터닝된 기판의 위에서 아래로 본 이미지가 도 7b에 도시되어 있다. 마지막으로, 타겟 층이 패터닝되고, 국부적 CD 평균치가 22.8 nm이며 LCDU 은 2.77 nm (3α) 였다. 패터닝된 타겟 층을 위에서 아래로 본 이미지가 도 7c에 제공되며, 이 패터닝된 타겟 층의 측 프로파일 이미지가 도 7d에서 제공된다. 타겟 층의 CD가 포토레지스트에서의 CD 크기의 대략 70 %가 되도록 결과적으로 생성된 CD의 크기는 줄어 들었다. 타겟 층 에칭은 2.5 nm (3α) 의 목표 LCDU에 보다 근사하게 되었다. 도 7c 및 도 7d에서의 패터닝된 타겟 층의 품질은 도 6c 및 도 6d에서의 패터닝된 타겟 층의 품질과 차이가 나지 않는다. 이는 보다 얇은 하층들 또는 보다 얇은 포토레지스트와 같은 보다 얇은 막들도 역시 EUV 리소그래피에서 사용될 수 있음을 의미한다. 이로써, 반도체 프로세싱 시에 웨이퍼의 처리량이 증가하게 된다.
전술한 실시예들이 이해의 명료성을 위해서 어느 정도 세부적으로 기술되었지만, 소정의 변경 및 수정이 첨부된 청구 범위 내에서 실시될 수 있다. 본 실시예들의 프로세스, 시스템 및 장치를 구현하는 다수의 다른 방식들이 존재할 수 있다. 따라서, 본 실시예들은 예시적이며 비한정적으로 해석되어야 하며 실시예들은 본 명세서에서 주어진 세부 사항으로 한정되는 것은 아니다.

Claims (23)

  1. 극자외선 리소그래피 (extreme ultraviolet lithography) 를 위한 반도체 기판 상의 다중층 스택에 있어서,
    타겟 층;
    상기 타겟 층 위 그리고 상기 타겟 층에 인접한 하드마스크;
    상기 하드마스크 위의 제 1 하층; 및
    상기 제 1 하층 위 그리고 상기 제 1 하층에 인접한 포토레지스트를 포함하고,
    상기 제 1 하층 및 상기 하드마스크는 상기 포토레지스트와 상기 타겟 층 사이에 있는, 다중층 스택.
  2. 제 1 항에 있어서,
    상기 하드마스크는 비정질 탄소를 포함하는, 다중층 스택.
  3. 제 1 항에 있어서,
    상기 하드마스크는 1:1 의 모듈러스 (modulus) 대 응력 비를 갖는, 다중층 스택.
  4. 제 1 항에 있어서,
    상기 포토레지스트는 100 Å 내지 600 Å 두께인, 다중층 스택.
  5. 제 1 항에 있어서,
    상기 제 1 하층의 두께는 30 Å 내지 60 Å 인, 다중층 스택.
  6. 제 1 항에 있어서,
    상기 제 1 하층은 탄소, 수소, 산소, 실리콘, 텅스텐 중 적어도 하나를 포함하는, 다중층 스택.
  7. 제 1 항에 있어서,
    상기 제 1 하층은 제거될 수 있는, 다중층 스택.
  8. 제 1 항에 있어서,
    상기 제 1 하층의 평균 국부적 조도는 2 Å보다 더 작은, 다중층 스택.
  9. 제 1 항에 있어서,
    상기 제 1 하층은 인접한 층들에 대해서 높은 에칭 콘트라스트를 갖는, 다중층 스택.
  10. 제 1 항에 있어서,
    상기 제 1 하층은 5 %보다 더 작은 수소 함유량을 갖는, 다중층 스택.
  11. 제 1 항에 있어서,
    상기 제 1 하층은 웨이퍼 수평성 (leveling) 을 측정하기 위해 상기 반도체 기판 상에 충돌된 수평성 검사 빔 (levelness beam) 을 흡수하는, 다중층 스택.
  12. 극자외선 리소그래피용 반도체 기판을 프로세싱하는 방법에 있어서,
    타겟 층 위 그리고 상기 타겟 층에 인접한 하드마스크 상에 박형 하층을 증착하는 단계; 및
    상기 박형 하층 위 그리고 상기 박형 하층에 인접하게 포토레지스트 층을 증착하는 단계를 포함하고,
    상기 박형 하층은 플라즈마 강화 CVD (PECVD) 에 의해 증착되는, 기판 프로세싱 방법.
  13. 제 12 항에 있어서,
    상기 박형 하층은 하이드로카본 프리커서 (hydrocarbon precursor) 를 사용하여 증착되는, 기판 프로세싱 방법.
  14. 제 12 항에 있어서,
    상기 박형 하층은 모노레이어보다 더 작은 조도를 갖는, 기판 프로세싱 방법.
  15. 제 12 항에 있어서,
    상기 박형 하층은 30 Å 내지 60 Å 의 두께로 증착되는, 기판 프로세싱 방법.
  16. 제 12 항에 있어서,
    상기 박형 하층은 실리콘 산화물을 포함하는, 기판 프로세싱 방법.
  17. 제 12 항에 있어서,
    상기 박형 하층은 5 % 보다 작은 수소 함유량을 갖는, 기판 프로세싱 방법.
  18. 제 12 항에 있어서,
    상기 포토레지스트 층은 300 Å 의 두께로 증착되는, 기판 프로세싱 방법.
  19. 제 12 항에 있어서,
    상기 포토레지스트 층은 반응성 이온 에칭 동안 매칭부로서 역할하는, 기판 프로세싱 방법.
  20. 제 12 항에 있어서,
    진공에서 극자외선 리소그래피를 수행하는 단계를 더 포함하는, 기판 프로세싱 방법.
  21. 제 12 항에 있어서,
    극자외선 리소그래피를 사용하여 상기 포토레지스트 층을 패터닝하는 단계를 더 포함하는, 기판 프로세싱 방법.
  22. 제 12 항에 있어서,
    상기 반도체 기판 상으로 입사 빔을 방사하는 단계로서, 상기 입사 빔은 반사된 빔을 형성하도록 상기 반도체 기판으로부터 반사되는, 상기 입사 빔 방사 단계; 광학 수평성 센서를 사용하여 상기 반사된 빔을 검출하는 단계; 및 상기 반사된 빔에 기초하여 상기 반도체 기판의 수평성을 결정하는 단계를 더 포함하는, 기판 프로세싱 방법.
  23. 반도체 기판들을 프로세싱하기 위한 장치에 있어서,
    하나 이상의 프로세스 챔버들;
    상기 하나 이상의 프로세스 챔버들 및 연관된 플로우 제어 하드웨어 내로의 하나 이상의 가스 유입구들;
    저 주파수 무선 주파수 (LFRF) 생성기;
    고 주파수 무선 주파수 (HFRF) 생성기; 및
    적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신 가능하게 연결되고, 상기 적어도 하나의 프로세서는 적어도 상기 플로우 제어 하드웨어, 상기 LFRF 생성기, 및 상기 HFRF 생성기와 동작 가능하게 연결되고, 그리고
    상기 메모리는,
    하드마스크 상에 박형 하층의 증착을 유발하고; 그리고
    상기 박형 하층의 상면 상에 포토레지스트 층의 증착을 유발하기 위한 컴퓨터 실행 가능한 인스트럭션들을 저장하고,
    상기 박형 하층은 하이드로카본 프리커서를 사용한 PECVD에 의해 증착되는, 장치.
KR1020230138917A 2013-02-25 2023-10-17 Euv 리소그래피용 pecvd 막 KR20230148803A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361769126P 2013-02-25 2013-02-25
US61/769,126 2013-02-25
US14/185,757 2014-02-20
US14/185,757 US9304396B2 (en) 2013-02-25 2014-02-20 PECVD films for EUV lithography
KR1020220049352A KR102592305B1 (ko) 2013-02-25 2022-04-21 Euv 리소그래피용 pecvd 막

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020220049352A Division KR102592305B1 (ko) 2013-02-25 2022-04-21 Euv 리소그래피용 pecvd 막

Publications (1)

Publication Number Publication Date
KR20230148803A true KR20230148803A (ko) 2023-10-25

Family

ID=51387302

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020140022022A KR102247539B1 (ko) 2013-02-25 2014-02-25 Euv 리소그래피용 pecvd 막
KR1020210054382A KR102390822B1 (ko) 2013-02-25 2021-04-27 Euv 리소그래피용 pecvd 막
KR1020220049352A KR102592305B1 (ko) 2013-02-25 2022-04-21 Euv 리소그래피용 pecvd 막
KR1020230138917A KR20230148803A (ko) 2013-02-25 2023-10-17 Euv 리소그래피용 pecvd 막

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020140022022A KR102247539B1 (ko) 2013-02-25 2014-02-25 Euv 리소그래피용 pecvd 막
KR1020210054382A KR102390822B1 (ko) 2013-02-25 2021-04-27 Euv 리소그래피용 pecvd 막
KR1020220049352A KR102592305B1 (ko) 2013-02-25 2022-04-21 Euv 리소그래피용 pecvd 막

Country Status (3)

Country Link
US (2) US9304396B2 (ko)
KR (4) KR102247539B1 (ko)
TW (1) TWI595538B (ko)

Families Citing this family (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013102081A (ja) 2011-11-09 2013-05-23 Tamura Seisakusho Co Ltd ショットキーバリアダイオード
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US9583358B2 (en) 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
KR102287343B1 (ko) 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN105719981B (zh) * 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) * 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3258317B1 (en) * 2016-06-16 2022-01-19 IMEC vzw Method for performing extreme ultra violet (euv) lithography
US10249495B2 (en) * 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
TWI601617B (zh) * 2016-06-30 2017-10-11 C T M Co Ltd With the clamping device straight up and down opening and closing cylinder automatic rubber hydraulic press
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10784100B2 (en) * 2016-07-21 2020-09-22 Tokyo Electron Limited Back-side friction reduction of a substrate
US10290509B2 (en) 2016-07-27 2019-05-14 Samsung Electronics Co., Ltd. Methods for fabricating semiconductor devices using a multilayer lithography process
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10082736B2 (en) 2017-01-13 2018-09-25 International Business Machines Corporation Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10745282B2 (en) 2017-06-08 2020-08-18 Applied Materials, Inc. Diamond-like carbon film
JP7235683B2 (ja) 2017-06-08 2023-03-08 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11034847B2 (en) 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102433666B1 (ko) 2017-07-27 2022-08-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
KR102486388B1 (ko) 2017-07-28 2023-01-09 삼성전자주식회사 그래핀 양자점의 제조방법, 상기 제조방법에 따라 얻어진 그래핀 양자점을 포함한 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR20190061872A (ko) * 2017-11-28 2019-06-05 주식회사 원익아이피에스 비정질 실리콘막의 형성 방법
KR102540963B1 (ko) 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
WO2019199681A1 (en) 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11187983B2 (en) 2018-06-26 2021-11-30 International Business Machines Corporation EUV patterning of monolayers for selective atomic layer deposition
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
TW202046006A (zh) * 2019-03-18 2020-12-16 美商蘭姆研究公司 極紫外光微影光阻的粗糙度降低
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
EP3723112B1 (en) * 2019-04-09 2023-12-20 Imec Vzw Method for forming a gate mask layer
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US20220216050A1 (en) * 2019-04-30 2022-07-07 Lam Research Corporation Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN113891954A (zh) 2019-05-29 2022-01-04 朗姆研究公司 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20220037456A (ko) 2019-07-01 2022-03-24 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 결합 재료들을 최적화하는 것에 의한 막 특성들의 조절
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
TW202104645A (zh) * 2019-07-09 2021-02-01 荷蘭商Asm Ip私人控股有限公司 包括光阻底層之結構及其形成方法
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210039194A (ko) * 2019-10-01 2021-04-09 삼성전자주식회사 집적회로 소자의 제조 방법
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US20220365434A1 (en) * 2019-10-02 2022-11-17 Lam Research Corporation Substrate surface modification with high euv absorbers for high performance euv photoresists
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021099411A (ja) * 2019-12-20 2021-07-01 ギガフォトン株式会社 極端紫外光集光ミラー、極端紫外光集光ミラーの製造方法、及び電子デバイスの製造方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11776810B2 (en) 2021-08-31 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023137275A1 (en) * 2022-01-13 2023-07-20 Lam Research Corporation High selectivity and uniform dielectric etch
WO2023147212A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Enhanced euv underlayer effect with diffusion barrier layer

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
JPH062682B2 (ja) 1985-07-18 1994-01-12 日合アセチレン株式会社 アセチレンの精製法およびそれに用いる装置
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US5222549A (en) 1988-07-04 1993-06-29 Japan Oxygen Co., Ltd. Condenser/evaporator
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
EP0539559A1 (en) 1991-04-03 1993-05-05 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
GB2275364B (en) 1993-02-18 1996-10-16 Northern Telecom Ltd Semiconductor etching process
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
EP0653501B1 (en) 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
ATE251798T1 (de) 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
JP2002504189A (ja) 1997-06-16 2002-02-05 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 基板の真空被覆方法および装置
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) * 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JPWO2003095193A1 (ja) 2002-05-09 2005-09-08 独立行政法人理化学研究所 薄膜材料およびその製造方法
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
WO2005048367A1 (en) 2003-11-13 2005-05-26 Philips Intellectual Property & Standards Gmbh Electronic device comprising a protective barrier layer stack
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) * 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
WO2006073871A1 (en) 2004-12-30 2006-07-13 Applied Materials, Inc. Line edge roughness reduction compatible with trimming
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
EP1720072B1 (en) * 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) * 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
JP5319868B2 (ja) 2005-10-17 2013-10-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US20070134917A1 (en) 2005-12-13 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Partial-via-first dual-damascene process with tri-layer resist approach
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7790047B2 (en) 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8236476B2 (en) * 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
JP5460230B2 (ja) * 2008-10-31 2014-04-02 富士フイルム株式会社 ポジ型感光性組成物
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
US7637269B1 (en) 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
CN102001616A (zh) 2009-08-31 2011-04-06 上海丽恒光微电子科技有限公司 装配和封装微型机电系统装置的方法
JP5675078B2 (ja) * 2009-10-13 2015-02-25 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
WO2011137059A2 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
JP5622448B2 (ja) * 2010-06-15 2014-11-12 東京応化工業株式会社 レジスト組成物、レジストパターン形成方法、高分子化合物、化合物
US9023583B2 (en) * 2010-10-14 2015-05-05 Nissan Chemical Industries, Ltd. Monolayer or multilayer forming composition
EP2525416A2 (en) 2011-05-17 2012-11-21 Intevac, Inc. Method for rear point contact fabrication for solar cells
US8778207B2 (en) 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
US8986921B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) * 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) * 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks

Also Published As

Publication number Publication date
US9304396B2 (en) 2016-04-05
KR102390822B1 (ko) 2022-04-25
KR20220054276A (ko) 2022-05-02
TWI595538B (zh) 2017-08-11
KR102592305B1 (ko) 2023-10-19
US20140239462A1 (en) 2014-08-28
US9618846B2 (en) 2017-04-11
TW201501179A (zh) 2015-01-01
KR102247539B1 (ko) 2021-05-03
US20160179005A1 (en) 2016-06-23
KR20140106442A (ko) 2014-09-03
KR20210050504A (ko) 2021-05-07

Similar Documents

Publication Publication Date Title
KR102592305B1 (ko) Euv 리소그래피용 pecvd 막
CN105190840B (zh) 用于多图案化应用的光调谐硬掩模
TWI711887B (zh) 低粗糙度之極紫外光微影技術
US7375038B2 (en) Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
KR101633937B1 (ko) Dc 및 rf 하이브리드 처리 시스템
KR101413661B1 (ko) 적합한 자체 정렬 이중 패터닝을 위한 시퀀스 계측에 기초한 프로세스 조정
US8741396B2 (en) Method for forming amorphous carbon nitride film, amorphous carbon nitride film, multilayer resist film, method for manufacturing semiconductor device, and storage medium in which control program is stored
WO2018213318A1 (en) Eliminating yield impact of stochastics in lithography
US20090011602A1 (en) Film Forming Method of Amorphous Carbon Film and Manufacturing Method of Semiconductor Device Using the Same
US8409460B2 (en) Forming method of amorphous carbon film, amorphous carbon film, multilayer resist film, manufacturing method of semiconductor device, and computer-readable storage medium
JP2011511476A (ja) 乾式または液浸リソグラフィを用いる45nmフィーチャサイズでの、フォトレジスト材料の崩壊およびポイゾニングの解消
TWI490912B (zh) Pattern forming method and manufacturing method of semiconductor device
TWI707382B (zh) 被處理體之處理方法
JP2012521659A (ja) プラズマエッチング法
JP2000305273A (ja) 遠紫外線ドライフォトリソグラフィー
US20230259030A1 (en) Providing a barrier layer for photoresist processing

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal