KR20220104798A - 패키지 코어 어셈블리 및 제작 방법들 - Google Patents

패키지 코어 어셈블리 및 제작 방법들 Download PDF

Info

Publication number
KR20220104798A
KR20220104798A KR1020227021424A KR20227021424A KR20220104798A KR 20220104798 A KR20220104798 A KR 20220104798A KR 1020227021424 A KR1020227021424 A KR 1020227021424A KR 20227021424 A KR20227021424 A KR 20227021424A KR 20220104798 A KR20220104798 A KR 20220104798A
Authority
KR
South Korea
Prior art keywords
layer
vias
assembly
core structure
semiconductor device
Prior art date
Application number
KR1020227021424A
Other languages
English (en)
Other versions
KR102625123B1 (ko
Inventor
한-웬 첸
스티븐 버하버베케
기백 박
규일 조
커티스 레슈키스
로만 국
친탄 부크
빈센트 디카프리오
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020247000907A priority Critical patent/KR20240008978A/ko
Publication of KR20220104798A publication Critical patent/KR20220104798A/ko
Application granted granted Critical
Publication of KR102625123B1 publication Critical patent/KR102625123B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Heterocyclic Carbon Compounds Containing A Hetero Ring Having Oxygen Or Sulfur (AREA)
  • Semiconductor Lasers (AREA)

Abstract

본 개시내용은 반도체 코어 어셈블리들 및 이를 형성하는 방법들에 관한 것이다. 본 명세서에서 설명되는 반도체 코어 어셈블리들은 반도체 패키지 어셈블리들, RGB 어셈블리들, RGB 스페이서 어셈블리들, 칩 캐리어 어셈블리들, (예컨대, 그래픽 카드들을 위한) 중간 캐리어 어셈블리들 등을 형성하는 데 이용될 수 있다. 일 실시예에서, 실리콘 기판 코어는 직접 레이저 패터닝에 의해 구조화된다. 하나 이상의 전도성 상호 연결부들이 기판 코어에 형성되고, 하나 이상의 재분배 층들이 기판 코어의 표면들 상에 형성된다. 그 후, 실리콘 기판 코어는 반도체 패키지, RGB, RGB 스페이서, 칩 캐리어, 중간 캐리어 등을 위한 코어 구조로서 이용될 수 있다.

Description

패키지 코어 어셈블리 및 제작 방법들
[0001] 본 개시내용의 실시예들은 일반적으로 전자 장착 구조들 및 이를 형성하는 방법들에 관한 것이다. 보다 구체적으로, 본 명세서에서 설명되는 실시예들은 반도체 패키지 및 PCB 어셈블리들 그리고 이를 형성하는 방법들에 관한 것이다.
[0002] 소형화된 전자 디바이스들 및 컴포넌트들에 대한 계속 증가하는 요구로 인해, 더 큰 회로 밀도들과 함께 더 빠른 프로세싱 능력들에 대한 요구는 이러한 집적 회로 칩들의 제작에 사용되는 재료들, 구조들 및 프로세스들에 대해 대응하는 요구들을 부과한다. 그러나 더 큰 통합 및 성능을 향한 이러한 경향들과 함께, 제조 비용들의 감소가 끊임없이 추구되고 있다.
[0003] 종래에는, 회로 보드들(예컨대, PCB(printed circuit board)들)에 결합된 유기 패키지 기판들에 피처들 및 연결부들을 형성하는 편의성뿐만 아니라, 유기 복합물들과 연관된 비교적 낮은 패키지 제조 비용들로 인해, 집적 회로 칩들이 유기 패키지 기판들 상에 제작되었다. 그러나 회로 밀도들이 증가되고 전자 디바이스들이 더 소형화됨에 따라, 디바이스 스케일링 및 연관된 성능 요건들을 유지하기 위한 재료 구조화 분해능에 대한 제한들로 인해 유기 패키지 기판들 및 종래의 상호 연결 PCB들의 이용은 비현실적이 된다. 더 최근에는, 유기 패키지 기판들과 연관된 제한들 중 일부를 보상하기 위해 재분배 층들로서 수동 실리콘 인터포저(interposer)들을 이용하여 2.5D 및 3D 집적 회로들이 제작되었다. 실리콘 인터포저 이용은, 고급 전자 장착 및 패키징 애플리케이션들에서 추구되는 고 대역폭 밀도, 저전력 칩 대 칩(chip-to-chip) 통신, 및 이종 통합에 대한 가능성에 의해 추진된다. 그러나 실리콘 인터포저들 내의 피처들, 이를테면 TSV(through-silicon via)들의 형성은 여전히 어렵고 비용이 많이 든다. 특히, 고 종횡비(high-aspect-ratio) 실리콘 비아 에칭, 화학 기계적 평탄화 및 반도체 BEOL(back end of line) 상호 연결에 의해 높은 비용들이 부과된다.
[0004] 따라서 당해 기술분야에 필요한 것은 증가된 밀도들을 갖는 개선된 반도체 패키지 및 PCB 코어 어셈블리들 그리고 이를 형성하는 방법들이다.
[0005] 본 개시내용은 일반적으로 전자 장착 구조들 및 이를 형성하는 방법들에 관한 것이다.
[0006] 일 실시예에서, 반도체 디바이스 어셈블리가 제공된다. 반도체 디바이스 어셈블리는 제2 표면 반대편의 제1 표면 및 약 1000㎛ 미만의 두께를 갖는 실리콘 코어 구조를 포함한다. 하나 이상의 전도성 상호 연결부들이 실리콘 코어 구조를 관통하여 형성되고, 제1 표면 및 제2 표면으로부터 돌출된다. 반도체 디바이스 어셈블리는 제1 표면 상에 형성된 제1 재분배 층 및 제2 표면 상에 형성된 제2 재분배 층을 더 포함한다. 제1 재분배 층 및 제2 재분배 층은 각각 상부에 하나 이상의 전도성 접촉부들이 형성된다.
[0007] 일 실시예에서, 반도체 디바이스 어셈블리가 제공된다. 반도체 디바이스 어셈블리는 실리콘 코어 구조, 패시베이팅 층 및 유전체 층을 포함한다. 실리콘 코어 구조는 약 1000㎛ 미만의 두께를 갖는다. 패시베이팅 층은 실리콘 코어 구조를 둘러싸고 열 산화물을 포함한다. 유전체 층은 패시베이팅 층 상에 형성되고, 내부에 실리카 입자들이 배치된 에폭시 수지를 포함한다.
[0008] 일 실시예에서, 반도체 디바이스 어셈블리가 제공된다. 반도체 디바이스는 실리콘 코어 구조, 실리콘 구조를 둘러싸며 열 산화물을 포함하는 패시베이팅 층, 패시베이팅 층을 둘러싸며 에폭시 수지로 형성된 유전체 층, 및 유전체 층 상에 형성된 재분배 층을 포함한다. 재분배 층은, 유전체 층 상에 형성되며 몰리브덴으로 형성된 접착 층, 접착 층 상에 형성된 구리 시드 층, 및 구리 시드 층 상에 형성된 구리 층을 더 포함한다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나 첨부된 도면들은 단지 예시적인 실시예들만을 예시하는 것이며 따라서 그 범위를 제한하는 것으로 간주되지 않아야 하고, 다른 동등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0010] 도 1a는 본 명세서에서 설명되는 일 실시예에 따른 반도체 코어 어셈블리의 단면도를 개략적으로 예시한다.
[0011] 도 1b는 본 명세서에서 설명되는 일 실시예에 따른 반도체 코어 어셈블리의 단면도를 개략적으로 예시한다.
[0012] 도 2는 본 명세서에서 설명되는 일 실시예에 따른, 도 1a 및 도 1b의 반도체 코어 어셈블리들을 형성하기 위한 프로세스를 예시하는 흐름도이다.
[0013] 도 3은 본 명세서에서 설명되는 일 실시예에 따른, 반도체 코어 어셈블리를 위한 기판을 구조화하기 위한 프로세스를 예시하는 흐름도이다.
[0014] 도 4a - 도 4d는 본 명세서에서 설명되는 일 실시예에 따른, 도 3에 도시된 프로세스의 상이한 스테이지들에서의 기판의 단면도들을 개략적으로 예시한다.
[0015] 도 5는 본 명세서에서 설명되는 일 실시예에 따른, 반도체 코어 어셈블리를 위한 코어 구조 상에 절연 층을 형성하기 위한 프로세스를 예시하는 흐름도이다.
[0016] 도 6a - 도 6i는 본 명세서에서 설명되는 일 실시예에 따른, 도 5에 도시된 프로세스의 상이한 스테이지들에서의 코어 구조의 단면도들을 개략적으로 예시한다.
[0017] 도 7은 본 명세서에서 설명되는 일 실시예에 따른, 반도체 코어 어셈블리를 위한 코어 구조 상에 절연 층을 형성하기 위한 프로세스를 예시하는 흐름도이다.
[0018] 도 8a - 도 8e는 본 명세서에서 설명되는 일 실시예에 따른, 도 7에 도시된 프로세스의 상이한 스테이지들에서의 코어 구조의 단면도들을 개략적으로 예시한다.
[0019] 도 9는 본 명세서에서 설명되는 일 실시예에 따른, 반도체 코어 어셈블리에서 상호 연결부들을 형성하기 위한 프로세스를 예시하는 흐름도이다.
[0020] 도 10a - 도 10h는 본 명세서에서 설명되는 일 실시예에 따른, 도 9에 도시된 프로세스의 상이한 스테이지들에서의 반도체 코어 어셈블리의 단면도들을 개략적으로 예시한다.
[0021] 도 11은 본 명세서에서 설명되는 일 실시예에 따른, 반도체 코어 어셈블리 상에 재분배 층을 형성하기 위한 프로세스를 예시하는 흐름도이다.
[0022] 도 12a - 도 12l은 본 명세서에서 설명되는 일 실시예에 따른, 도 11에 도시된 프로세스의 상이한 스테이지들에서의 반도체 코어 어셈블리의 단면도들을 개략적으로 예시한다.
[0023] 도 13a는 본 명세서에서 설명되는 일 실시예에 따른, 반도체 코어 어셈블리를 포함하는 칩 캐리어 구조의 단면도를 개략적으로 예시한다.
[0024] 도 13b는 본 명세서에서 설명되는 일 실시예에 따른 반도체 코어 어셈블리를 포함하는 PCB 구조의 단면도를 개략적으로 예시한다.
[0025] 도 13c는 본 명세서에서 설명되는 일 실시예에 따른 반도체 코어 어셈블리를 포함하는 PCB 구조의 단면도를 개략적으로 예시한다.
[0026] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 가리키기 위해, 가능한 경우, 동일한 참조 부호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들은 추가 언급 없이 다른 실시예들에 유리하게 포함될 수 있다는 것이 고려된다.
[0027] 본 개시내용은 반도체 코어 어셈블리들 및 이를 형성하는 방법들에 관한 것이다. 본 명세서에서 설명되는 반도체 코어 어셈블리들은 반도체 패키지 어셈블리들, PCB 어셈블리들, PCB 스페이서 어셈블리들, 칩 캐리어 어셈블리들, (예컨대, 그래픽 카드들을 위한) 중간 캐리어 어셈블리들 등을 형성하는 데 이용될 수 있다. 일 실시예에서, 실리콘 기판 코어는 직접 레이저 패터닝에 의해 구조화된다. 하나 이상의 전도성 상호 연결부들이 기판 코어에 형성되고, 하나 이상의 재분배 층들이 기판 코어의 표면들 상에 형성된다. 그 후, 실리콘 기판 코어는 반도체 패키지, PCB, PCB 스페이서, 칩 캐리어, 중간 캐리어 등을 위한 코어 구조로서 이용될 수 있다.
[0028] 본 명세서에서 개시되는 방법들 및 장치는 유리 섬유 충전(glass fiber-filled) 에폭시 프레임들을 이용하는 보다 종래의 반도체 패키지, PCB, 및 칩 캐리어 구조들을 대체하도록 의도된 신규한 얇은 폼 팩터(thin-form-factor) 반도체 코어 구조들을 포함한다. 일반적으로, 현재의 반도체 패키지들, PCB들, 스페이서들 및 칩 캐리어들의 확장성은 이러한 다양한 구조들을 형성하는 데 통상적으로 이용되는 재료들(예컨대, 에폭시 몰딩 화합물, 에폭시 수지 바인더들을 갖는 FR-4 및 FR-5 등급 직조 유리 섬유 직물 등)의 평면성 결여 및 강성에 의해 제한된다. 이러한 재료들의 고유 특성들은 그 안에 형성된 미세(예컨대, 미크론 스케일) 피처들을 패터닝하고 이용하는 데 어려움을 야기한다. 더욱이, 현재 이용되는 재료들의 특성들(예컨대, 절연성)의 결과로서, 섬유 유리 프레임들, 보드들, 몰딩 화합물들, 및 이들에 인접하게 배치된 임의의 칩들 사이에서 CTE(coefficient of thermal expansion) 불일치가 발생할 수 있다. 따라서 전류 패키지, PCB, 스페이서 및 캐리어 구조들은 CTE 불일치에 의해 야기되는 임의의 휨의 영향을 완화시키기 위해 더 큰 간격을 갖는 더 큰 솔더 범프들을 필요로 한다. 이에 따라, 종래의 반도체 패키지, PCB, 스페이서 및 캐리어 프레임들은 낮은 구조 전체 전기 대역폭(through-structure electrical bandwidth)들을 특징으로 하여, 감소된 전체 전력 효율을 야기한다. 본 명세서에 개시된 방법들 및 장치는 위에서 설명된 종래의 반도체 패키지, PCB, 스페이서 및 캐리어 구조들과 연관된 단점들 중 다수를 극복하는 반도체 코어 구조들을 제공한다.
[0029] 도 1a 및 도 1b는 일부 실시예들에 따른 얇은 폼 팩터 반도체 코어 어셈블리(100)의 단면도들을 예시한다. 반도체 코어 어셈블리(100)는 상부에 장착된 반도체 패키지들의 구조적 지지 및 전기 상호 연결을 위해 이용될 수 있다. 추가 예들에서, 반도체 코어 어셈블리(100)는 칩 또는 그래픽 카드와 같은 표면 장착 디바이스를 위한 캐리어 구조로서 이용될 수 있다. 반도체 코어 어셈블리(100)는 일반적으로, 코어 구조(102), 선택적인 패시베이팅 층(104) 및 절연 층(118)을 포함한다.
[0030] 일 실시예에서, 코어 구조(102)는 임의의 적절한 기판 재료로 형성된 패터닝된(예컨대, 구조화된) 기판을 포함한다. 예를 들어, 코어 구조(102)는 Ⅲ-Ⅴ 화합물 반도체 재료, 실리콘, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 실리콘, 도핑된 또는 도핑되지 않은 폴리실리콘, 실리콘 질화물, 석영, 유리(예컨대, 붕규산 유리), 사파이어, 알루미나 및/또는 세라믹 재료들로 형성된 기판을 포함한다. 일 실시예에서, 코어 구조(102)는 단결정질 p형 또는 n형 실리콘 기판을 포함한다. 일 실시예에서, 코어 구조(102)는 다결정질 p형 또는 n형 실리콘 기판을 포함한다. 다른 실시예에서, 코어 구조(102)는 p형 또는 n형 실리콘 태양 기판을 포함한다. 코어 구조(102)를 형성하는 데 이용되는 기판은 추가로 다각형 또는 원형 형상을 가질 수 있다. 예를 들어, 코어 구조(102)는 챔퍼형(chamfered) 에지들을 갖는 또는 챔퍼형 에지들 없이, 약 120㎜ 내지 약 180㎜의 측 방향 치수들을 갖는 실질적으로 정사각형 실리콘 기판을 포함할 수 있다. 다른 예에서, 코어 구조(102)는 약 20㎜ 내지 약 700㎜, 이를테면 약 100㎜ 내지 약 50㎜, 예를 들어 약 300㎜의 직경을 갖는 원형 실리콘 함유 웨이퍼를 포함할 수 있다.
[0031] 코어 구조(102)는 약 50㎛ 내지 약 1000㎛의 두께(T1), 이를테면 약 70㎛ 내지 약 800㎛의 두께(T1)를 갖는다. 예를 들어, 코어 구조(102)는 약 80㎛ 내지 약 400㎛의 두께(T1), 이를테면 약 100㎛ 내지 약 200㎛의 두께(T1)를 갖는다. 다른 예에서, 코어 구조(102)는 약 70㎛ 내지 약 150㎛의 두께(T1), 이를테면 약 100㎛ 내지 약 130㎛의 두께(T1)를 갖는다. 다른 예에서, 코어 구조(102)는 약 700㎛ 내지 약 800㎛의 두께(T1), 이를테면 약 725㎛ 내지 약 775㎛의 두께(T1)를 갖는다.
[0032] 코어 구조(102)는 전도성 전기 상호 연결부들이 코어 구조(102)를 통해 라우팅될 수 있게 하도록 내부에 형성된 하나 이상의 홀들 또는 코어 비아들(103)(이하 "코어 비아들"로 지칭됨)을 더 포함한다. 일반적으로, 하나 이상의 코어 비아들(103)은 형상이 실질적으로 원통형이다. 그러나 코어 비아들(103)에 대한 다른 적절한 모폴로지(morphology)들이 또한 고려된다. 코어 비아들(103)은 코어 구조(102)를 관통하여 단일 및 격리된 코어 비아들(103)로서 또는 하나 이상의 그룹들 또는 어레이들로 형성될 수 있다. 일 실시예에서, 각각의 코어 비아(103) 사이의 최소 피치(P1)는 약 1000㎛ 미만, 이를테면 약 25㎛ 내지 약 200㎛이다. 예를 들어, 피치(P1)는 약 40㎛ 내지 약 150㎛이다. 일 실시예에서, 하나 이상의 코어 비아들(103)은 약 500㎛ 미만의 직경(V1), 이를테면 약 250㎛ 미만의 직경(V1)을 갖는다. 예를 들어, 코어 비아들(103)은 약 25㎛ 내지 약 100㎛의 직경(V1), 이를테면 약 30㎛ 내지 약 60㎛의 직경(V1)을 갖는다. 일 실시예에서, 코어 비아들(103)은 약 40㎛의 직경(V1)을 갖는다.
[0033] 선택적인 패시베이팅 층(104)은 제1 표면(106), 제2 표면(108), 및 코어 비아들(103)의 하나 이상의 측벽들을 포함하는, 코어 구조(102)의 하나 이상의 표면들 상에 형성될 수 있다. 일 실시예에서, 패시베이팅 층(104)은, 패시베이팅 층(104)이 코어 구조(102)를 실질적으로 둘러싸도록, 코어 구조(102)의 실질적으로 모든 외부 표면들 상에 형성된다. 따라서 패시베이팅 층(104)은 부식 및 다른 형태들의 손상에 대해 코어 구조(102)에 대한 보호 외측 배리어를 제공한다. 일 실시예에서, 패시베이팅 층(104)은 산화물 막 또는 층, 이를테면 열 산화물 층으로 형성된다. 일부 예들에서, 패시베이팅 층(104)은 약 100㎚ 내지 약 3㎛의 두께, 이를테면 약 200㎚ 내지 약 2.5㎛의 두께를 갖는다. 일례로, 패시베이팅 층(104)은 약 300㎚ 내지 약 2㎛의 두께, 이를테면 약 1.5㎛의 두께를 갖는다.
[0034] 절연 층(118)은 코어 구조(102) 또는 패시베이팅 층(104)의 하나 이상의 표면들 상에 형성되고, 패시베이팅 층(104) 및/또는 코어 구조(102)를 실질적으로 감쌀 수 있다. 따라서 절연 층(118)은 코어 비아들(103) 내로 연장되고, 코어 비아들(103)의 측벽들 상에 형성된 패시베이팅 층(104)을 코팅하거나 코어 구조(102)를 직접 코팅하여, 도 1a에 도시된 바와 같이 직경(V2)을 한정할 수 있다. 일 실시예에서, 절연 층(118)은 코어 구조(102) 또는 패시베이팅 층(104)의 외측 표면으로부터 절연 층(118)의 인접한 외측 표면(예컨대, 주 표면들(105, 107))까지 약 50㎛ 미만인 두께(T2), 이를테면 약 20㎛ 미만의 두께(T2)를 갖는다. 예를 들어, 절연 층(118)은 약 5㎛ 내지 약 10㎛의 두께(T2)를 갖는다.
[0035] 일 실시예에서, 절연 층(118)은 중합체 기반 유전체 재료들로 형성된다. 예를 들어, 절연 층(118)은 유동성 축적 재료로 형성된다. 이에 따라, 이후 "절연 층"으로 지칭되지만, 절연 층(118)은 또한 유전체 층으로서 설명될 수 있다. 추가 실시예에서, 절연 층(118)은 세라믹 충전제, 이를테면 실리카(SiO2) 입자들을 갖는 에폭시 수지 재료로 형성된다. 절연 층(118)을 형성하는 데 이용될 수 있는 세라믹 충전제들의 다른 예들은 알루미늄 질화물(AlN), 알루미늄 산화물(Al2O3), 실리콘 탄화물(SiC), 실리콘 질화물(Si3N4), Sr2Ce2Ti5O16, 지르코늄 실리케이트(ZrSiO4), 규회석(CaSiO3), 베릴륨 산화물(BeO), 세륨 이산화물(CeO2), 붕소 질화물(BN), 칼슘 구리 티타늄 산화물(CaCu3Ti4O12), 마그네슘 산화물(MgO), 티타늄 이산화물(TiO2), 아연 산화물(ZnO) 등을 포함한다. 일부 예들에서, 절연 층(118)을 형성하기 위해 이용되는 세라믹 충전제들은 크기가 약 40㎚ 내지 약 1.5㎛, 이를테면 약 80㎚ 내지 약 1㎛의 범위인 입자들을 갖는다. 예를 들어, 세라믹 충전제들은 크기가 약 200㎚ 내지 약 800㎚, 이를테면 약 300㎚ 내지 약 600㎚의 범위인 입자들을 갖는다. 일부 실시예들에서, 세라믹 충전제들은 코어 구조(102) 내의 인접한 코어 비아들(103)의 폭 또는 직경의 약 10% 미만의 크기, 이를테면 코어 비아들(103)의 폭 또는 직경의 약 5% 미만의 크기를 갖는 입자들을 포함한다.
[0036] 하나 이상의 어셈블리 관통 홀들 또는 비아들(113)(이하, "어셈블리 관통 비아들"로 지칭됨)이 절연 층(118)을 관통하여 형성되며, 여기서 절연 층(118)은 코어 비아들(103) 내로 연장된다. 예를 들어, 어셈블리 관통 비아들(113)은 절연 층(118)이 내부에 배치된 코어 비아들(103) 내에서 중앙에 형성될 수 있다. 이에 따라, 절연 층(118)은 어셈블리 관통 비아들(113)의 하나 이상의 측벽들을 형성하며, 어셈블리 관통 비아들(113)은 코어 비아들(103)의 직경(V1) 미만인 직경(V2)을 갖는다. 일 실시예에서, 어셈블리 관통 비아들(113)은 약 100㎛ 미만, 이를테면 약 75㎛ 미만의 직경(V2)을 갖는다. 예를 들어, 어셈블리 관통 비아들(113)은 약 50㎛ 미만, 이를테면 약 35㎛ 미만의 직경(V2)을 갖는다. 일 실시예에서, 어셈블리 관통 비아들(113)은 약 25㎛ 내지 약 50㎛의 직경, 이를테면 약 35㎛ 내지 약 40㎛의 직경을 갖는다.
[0037] 어셈블리 관통 비아들(113)은 채널들을 제공하며, 이 채널들을 통해 반도체 코어 어셈블리(100)에 하나 이상의 전기 상호 연결부들(144)이 형성된다. 일 실시예에서, 전기 상호 연결부들(144)은 반도체 코어 어셈블리(100)의 전체 두께에 걸쳐(즉, 반도체 코어 어셈블리(100)의 제1 주 표면(105)에서부터 제2 주 표면(107)까지) 형성된다. 예를 들어, 전기 상호 연결부들(144)은 약 50㎛ 내지 약 1000㎛의 반도체 코어 어셈블리(100)의 총 두께에 대응하는 세로 길이, 이를테면 약 200㎛ 내지 약 800㎛의 세로 길이를 가질 수 있다. 일례로, 전기 상호 연결부들(144)은 약 400㎛ 내지 약 600㎛의 세로 길이, 이를테면 약 500㎛의 세로 길이를 갖는다. 다른 실시예에서, 전기 상호 연결부들(144)은 반도체 코어 어셈블리(100)의 두께의 일부를 관통하여 형성된다. 추가 실시예들에서, 전기 상호 연결부들(144)은 도 1a에 도시된 바와 같이, 주 표면들(105, 107)과 같은 반도체 코어 어셈블리(100)의 주 표면으로부터 돌출될 수 있다. 전기 상호 연결부들(144)은 집적 회로들, 회로 보드들, 칩 캐리어들 등의 분야에서 사용되는 임의의 전도성 재료들로 형성될 수 있다. 예를 들어, 전기 상호 연결부들(144)은 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등과 같은 금속성 재료로 형성된다.
[0038] 도 1a에 도시된 실시예에서, 전기 상호 연결부들(144)은 이들이 형성되는 어셈블리 관통 비아들(113)의 직경(V2)과 동일한 측 방향 두께를 갖는다. 도 1b에 도시된 바와 같은 다른 실시예에서, 반도체 코어 어셈블리(100)는 전기 상호 연결부들(144)의 전기적 격리를 위해 접착 층(140) 및/또는 그 위에 형성된 시드 층(142)을 더 포함한다. 일 실시예에서, 접착 층(140)은 어셈블리 관통 비아들(113)의 측벽들을 포함하여 전기 상호 연결부들(144)에 인접한 절연 층(118)의 표면들 상에 형성된다. 따라서 도 1b에 도시된 바와 같이, 전기 상호 연결부들(144)은 이들이 형성되는 어셈블리 관통 비아들(113)의 직경(V2) 미만의 측 방향 두께를 갖는다. 또 다른 실시예에서, 전기 상호 연결부들(144)은 어셈블리 관통 비아들(113)의 측벽들의 표면들만을 덮고, 따라서 이들을 관통하는 중공 코어를 가질 수 있다.
[0039] 접착 층(140)은 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 망간, 망간 산화물, 몰리브덴, 코발트 산화물, 코발트 질화물 등을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 재료들로 형성될 수 있다. 일 실시예에서, 접착 층(140)은 약 10㎚ 내지 약 300㎚, 이를테면 약 50㎚ 내지 약 150㎚의 두께(B1)를 갖는다. 예를 들어, 접착 층(140)은 약 75㎚ 내지 약 125㎚, 이를테면 약 100㎚의 두께(B1)를 갖는다.
[0040] 선택적인 시드 층(142)은 구리, 텅스텐, 알루미늄, 은, 금, 또는 임의의 다른 적절한 재료들 또는 이들의 조합들을 포함하는(그러나 이에 제한되지 않음) 전도성 재료를 포함한다. 시드 층(142)은 접착 층(140) 상에 또는 어셈블리 관통 비아들(113)의 측벽들 상에 직접(예컨대, 사이에 접착 층 없이 절연 층(118) 상에) 형성될 수 있다. 일 실시예에서, 시드 층(142)은 약 50㎚ 내지 약 500㎚, 이를테면 약 100㎚ 내지 약 300㎚의 두께를 갖는다. 예를 들어, 시드 층(142)은 약 150㎚ 내지 약 250㎚, 이를테면 약 200㎚의 두께를 갖는다.
[0041] 도 1b에 도시된 바와 같은 일부 실시예들에서, 반도체 코어 어셈블리(100)는 반도체 코어 어셈블리(100)의 제1 면(175) 및/또는 제2 면(177) 상에 형성된 하나 이상의 재분배 층들(150)을 더 포함한다(재분배 층(150)은 도 1b에서 제2 면(177) 상에 형성되는 것으로 도시됨). 일 실시예에서, 재분배 층(150)은 절연 층(118)과 실질적으로 동일한 재료들(예컨대, 중합체 기반 유전체 재료들)로 형성되어, 그의 연장부를 형성한다. 다른 실시예들에서, 재분배 층(150)은 절연 층(118)과 상이한 재료로 형성된다. 예를 들어, 재분배 층(150)은 감광성(photodefinable) 폴리이미드 재료, 비-감광성 폴리이미드, 폴리벤족사졸(PBO), 벤조시클로부텐(BCB), 실리콘 이산화물 및/또는 실리콘 질화물로 형성될 수 있다. 다른 예에서, 재분배 층(150)은 절연 층(118)과는 다른 무기 유전체 재료로 형성된다. 일 실시예에서, 재분배 층(150)은 약 5㎛ 내지 약 50㎛의 두께, 이를테면 약 10㎛ 내지 약 40㎛의 두께를 갖는다. 예를 들어, 재분배 층(150)은 약 20㎛ 내지 약 30㎛, 이를테면 약 25㎛의 두께를 갖는다.
[0042] 재분배 층(150)은 전기 상호 연결부들(144)의 접촉점들을 반도체 코어 어셈블리(100)의 표면들, 이를테면 주 표면들(105, 107) 상의 원하는 위치들에 재배치하기 위해 재분배 비아들(153)을 통해 형성된 하나 이상의 재분배 연결부들(154)을 포함할 수 있다. 일부 실시예들에서, 재분배 층(150)은 주 표면들(105, 107) 상에 형성된 (도시되지 않은) 하나 이상의 외부 전기 연결부들, 이를테면 볼 그리드 어레이 또는 솔더 볼들을 더 포함할 수 있다. 일반적으로, 재분배 비아들(153) 및 재분배 연결부들(154)은 각각, 어셈블리 관통 비아들(113) 및 전기 상호 연결부들(144)에 대해 실질적으로 유사하거나 더 작은 측 방향 치수들을 갖는다. 예를 들어, 재분배 비아들(153)은 약 2㎛ 내지 약 50㎛의 직경(V3), 이를테면 약 10㎛ 내지 약 40㎛의 직경(V3), 이를테면 약 20㎛ 내지 약 30㎛의 직경(V3)을 갖는다. 더욱이, 재분배 층(150)은 재분배 비아들(153)의 측벽들을 포함하여, 재분배 연결부들(154)에 인접한 표면들 상에 형성된 접착 층(140) 및 시드 층(142)을 포함할 수 있다.
[0043] 도 2는 반도체 코어 어셈블리를 형성하는 대표적인 방법(200)의 흐름도를 예시한다. 이 방법(200)은 다수의 동작들(210, 220, 230, 240)을 갖는다. 각각의 동작은 도 3 - 도 12l을 참조하여 보다 상세하게 설명된다. 이 방법은 정의된 동작들 중 임의의 동작 전에, 정의된 동작들 중 2개의 동작들 사이에, 또는 정의된 모든 동작들 이후에 (맥락이 가능성을 배제하는 경우를 제외하고) 실행되는 하나 이상의 추가 동작들을 포함할 수 있다.
[0044] 일반적으로, 이 방법(200)은 동작(210)에서, 코어 구조(예컨대, 프레임)로서 이용될 기판을 구조화하는 단계를 포함하며, 이는 도 3 및 도 4a - 도 4d를 참조하여 보다 상세히 추가 설명된다. 동작(220)에서, 절연 층이 코어 구조(102) 상에 형성되며, 이는 도 5, 도 6a - 도 6i, 도 7 및 도 8a - 도 8e를 참조하여 보다 상세히 추가 설명된다. 동작(230)에서, 코어 구조(102) 및 절연 층을 통해 하나 이상의 상호 연결부들이 형성되며, 이는 도 9 및 도 10a - 도 10h를 참조하여 보다 상세히 추가 설명된다. 동작(240)에서, 조립된 코어 어셈블리의 표면 상의 원하는 위치들로 상호 연결부들의 접촉점들을 재배치하기 위해 절연 층 상에 재분배 층이 형성되고, 그 후에 코어 어셈블리가 싱귤레이팅(singulate)된다. 일부 실시예들에서, 제1 재분배 층 외에도 하나 이상의 추가 재분배 층들이 형성될 수 있으며, 이는 도 11 및 도 12a - 도 12l을 참조하여 보다 상세히 설명된다.
[0045] 도 3은 코어 구조로서 이용될 기판(400)을 구조화하기 위한 대표적인 방법(300)의 흐름도를 예시한다. 도 4a - 도 4d는 도 3에 표현된 기판 구조화 프로세스(300)의 다양한 스테이지들에서의 기판(400)의 단면도들을 개략적으로 예시한다. 따라서 명확성을 위해 도 3 및 도 4a - 도 4d가 본 명세서에서 함께 설명된다.
[0046] 이 방법(300)은 동작(310) 및 대응하는 도 4a에서 시작된다. 위의 코어 구조(102)를 참조하여 설명된 바와 같이, 기판(400)은 Ⅲ-Ⅴ 화합물 반도체 재료, 실리콘, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 실리콘, 도핑된 또는 도핑되지 않은 폴리실리콘, 실리콘 질화물, 석영, 유리 재료(예컨대, 붕규산 유리), 사파이어, 알루미나 및/또는 세라믹 재료를 포함하는(그러나 이에 제한되지 않는) 임의의 적절한 기판 재료로 형성된다. 일 실시예에서, 기판(400)은 단결정질 p형 또는 n형 실리콘 기판이다. 일 실시예에서, 기판(400)은 다결정질 p형 또는 n형 실리콘 기판이다. 다른 실시예에서, 기판(400)은 p형 또는 n형 실리콘 태양 기판이다.
[0047] 기판(400)은 추가로 다각형 또는 원형 형상을 가질 수 있다. 예를 들어, 기판(400)은 챔퍼형(chamfered) 에지들을 갖는 또는 챔퍼형 에지들 없이, 약 140㎜ 내지 약 180㎜의 측 방향 치수들을 갖는 실질적으로 정사각형 실리콘 기판을 포함할 수 있다. 다른 예에서, 기판(400)은 약 20㎜ 내지 약 700㎜, 이를테면 약 100㎜ 내지 약 500㎜, 예를 들어 약 300㎜의 직경을 갖는 원형 실리콘 함유 웨이퍼를 포함할 수 있다. 달리 언급되지 않는 한, 본 명세서에서 설명되는 실시예들 및 예들은 약 50㎛ 내지 약 1000㎛의 두께, 이를테면 약 90㎛ 내지 약 780㎛의 두께를 갖는 기판들에 대해 수행된다. 예를 들어, 기판(400)은 약 100㎛ 내지 약 300㎛의 두께, 이를테면 약 110㎛ 내지 약 200㎛의 두께를 갖는다.
[0048] 동작(310) 전에, 기판(400)은 줄톱질(wire sawing), 스크라이빙 및 절단, 기계적 연삭 톱질(mechanical abrasive sawing) 또는 레이저 커팅에 의해 벌크 재료로부터 슬라이싱되어 분리될 수 있다. 슬라이싱은 통상적으로, 슬라이싱으로부터 형성된, 기판 표면들에 기계적 결함들 또는 변형들, 이를테면 스크래치들, 미세 균열, 치핑(chipping) 및 다른 기계적 결함들을 야기한다. 따라서 기판(400)은 동작(310)에서 제1 손상 제거 프로세스에 노출되어, 기판(400)의 표면들을 평활화 및 평탄화하고, 추후의 구조화 동작들을 위한 준비로 기계적 결함들을 제거한다. 일부 실시예들에서, 기판(400)은 제1 손상 프로세스의 프로세스 파라미터들을 조정함으로써 더 얇아질 수 있다. 예를 들어, 기판(400)의 두께는 제1 손상 제거 프로세스에 대한 노출이 증가함에 따라 감소될 수 있다.
[0049] 동작(310)에서의 제1 손상 제거 프로세스는 기판(400)을 기판 연마 프로세스 및/또는 에칭 프로세스에, 그리고 이어서 린싱(rinsing) 및 건조 프로세스들에 노출시키는 것을 포함한다. 일부 실시예들에서, 동작(310)은 CMP(chemical mechanical polishing) 프로세스를 포함한다. 일 실시예에서, 에칭 프로세스는 원하는 재료(예컨대, 오염물들 및 다른 바람직하지 않은 화합물들)의 제거에 대해 선택적인 버퍼링된 에칭 프로세스를 포함하는 습식 에칭 프로세스이다. 다른 실시예들에서, 에칭 프로세스는 등방성 수성 에칭 프로세스를 이용하는 습식 에칭 프로세스이다. 임의의 적절한 습식 에천트 또는 습식 에천트들의 조합이 습식 에칭 프로세스에 사용될 수 있다. 일 실시예에서, 기판(400)은 에칭을 위해 수성 HF 에칭 용액에 침지된다. 다른 실시예에서, 기판(400)은 에칭을 위해 수성 KOH 에칭 용액에 침지된다.
[0050] 일부 실시예들에서, 에칭 용액은 에칭 프로세스 동안 약 30℃ 내지 약 100℃, 이를테면 약 40℃ 내지 90℃의 온도로 가열된다. 예를 들어, 에칭 용액은 약 70℃의 온도로 가열된다. 또 다른 실시예들에서, 동작(310)에서의 에칭 프로세스는 건식 에칭 프로세스이다. 건식 에칭 프로세스의 일례는 플라즈마 기반 건식 에칭 프로세스를 포함한다. 기판(400)의 두께는 에칭 프로세스 동안 이용되는 에천트들(예컨대, 에칭 용액)에 대한 기판(400)의 노출 시간을 제어함으로써 조절된다. 예를 들어, 기판(400)의 최종 두께는 에천트들에 대한 증가된 노출에 따라 감소된다. 대안으로, 기판(400)은 에천트들에 대한 감소된 노출로 더 큰 최종 두께를 가질 수 있다.
[0051] 동작(320)에서, 이제 평탄화되고 실질적으로 결함이 없는 기판(400)이 그 안에 하나 이상의 코어 비아들(403)을 형성하도록 패터닝된다(도 4b에서는 기판(400)의 단면에 4개의 코어 비아들(403)이 도시된다). 코어 비아들(403)은 기판(400)을 관통하여 직접 접촉 전기 상호 연결부들을 형성하는 데 이용된다.
[0052] 일반적으로, 하나 이상의 코어 비아들(403)은 레이저 삭마(예컨대, 직접 레이저 패터닝)에 의해 형성될 수 있다. 임의의 적절한 레이저 삭마 시스템이 하나 이상의 코어 비아들(403)을 형성하는 데 이용될 수 있다. 일부 예들에서, 레이저 삭마 시스템은 IR(infrared) 레이저 소스를 이용한다. 일부 예들에서, 레이저 소스는 피코초 UV(ultraviolet) 레이저이다. 다른 예들에서, 레이저는 펨토초 UV 레이저이다. 또 다른 예들에서, 레이저 소스는 펨토초 녹색 레이저이다. 레이저 삭마 시스템의 레이저 소스는 기판(400)의 패터닝을 위한 연속 또는 펄스형 레이저 빔을 생성한다. 예를 들어, 레이저 소스는 5㎑ 내지 500㎑, 이를테면 10㎑ 내지 약 200㎑의 주파수를 갖는 펄스형 레이저 빔을 생성할 수 있다. 일례로, 레이저 소스는 약 200㎚ 내지 약 1200㎚의 파장으로 약 10와트 내지 약 100와트의 출력 전력으로 약 10㎱ 내지 약 5000㎱의 펄스 지속기간에 펄스형 레이저 빔을 전달하도록 구성된다. 레이저 소스는 코어 비아들(403)을 포함하는 임의의 원하는 패턴의 피처들을 기판(400)에 형성하도록 구성된다.
[0053] 일부 실시예들에서, 기판(400)은 패터닝되기 전에 (도시되지 않은) 캐리어 플레이트에 선택적으로 결합된다. 선택적인 캐리어 플레이트는 기판(400)의 패터닝 동안 기판(400)에 대한 기계적 지지를 제공할 수 있고, 기판(400)이 파손되는 것을 방지할 수 있다. 캐리어 플레이트는 유리, 세라믹, 금속 등을 포함하는(그러나 이에 제한되지 않는) 임의의 적절한 화학적으로 그리고 열적으로 안정적인 강성 재료로 형성될 수 있다. 일부 예들에서, 캐리어 플레이트는 약 1㎜ 내지 약 10㎜, 이를테면 약 2㎜ 내지 약 5㎜의 두께를 갖는다. 일 실시예에서, 캐리어 플레이트는 텍스처링된 표면을 갖는다. 다른 실시예들에서, 캐리어 플레이트는 연마된 또는 평활화된 표면을 갖는다. 기판(400)은 왁스, 아교, 또는 유사한 접합 재료를 포함하는(그러나 이에 제한되지 않는) 임의의 적절한 임시 접합 재료를 이용하여 캐리어 플레이트에 결합될 수 있다.
[0054] 일부 실시예들에서, 기판(400)을 패터닝하는 것은, 치핑, 균열 및/또는 뒤틀림을 포함하는 원치 않는 기계적 결함들을 기판(400)의 표면들에 야기할 수 있다. 따라서 기판(400)에 코어 비아들(403)을 형성하기 위해 동작(320)을 수행한 후에, 동작(310)에서의 제1 손상 제거 프로세스와 실질적으로 유사하게 동작(330)에서 제2 손상 제거 및 세정 프로세스에 기판(400)이 노출되어 기판(400)의 표면들을 매끄럽게 하고 원치 않는 파편을 제거한다. 위에서 설명된 바와 같이, 제2 손상 제거 프로세스는 기판(400)을 습식 또는 건식 에칭 프로세스에 노출시킨 다음, 기판(400)을 린싱 및 건조하는 것을 포함한다. 에칭 프로세스는 미리 결정된 지속기간 동안 진행되어 기판(400)의 표면들, 특히 레이저 패터닝 동작들에 노출된 표면들을 평활화한다. 다른 양상에서, 에칭 프로세스는 패터닝 프로세스로부터 기판(400) 상에 남은 임의의 원치 않는 파편들을 제거하는 데 이용된다.
[0055] 동작(330)에서 기판(400)에서의 기계적 결함들의 제거 후에, 기판(400)은 동작(340) 및 도 4d에서 패시베이션 프로세스에 노출되어 기판(400)의 원하는 표면들(예컨대, 기판(400)의 모든 표면들) 상에 패시베이팅 막 또는 층, 이를테면 산화물 층(404)을 성장 또는 증착한다. 일 실시예에서, 패시베이션 프로세스는 열 산화 프로세스이다. 열 산화 프로세스는 약 800℃ 내지 약 1200℃, 이를테면 약 850℃ 내지 약 1150℃의 온도에서 수행된다. 예를 들어, 열 산화 프로세스는 약 900℃ 내지 약 1100℃의 온도, 이를테면 약 950℃ 내지 약 1050℃의 온도에서 수행된다. 일 실시예에서, 열 산화 프로세스는 산화제로서 수증기를 이용하는 습식 산화 프로세스이다. 일 실시예에서, 열 산화 프로세스는 산화제로서 분자 산소를 이용하는 건식 산화 프로세스이다. 기판(400)은 동작(340)에서 임의의 적절한 패시베이션 프로세스에 노출되어 상부에 산화물 층(404) 또는 임의의 다른 적절한 패시베이팅 층을 형성할 수 있다는 점이 고려된다. 결과적인 산화물 층(404)은 일반적으로, 약 100㎚ 내지 약 3㎛, 이를테면 약 200㎚ 내지 약 2.5㎛의 두께를 갖는다. 예를 들어, 산화물 층(404)은 약 300㎚ 내지 약 2㎛, 이를테면 약 1.5㎛의 두께를 갖는다.
[0056] 패시베이션 시에, 기판(400)은 코어 어셈블리, 이를테면 반도체 코어 어셈블리(100)의 형성을 위한 코어 구조(402)로서 이용될 준비가 된다. 도 5 및 도 7은 코어 구조(402) 상에 절연 층(618)을 형성하기 위한 대표적인 방법들(500, 700)의 흐름도들을 각각 예시한다. 도 6a - 도 6i는 도 5에 도시된 방법(500)의 상이한 스테이지들에서의 코어 구조(402)의 단면도들을 개략적으로 예시하고, 도 8a - 도 8e는 도 7에 도시된 방법(700)의 상이한 스테이지들에서의 코어 구조(402)의 단면도들을 개략적으로 예시한다. 명확성을 위해, 도 5와 도 6a - 도 6i가 본 명세서에서 함께 설명되고, 도 7과 도 8a - 도 8e가 본 명세서에서 함께 설명된다.
[0057] 일반적으로, 이 방법(500)은 동작(502) 및 도 6a에서 시작되며, 여기서는 제1 면(475)에서의 코어 구조(402)― 이제 코어 비아들(403)이 내부에 형성되고 산화물 층(404)이 상부에 형성되어 있음 ―의 제1 표면(406)이 제1 절연 막(616a) 상에 배치되어 그에 부착된다. 일 실시예에서, 제1 절연 막(616a)은 중합체 기반 유전체 재료들로 형성된 하나 이상의 층들을 포함한다. 예를 들어, 제1 절연 막(616a)은 유동성 축적 재료들로 형성된 하나 이상의 층들을 포함한다. 일 실시예에서, 제1 절연 막(616a)은 유동성 에폭시 수지 층(618a)을 포함한다. 일반적으로, 에폭시 수지 층(618a)은 약 60㎛ 미만, 이를테면 약 5㎛ 내지 약 50㎛의 두께를 갖는다. 예를 들어, 에폭시 수지 층(618a)은 약 10㎛ 내지 약 25㎛의 두께를 갖는다.
[0058] 에폭시 수지 층(618a)은 세라믹 충전제 함유 에폭시 수지, 이를테면 실리카(SiO2) 입자들로 충전된(예컨대, 함유) 에폭시 수지로 형성될 수 있다. 에폭시 수지 층(618a) 및 절연 막(616a)의 다른 층들을 형성하는 데 사용될 수 있는 세라믹 충전제들의 다른 예들은 알루미늄 질화물(AlN), 알루미늄 산화물(Al2O3), 실리콘 탄화물(SiC), 실리콘 질화물(Si3N4), Sr2Ce2Ti5O16, 지르코늄 실리케이트(ZrSiO4), 규회석(CaSiO3), 베릴륨 산화물(BeO), 세륨 이산화물(CeO2), 붕소 질화물(BN), 칼슘 구리 티타늄 산화물(CaCu3Ti4O12), 마그네슘 산화물(MgO), 티타늄 이산화물(TiO2), 아연 산화물(ZnO) 등을 포함한다. 일부 예들에서, 에폭시 수지 층(618a)을 형성하기 위해 이용되는 세라믹 충전제들은 크기가 약 40㎚ 내지 약 1.5㎛, 이를테면 약 80㎚ 내지 약 1㎛의 범위인 입자들을 갖는다. 예를 들어, 에폭시 수지 층(618a)을 형성하기 위해 이용되는 세라믹 충전제들은 크기가 약 200㎚ 내지 약 800㎚, 이를테면 약 300㎚ 내지 약 600㎚의 범위인 입자들을 갖는다.
[0059] 일부 실시예들에서, 제1 절연 막(616a)은 하나 이상의 보호 층들을 더 포함한다. 예를 들어, 제1 절연 막(616a)은 폴리에틸렌 테레프탈레이트(PET) 보호 층(622a), 이를테면 2축(biaxial) PET 보호 층(622a)을 포함한다. 그러나 임의의 적절한 수 및 조합의 층들 및 재료들이 제1 절연 막(616a)에 대해 고려된다. 일부 실시예들에서, 전체 절연 막(616a)은 약 120㎛ 미만의 두께, 이를테면 약 90㎛ 미만의 두께를 갖는다.
[0060] 일부 실시예들에서, 코어 구조(402)를 제1 절연 막(616a)에 부착한 후, 이어서 코어 구조(402)는 이후의 프로세싱 동작들 동안 추가 기계적 안정화를 위해 코어 구조(402)의 제1 면(475)에 인접한 캐리어(624) 상에 배치될 수 있다. 일반적으로, 캐리어(624)는 100℃를 넘는 온도들을 견딜 수 있는 임의의 적절한 기계적으로 그리고 열적으로 안정적인 재료로 형성된다. 예를 들어, 일 실시예에서, 캐리어(624)는 폴리테트라플루오로에틸렌(PTFE)을 포함한다. 다른 예에서, 캐리어(624)는 폴리에틸렌 테레프탈레이트(PET)로 형성된다.
[0061] 동작(504) 및 도 6b에서, 제1 보호 막(660)이 코어 구조(402)의 제2 면(477) 상의 제2 표면(408)에 부착된다. 보호 막(660)은 코어 비아들(403)을 덮도록, 제1 절연 막(616a)의 반대편에서 제2 면(477) 상의 코어 구조(402)에 결합된다. 일 실시예에서, 보호 막(660)은 보호 층(622a)의 재료와 유사한 재료로 형성된다. 예를 들어, 보호 막(660)은 2축 PET와 같은 PET로 형성된다. 그러나 보호 막(660)은 임의의 적절한 보호 재료들로 형성될 수 있다. 일부 실시예들에서, 보호 막(660)은 약 50㎛ 내지 약 150㎛의 두께를 갖는다.
[0062] 이제 제1 면(475)의 절연 막(616a) 및 제2 면(477)의 보호 막(660)에 부착된 코어 구조(402)가 동작(506)에서 제1 적층 프로세스에 노출된다. 적층 프로세스 동안, 코어 구조(402)는 상승된 온도들에 노출되어, 절연 막(616a)의 에폭시 수지 층(618a)이 연화되고, 절연 막(616a)과 보호 막(660) 사이의 개방 공극들 또는 볼륨들 내로, 이를테면 코어 비아들(403) 내로 유동하게 한다. 이에 따라 도 6c에 도시된 바와 같이, 코어 비아들(403)은 에폭시 수지 층(618a)의 절연 재료로 적어도 부분적으로 충전(예컨대, 점유)된다. 또한, 코어 구조(402)는 에폭시 수지 층(618a)의 절연 재료에 의해 부분적으로 둘러싸인다.
[0063] 일 실시예에서, 적층 프로세스는 오토클레이브(autoclave) 또는 다른 적절한 디바이스에서 수행될 수 있는 진공 적층 프로세스이다. 일 실시예에서, 적층 프로세스는 고온 프레싱 프로세스의 사용에 의해 수행된다. 일 실시예에서, 적층 프로세스는 약 80℃ 내지 약 140℃의 온도에서 그리고 약 1분 내지 약 30분의 기간 동안 수행된다. 일부 실시예들에서, 적층 프로세스는 약 1psig 내지 약 150psig의 압력의 인가를 포함하는 한편, 약 1분 내지 약 30분의 기간 동안 코어 구조(402) 및 절연 막(616a)에 약 80℃ 내지 약 140℃의 온도가 인가된다. 예를 들어, 적층 프로세스는 약 2분 내지 약 10분의 기간 동안 약 10psig 내지 약 100psig의 압력 및 약 100℃ 내지 약 120℃의 온도를 인가함으로써 수행된다. 예를 들어, 적층 프로세스는 약 5분의 기간 동안 약 110℃의 온도에서 수행된다.
[0064] 동작(508)에서, 보호 막(660)이 제거되고, 이제 코어 구조(402)를 적어도 부분적으로 둘러싸며 코어 비아들(403)을 부분적으로 충전하는 에폭시 수지 층(618a)의 적층된 절연 재료를 갖는 코어 구조(402)가 제2 보호 막(662) 상에 배치된다. 도 6d에 도시된 바와 같이, 제2 보호 막(662)이 절연 막(616a)의 보호 층(622a)에 대해(예컨대, 인접하게) 배치되도록, 제2 보호 막(662)이 제1 면(475)에 인접하게 코어 구조(402)에 결합된다. 일부 실시예들에서, 이제 보호 막(662)에 결합된 코어 구조(402)는 선택적으로, 제1 면(475) 상의 추가 기계적 지지를 위해 캐리어(624) 상에 배치될 수 있다. 일부 실시예들에서, 코어 구조(402)와 보호 막(662)을 결합하기 전에 캐리어(624) 상에 보호 막(662)이 배치된다. 일반적으로, 보호 막(662)은 보호 막(660)과 조성이 실질적으로 유사하다. 예를 들어, 보호 막(662)은 2축 PET와 같은 PET로 형성될 수 있다. 그러나 보호 막(662)은 임의의 적절한 보호 재료들로 형성될 수 있다. 일부 실시예들에서, 보호 막(662)은 약 50㎛ 내지 약 150㎛의 두께를 갖는다.
[0065] 코어 구조(402)를 제2 보호 막(662)에 결합할 때, 동작(510) 및 도 6e에서 제1 절연 막(616a)과 실질적으로 유사한 제2 절연 막(616b)이 제2 면(477) 위에 배치되어, 보호 막(660)을 대체한다. 일 실시예에서, 제2 절연 막(616b)의 에폭시 수지 층(618b)이 코어 비아들(403)을 덮도록, 제2 절연 막(616b)이 코어 구조(402)의 제2 면(477) 상에 포지셔닝된다. 일 실시예에서, 코어 구조(402) 상의 제2 절연 막(616b)의 배치는 코어 구조(402)를 부분적으로 둘러싸고 코어 비아들(403)을 부분적으로 충전하는 에폭시 수지 층(618a)의 이미 적층된 절연 재료와 절연 막(616b) 사이에 하나 이상의 공극들을 형성할 수 있다. 제2 절연 막(616b)은 절연 막(616a)과 유사한 중합체 기반 유전체 재료들로 형성된 하나 이상의 층들을 포함할 수 있다. 도 6e에 도시된 바와 같이, 제2 절연 막(616b)은 위에서 설명된 에폭시 수지 층(618a)과 실질적으로 유사한 에폭시 수지 층(618b)을 포함한다. 제2 절연 막(616b)은 보호 층(622a)과 유사한 재료들, 이를테면 PET로 형성된 보호 층(622b)을 더 포함할 수 있다.
[0066] 동작(512)에서는, 도 6f에 도시된 바와 같이, 제2 절연 막(616b) 위에 제3 보호 막(664)이 배치된다. 일반적으로, 보호 막(664)은 보호 막들(660, 662)과 조성이 실질적으로 유사하다. 예를 들어, 보호 막(664)은 2축 PET와 같은 PET로 형성된다. 그러나 보호 막(664)은 임의의 적절한 보호 재료들로 형성될 수 있다. 일부 실시예들에서, 보호 막(664)은 약 50㎛ 내지 약 150㎛의 두께를 갖는다.
[0067] 이제 제2 면(477) 상의 절연 막(616b) 및 보호 막(664) 그리고 제1 면(475) 상의 보호 막(662) 및 선택적인 캐리어(624)에 부착된 코어 구조(402)가 동작(514) 및 도 6g에서 제2 적층 프로세스에 노출된다. 동작(504)에서의 적층 프로세스와 유사하게, 코어 구조(402)는 상승된 온도들에 노출되어, 절연 막(616b)의 에폭시 수지 층(618b)이 연화되고, 절연 막(616b)과 에폭시 수지 층(618a)의 이미 적층된 절연 재료 사이의 임의의 개방 공극들 또는 볼륨들 내로 유동하게 하며, 이에 따라 코어 구조(402)가 에폭시 수지 층(618a)의 절연 재료와 통합된다. 이에 따라, 코어 비아들(403)은 에폭시 수지 층들(618a, 618b) 모두의 절연 재료로 완전히 충전(예컨대, 패킹, 밀폐)된다.
[0068] 일 실시예에서, 제2 적층 프로세스는 오토클레이브 또는 다른 적절한 디바이스에서 수행될 수 있는 진공 적층 프로세스이다. 일 실시예에서, 적층 프로세스는 고온 프레싱 프로세스의 사용에 의해 수행된다. 일 실시예에서, 적층 프로세스는 약 80℃ 내지 약 140℃의 온도에서 그리고 약 1분 내지 약 30분의 기간 동안 수행된다. 일부 실시예들에서, 적층 프로세스는 약 1psig 내지 약 150psig의 압력의 인가를 포함하는 한편, 약 1분 내지 약 30분의 기간 동안 코어 구조(402) 및 절연 막(616a)에 약 80℃ 내지 약 140℃의 온도가 인가된다. 예를 들어, 적층 프로세스는 약 2분 내지 약 10분의 기간 동안 약 10psig 내지 약 100psig의 압력 및 약 100℃ 내지 약 120℃의 온도를 인가함으로써 수행된다. 예를 들어, 적층 프로세스는 약 5분의 기간 동안 약 110℃의 온도에서 수행된다.
[0069] 적층 후에, 동작(516)에서 코어 구조(402)가 캐리어(624)로부터 분리되고, 보호 막들(662, 664)이 제거되어, 적층된 중간 코어 어셈블리(602)가 된다. 도 6h에 도시된 바와 같이, 중간 코어 어셈블리(602)는 코어 구조(402)를 포함하며, 이 코어 구조(402)를 관통하여 하나 이상의 코어 비아들(403)이 형성되고 절연 막들(616a, 616b)의 절연 유전체 재료로 충전된다. 에폭시 수지 층들(618a, 618b)의 절연 유전체 재료는, 절연 재료가 코어 구조(402)의 적어도 2개의 표면들 또는 측면들(예컨대, 표면들(406, 408))을 덮도록, 상부에 산화물 층(404)이 형성된 코어 구조(402)를 추가로 감싼다. 일부 예들에서는, 동작(516)에서 중간 코어 어셈블리(602)로부터 보호 층들(622a, 622b)이 또한 제거된다. 일반적으로, 보호 층들(622a, 622b), 캐리어(624) 및 보호 막들(662, 664)은 중간 코어 어셈블리(602)로부터의 필링(peeling)과 같은 임의의 적절한 기계적 프로세스들에 의해 중간 코어 어셈블리(602)로부터 제거된다.
[0070] 보호 층들(622a, 622b) 및 보호 막들(662, 664)의 제거 시에, 중간 코어 어셈블리(602)가 경화(cure) 프로세스에 노출되어 에폭시 수지 층들(618a, 618b)의 절연 유전체 재료를 완전히 경화(즉, 화학 반응들 및 가교결합을 통해 경화)하고, 이에 따라 절연 층(618)을 형성한다. 절연 층(618)은 코어 구조(402)를 실질적으로 둘러싸고 코어 비아들(403)을 충전한다. 예를 들어, 절연 층(618)은 (표면들(406, 408)을 포함하는) 코어 구조(402)의 적어도 면들(475, 477)과 접촉하거나 이들을 캡슐화한다.
[0071] 일 실시예에서, 경화 프로세스는 중간 코어 어셈블리(602)를 완전히 경화시키기 위해 고온에서 수행된다. 예를 들어, 경화 프로세스는 약 140℃ 내지 약 220℃의 온도에서 그리고 약 15분 내지 약 45분의 기간 동안, 이를테면 약 160℃ 내지 약 200℃의 온도에서 그리고 약 25분 내지 약 35분의 기간 동안 수행된다. 예를 들어, 경화 프로세스는 약 30분의 기간 동안 약 180℃의 온도에서 수행된다. 추가 실시예들에서, 동작(516)에서의 경화 프로세스는 주변(예컨대, 대기) 압력 조건들에서 또는 그 근처에서 수행된다.
[0072] 경화 후에, 동작(518)에서 중간 코어 어셈블리(602)를 관통하여 하나 이상의 어셈블리 관통 비아들(613)이 천공되어, 후속 상호 연결부 형성을 위해 중간 코어 어셈블리(602)의 전체 두께를 관통하는 채널들을 형성한다. 일부 실시예들에서, 중간 코어 어셈블리(602)는 어셈블리 관통 비아들(613)의 형성 중에 기계적 지지를 위해 캐리어(624)와 같은 캐리어 상에 배치될 수 있다. 어셈블리 관통 비아들(613)은 코어 구조(402)에 형성된 코어 비아들(403)을 관통하여 천공되고, 이어서 절연 층(618)으로 충전된다. 따라서 어셈블리 관통 비아들(613)은 코어 비아들(403) 내에 충전된 절연 층(618)에 의해 원주 방향으로 둘러싸일 수 있다. 절연 층(618)의 세라믹 충전제 함유 에폭시 수지 재료가 코어 비아들(403)의 벽들을 라이닝하게 함으로써, (도 11과 도 12k 및 도 12l을 참조하여 설명되는) 완성된(예컨대, 최종) 반도체 코어 어셈블리(1270)에서의 (도 9 및 도 10a - 도 10h를 참조하여 설명되는) 전도성 실리콘 기반 코어 구조(402)와 상호 연결부들(1044) 사이의 용량 결합이 종래의 비아 절연 라이너들 또는 막들을 이용하는 다른 종래의 상호 연결 구조들과 비교하여 상당히 감소된다. 게다가, 절연 층(618)의 에폭시 수지 재료의 유동성 성질은 더 일관되고 신뢰할 수 있는 캡슐화 및 절연을 가능하게 하여, 완성된 반도체 코어 어셈블리(1270)의 누설 전류를 최소화함으로써 전기적 성능을 향상시킨다.
[0073] 일 실시예에서, 어셈블리 관통 비아들(613)은 약 100㎛ 미만, 이를테면 약 75㎛ 미만의 직경을 갖는다. 예를 들어, 어셈블리 관통 비아들(613)은 약 50㎛ 미만, 이를테면 약 35㎛ 미만의 직경을 갖는다. 일부 실시예들에서, 어셈블리 관통 비아들(613)은 약 25㎛ 내지 약 50㎛의 직경, 이를테면 약 35㎛ 내지 약 40㎛의 직경을 갖는다. 일 실시예에서, 어셈블리 관통 비아들(613)은 임의의 적절한 기계적 프로세스를 사용하여 형성된다. 예를 들어, 어셈블리 관통 비아들(613)은 기계적 천공 프로세스를 사용하여 형성된다. 일 실시예에서, 어셈블리 관통 비아들(613)은 레이저 삭마에 의해 중간 코어 어셈블리(602)를 관통하여 형성된다. 예를 들어, 어셈블리 관통 비아들(613)은 자외선 레이저를 사용하여 형성된다. 일 실시예에서, 레이저 삭마를 위해 이용되는 레이저 소스는 약 5㎑ 내지 약 500㎑의 주파수를 갖는다. 일 실시예에서, 레이저 소스는 약 50마이크로줄(μJ) 내지 약 500μJ의 펄스 에너지로 약 10㎱ 내지 약 100㎱의 펄스 지속기간에 펄스형 레이저 빔을 전달하도록 구성된다. 작은 세라믹 충전제 입자들을 함유하는 에폭시 수지 재료를 이용하는 것은, 작은 직경의 비아들, 이를테면 어셈블리 관통 비아들(613)의 더 정밀하고 정확한 레이저 패터닝을 추가로 촉진시키는데, 이는 에폭시 수지 재료 내의 작은 세라믹 충전제 입자들이 레이저 삭마 프로세스 동안 비아가 형성될 영역으로부터 멀리 떨어진 레이저 광의 감소된 레이저 광 반사, 산란, 회절 및 투과를 나타내기 때문이다.
[0074] 일부 실시예들에서, 어셈블리 관통 비아들(613)은, 코어 비아들(403)의 측벽들 상의 남은 세라믹 충전제 함유 에폭시 수지 재료(예컨대, 유전체 절연 재료)가 약 1㎛ 내지 약 50㎛의 평균 두께를 갖는 식으로 코어 비아들(403) 내에(예컨대, 관통하여) 형성된다. 예를 들어, 코어 비아들(403)의 측벽들 상의 남은 세라믹 충전제 함유 에폭시 수지 재료는 약 5㎛ 내지 약 40㎛, 이를테면 약 10㎛ 내지 약 30㎛의 평균 두께를 갖는다. 이에 따라, 어셈블리 관통 비아들(613)의 형성 이후 결과적인 구조는 "비아 내 비아(via-in-via)"(예컨대, 코어 구조의 비아 내의 유전체 재료에서 중앙에 형성된 비아)로서 설명될 수 있다. 비아 내 비아 구조는, 세라믹 입자 충전 에폭시 재료로 구성되며 코어 비아들(403)의 측벽들 상에 형성된 얇은 열 산화물 층 상에 배치된 유전체 측벽 패시베이션을 포함한다.
[0075] 어셈블리 관통 비아들(613)의 형성 후에, 중간 코어 어셈블리(602)는 스미어 제거(de-smear) 프로세스에 노출된다. 스미어 제거 프로세스 동안, 어셈블리 관통 비아들(613)의 형성 중에 레이저 삭마에 의해 야기된 임의의 원치 않는 잔류물들 및/또는 파편들이 어셈블리 관통 비아들(613)로부터 제거된다. 따라서 스미어 제거 프로세스는 후속 금속화를 위해 어셈블리 관통 비아들(613)을 세정한다. 일 실시예에서, 스미어 제거 프로세스는 습식 스미어 제거 프로세스이다. 임의의 적절한 용매들, 에천트들, 및/또는 이들의 조합들이 습식 스미어 제거 프로세스에 이용될 수 있다. 일례로, 메탄올이 용매로서 이용될 수 있고, 구리(Ⅱ) 염화물 이수화물(CuCl2·H2O)이 에천트로서 이용될 수 있다. 잔류물 두께에 따라, 습식 스미어 제거 프로세스에 대한 중간 코어 어셈블리(602)의 노출 지속기간이 변화될 수 있다. 다른 실시예에서, 스미어 제거 프로세스는 건식 스미어 제거 프로세스이다. 예를 들어, 스미어 제거 프로세스는 O2/CF4 혼합 가스를 이용한 플라즈마 스미어 제거 프로세스일 수 있다. 플라즈마 스미어 제거 프로세스는 약 60초 내지 약 120초의 시간 기간 동안 약 700W의 전력을 인가하고 약 10:1(예컨대, 100:10sccm)의 비로 O2:CF4를 유동시킴으로써 플라즈마를 생성하는 것을 포함할 수 있다. 추가 실시예들에서, 스미어 제거 프로세스는 습식 프로세스와 건식 프로세스의 조합이다.
[0076] 동작(518)에서의 스미어 제거 프로세스 후에, 중간 코어 어셈블리(602)는 내부의 상호 연결 경로들의 형성을 위해 준비되며, 이는 도 9 및 도 10a - 도 10h를 참조하여 아래에서 설명된다.
[0077] 위에서 논의된 바와 같이, 도 5 및 도 6a - 도 6i는 중간 코어 어셈블리(602)를 형성하기 위한 대표적인 방법(500)을 예시한다. 도 7 및 도 8a - 도 8e는 방법(500)과 실질적으로 유사하지만 더 적은 동작들을 갖는 대안적인 방법(700)을 예시한다. 이 방법(700)은 일반적으로 5개의 동작들(710-750)을 포함한다. 그러나 이 방법(700)의 동작들(710, 740, 750)은 방법(500)의 동작들(502, 516, 518)과 각각 실질적으로 유사하다. 따라서 명확성을 위해 본 명세서에서는 도 8b, 도 8c 및 도 8d에 각각 도시된 동작들(720, 730, 740)만이 설명된다.
[0078] 코어 구조(402)의 제1 면(475) 상의 제1 표면(406)에 제1 절연 막(616a)을 고정시킨 후에, 동작(720) 및 도 8b에서 제2 절연 막(616b)이 반대 면(477) 상의 제2 표면(408)에 결합된다. 일부 실시예들에서, 제2 절연 막(616b)의 에폭시 수지 층(618b)이 모든 코어 비아들(403)을 덮도록, 제2 절연 막(616b)이 코어 구조(402)의 표면(408) 상에 포지셔닝된다. 도 8b에 도시된 바와 같이, 코어 비아들(403)은 절연 막들(616a, 616b) 사이에 하나 이상의 공극들 또는 갭들을 형성한다. 일부 실시예들에서, 이후의 프로세싱 동작들 동안 추가 기계적 지지를 위해 제2 절연 막(616b)의 보호 층(622b)에 제2 캐리어(625)가 부착된다.
[0079] 동작(730) 및 도 8c에서, 이제 코어 구조(402)의 대향 면들 상의 절연 막들(616a, 616b)에 부착된 코어 구조(402)가 단일 적층 프로세스에 노출된다. 단일 적층 프로세스 동안, 코어 구조(402)는 상승된 온도들에 노출되어, 두 절연 막들(616a, 616b) 모두의 에폭시 수지 층들(618a, 618b)이 연화되고, 절연 막들(616a, 616b) 사이의 코어 비아들(403)에 의해 생성된 개방 공극들 또는 볼륨들 내로 유동하게 한다. 이에 따라, 코어 비아들(403)은 에폭시 수지 층들(618a, 618b)의 절연 재료로 충전된다.
[0080] 도 5 및 도 6a - 도 6i를 참조하여 설명된 적층 프로세스들과 유사하게, 동작(730)에서의 적층 프로세스는 오토클레이브 또는 다른 적절한 디바이스에서 수행될 수 있는 진공 적층 프로세스일 수 있다. 다른 실시예에서, 적층 프로세스는 고온 프레싱 프로세스의 사용에 의해 수행된다. 일 실시예에서, 적층 프로세스는 약 80℃ 내지 약 140℃의 온도에서 그리고 약 1분 내지 약 30분의 기간 동안 수행된다. 일부 실시예들에서, 적층 프로세스는 약 1psig 내지 약 150psig의 압력의 인가를 포함하는 한편, 약 1분 내지 약 30분의 기간 동안 코어 구조(402) 및 절연 막들(616a, 616b)에 약 80℃ 내지 약 140℃의 온도가 인가된다. 예를 들어, 적층 프로세스는 약 2분 내지 10분의 기간 동안 약 10psig 내지 약 100psig의 압력, 약 100℃ 내지 약 120℃의 온도에서 수행된다. 예를 들어, 동작(730)에서의 적층 프로세스는 약 5분의 기간 동안 약 110℃의 온도에서 수행된다.
[0081] 동작(740)에서, 절연 막들(616a, 616b)의 하나 이상의 보호 층들이 코어 구조(402)로부터 제거되어, 적층된 중간 코어 어셈블리(602)가 된다. 일례로, 보호 층들(622a, 622b)은 코어 구조(402)로부터 제거되고, 따라서 중간 코어 어셈블리(602)는 또한 제1 캐리어(624) 및 제2 캐리어(625)로부터 분리된다. 일반적으로, 보호 층들(622a, 622b) 및 캐리어들(624, 625)은 이들로부터의 필링과 같은 임의의 적절한 기계적 프로세스들에 의해 제거된다. 도 8d에 도시된 바와 같이, 중간 코어 어셈블리(602)는 코어 구조(402)를 포함하며, 이 코어 구조(402) 내에는 하나 이상의 코어 비아들(403)이 형성되고 에폭시 수지 층들(618a, 618b)의 절연 유전체 재료로 충전된다. 절연 재료는, 절연 재료가 코어 구조(402)의 적어도 2개의 표면들 또는 면들, 예를 들어 표면들(406, 408)을 덮도록 코어 구조(402)를 추가로 둘러싼다.
[0082] 보호 층들(622a, 622b)의 제거 시에, 중간 코어 어셈블리(602)가 경화 프로세스에 노출되어 에폭시 수지 층들(618a, 618b)의 절연 유전체 재료를 완전히 경화한다. 절연 재료의 경화는 절연 층(618)의 형성을 야기한다. 도 8d에 도시된 바와 같이, 그리고 도 6h에 대응하는 동작(516)과 유사하게, 절연 층(618)은 코어 구조(402)를 실질적으로 둘러싸고 코어 비아들(403)을 충전한다.
[0083] 일 실시예에서, 경화 프로세스는 중간 코어 어셈블리(602)를 완전히 경화시키기 위해 고온에서 수행된다. 예를 들어, 경화 프로세스는 약 140℃ 내지 약 220℃의 온도에서 그리고 약 15분 내지 약 45분의 기간 동안, 이를테면 약 160℃ 내지 약 200℃의 온도에서 그리고 약 25분 내지 약 35분의 기간 동안 수행된다. 예를 들어, 경화 프로세스는 약 30분의 기간 동안 약 180℃의 온도에서 수행된다. 추가 실시예들에서, 동작(740)에서의 경화 프로세스는 주변(예컨대, 대기) 압력 조건들에서 또는 그 근처에서 수행된다.
[0084] 동작(740)에서의 경화 후에, 이 방법(700)은 방법(500)의 동작(520)과 실질적으로 유사하다. 이에 따라, 하나 이상의 어셈블리 관통 비아들(613)이 중간 코어 어셈블리(602)를 관통하여 천공되고, 이어서 중간 코어 어셈블리(602)를 스미어 제거 프로세스에 노출시킨다. 스미어 제거 프로세스의 완료 시에, 아래에서 설명되는 바와 같이, 중간 코어 어셈블리(602)는 내부에 상호 연결 경로들을 형성할 준비가 된다.
[0085] 도 9는 중간 코어 어셈블리(602)를 관통하여 전기 상호 연결부들을 형성하기 위한 대표적인 방법(900)의 흐름도를 예시한다. 도 10a - 도 10h는 도 9에 도시된 방법(900)의 프로세스의 상이한 스테이지들에서의 중간 코어 어셈블리(602)의 단면도들을 개략적으로 예시한다. 따라서 명확성을 위해 도 9와 도 10a - 도 10h가 본 명세서에서 함께 설명된다.
[0086] 일 실시예에서, 중간 코어 어셈블리(602)를 관통하여 형성된 전기 상호 연결부들은 구리로 형성된다. 따라서 이 방법(900)은 일반적으로, 동작(910) 및 도 10a에서 시작되며, 여기서 어셈블리 관통 비아들(613)이 내부에 형성된 중간 코어 어셈블리(602)는 그 위에 형성된 배리어 또는 접착 층(1040) 및/또는 시드 층(1042)을 갖는다. 중간 코어 어셈블리(602) 상에 형성된 접착 층(1040) 및 시드 층(1042)의 확대된 부분도가 참조를 위해 도 10h에 도시된다. 절연 층(618)의 원하는 표면들, 이를테면 중간 코어 어셈블리(602)의 주 표면들(1005, 1007)뿐만 아니라 어셈블리 관통 비아들(613)의 측벽들에 부합하는 표면들 상에 접착 층(1040)이 형성되어, 후속하여 형성되는 시드 층(1042) 및 구리 상호 연결부들(1044)의 접착을 촉진하고 확산을 차단하는 것을 보조할 수 있다. 따라서 일 실시예에서, 접착 층(1040)은 접착 층으로서 작용하고; 다른 실시예에서, 접착 층(1040)은 배리어 층으로서 작용한다. 그러나 두 실시예들 모두에서, 접착 층(1040)은 이하 "접착 층"으로서 설명될 것이다.
[0087] 일 실시예에서, 접착 층(1040)은 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 망간, 망간 산화물, 몰리브덴, 코발트 산화물, 코발트 질화물, 또는 임의의 다른 적절한 재료들 또는 이들의 조합들로 형성된다. 일 실시예에서, 접착 층(1040)은 약 10㎚ 내지 약 300㎚, 이를테면 약 50㎚ 내지 약 150㎚의 두께를 갖는다. 예를 들어, 접착 층(1040)은 약 75㎚ 내지 약 125㎚, 이를테면 약 100㎚의 두께를 갖는다. 접착 층(1040)은 CVD(chemical vapor deposition), PVD(physical vapor deposition), PECVD(plasma enhanced CVD), ALD(atomic layer deposition) 등을 포함하는(그러나 이에 제한되지 않는) 임의의 적절한 증착 프로세스에 의해 형성된다.
[0088] 시드 층(1042)은 접착 층(1040) 상에 또는 절연 층(618) 상에 직접(예컨대, 접착 층(1040)의 형성 없이) 형성될 수 있다. 일부 실시예들에서, 시드 층(1042)은 절연 층(618)의 모든 표면들 상에 형성되는 한편, 접착 층(1040)은 절연 층(618)의 표면들의 원하는 부분들 또는 원하는 표면들 상에만 형성된다. 예를 들어, 접착 층(1040)은 어셈블리 관통 비아들(613)의 측벽들이 아니라 주 표면들(1005, 1007) 상에 형성될 수 있는 한편, 시드 층(1042)은 어셈블리 관통 비아들(613)의 측벽들뿐만 아니라 주 표면들(1005, 1007) 상에 형성된다. 시드 층(1042)은 구리, 텅스텐, 알루미늄, 은, 금, 또는 임의의 다른 적절한 재료들 또는 이들의 조합들과 같은 전도성 재료로 형성된다. 일 실시예에서, 시드 층(1042)은 약 0.05㎛ 내지 약 0.5㎛의 두께, 이를테면 약 0.1㎛ 내지 약 0.3㎛의 두께를 갖는다. 예를 들어, 시드 층(1042)은 약 0.15㎛ 내지 약 0.25㎛, 이를테면 약 0.2㎛의 두께를 갖는다. 일 실시예에서, 시드 층(1042)은 약 0.1㎛ 내지 약 1.5㎛의 두께를 갖는다. 접착 층(1040)과 유사하게, 시드 층(1042)은 임의의 적절한 증착 프로세스, 이를테면 CVD, PVD, PECVD, ALD 건식 프로세스들, 습식 무전해 도금 프로세스들 등에 의해 형성된다. 일 실시예에서, 구리 시드 층(1042)은 중간 코어 어셈블리(602) 상의 몰리브덴 접착 층(1040) 상에 형성될 수 있다. 몰리브덴 접착 및 구리 시드 층 조합은 절연 층(618)의 표면들과의 개선된 접착을 가능하게 하고, 동작(970)에서 후속 시드 층 에칭 프로세스 동안 전도성 상호 연결 라인들의 언더컷을 감소시킨다.
[0089] 도 10b 및 도 10c에 각각 대응하는 동작들(920, 930)에서, 포토레지스트와 같은 스핀-온/스프레이-온(spin-on/spray-on) 또는 건식 레지스트 막(1050)이 중간 코어 어셈블리(602)의 주 표면들(1005, 1007) 모두에 도포되고, 이어서 패터닝된다. 일 실시예에서, 레지스트 막(1050)은 UV 방사선에 대한 선택적 노출을 통해 패터닝된다. 일 실시예에서, 레지스트 막(1050)의 형성 전에, (도시되지 않은) 접착 촉진제가 중간 코어 어셈블리(602)에 가해진다. 접착 촉진제는, 레지스트 막(1050)을 위한 계면 접합 층을 생성함으로써 그리고 중간 코어 어셈블리(602)의 표면으로부터 임의의 수분을 제거함으로써, 중간 코어 어셈블리(602)에 대한 레지스트 막(1050)의 접착을 개선한다. 일부 실시예들에서, 접착 촉진제는 비스(트리메틸실릴)아민 또는 헥사메틸디실라잔(HMDS) 및 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA)로 형성된다.
[0090] 동작(940)에서, 중간 코어 어셈블리(602)가 레지스트 막 현상 프로세스에 노출된다. 도 10d에 도시된 바와 같이, 레지스트 막(1050)의 현상은 어셈블리 관통 비아들(613)의 노출을 야기하여, 이제 상부에 접착 층(1040) 및/또는 시드 층(1042)이 형성된다. 일 실시예에서, 막 현상 프로세스는 레지스트 막(1050)을 용매에 노출시키는 것을 포함하는 습식 프로세스와 같은 습식 프로세스이다. 일 실시예에서, 막 현상 프로세스는 수성 에칭 프로세스를 이용하는 습식 에칭 프로세스이다. 예를 들어, 막 현상 프로세스는 원하는 재료에 대해 선택적인 버퍼링된 에칭 프로세스를 이용하는 습식 에칭 프로세스이다. 임의의 적절한 습식 용매들 또는 습식 에천트들의 조합이 레지스트 막 현상 프로세스에 사용될 수 있다.
[0091] 도 10e 및 도 10f에 각각 대응하는 동작들(950, 960)에서, 노출된 어셈블리 관통 비아들(613)을 관통하여 전기 상호 연결부들(1044)이 형성되고, 그 후 레지스트 막(1050)이 제거된다. 상호 연결부들(1044)은 전기 도금 및 무전해 도금을 포함하는 임의의 적절한 방법들에 의해 형성된다. 일 실시예에서, 습식 프로세스를 통해 레지스트 막(1050)이 제거된다. 도 10e 및 도 10f에 도시된 바와 같이, 전기 상호 연결부들(1044)은 어셈블리 관통 비아들(613)을 완전히 충전하고 레지스트 막(1050)의 제거 시에 중간 코어 어셈블리(602)의 표면들(1005, 1007)로부터 돌출될 수 있다. 일부 실시예들에서, 전기 상호 연결부들(1044)은 어셈블리 관통 비아들(613)을 완전히 채우지 않고 어셈블리 관통 비아들(613)의 측벽들만을 라이닝할 수 있다. 일 실시예에서, 전기 상호 연결부들(1044)은 구리로 형성된다. 다른 실시예들에서, 전기 상호 연결부들(1044)은 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등을 포함하는(그러나 이에 제한되지 않는) 임의의 적절한 전도성 재료로 형성될 수 있다.
[0092] 동작(970) 및 도 10g에서, 전기 상호 연결부들(1044)이 내부에 형성된 중간 코어 어셈블리(602)가 시드 층 에칭 프로세스에 노출되어, 중간 코어 어셈블리(602)의 외부 표면들(예컨대, 표면들(1005, 1007)) 상의 노출된 접착 층(1040) 및 시드 층(1042)을 제거한다. 일부 실시예들에서, 전기 상호 연결부들(1044)과 어셈블리 관통 비아들(613)의 측벽들 사이에 형성된 접착 층(1040) 및/또는 시드 층(1042)은 시드 층 에칭 프로세스 후에 남을 수 있다. 일 실시예에서, 시드 층 에칭은 중간 코어 어셈블리(602)의 린스 및 건조를 포함하는 습식 에칭 프로세스이다. 일 실시예에서, 시드 층 에칭 프로세스는 구리, 텅스텐, 알루미늄, 은 또는 금과 같은 원하는 재료에 대해 선택적인 버퍼링된 에칭 프로세스이다. 다른 실시예들에서, 에칭 프로세스는 수성 에칭 프로세스이다. 임의의 적절한 습식 에천트 또는 습식 에천트들의 조합이 시드 층 에칭 프로세스에 사용될 수 있다.
[0093] 동작(970)에서의 시드 층 에칭 프로세스 후에, 하나 이상의 반도체 코어 어셈블리들이 중간 코어 어셈블리(602)로부터 싱귤레이팅되어 완전한 기능의 전자 장착 또는 패키지 구조로서 이용될 수 있다. 예를 들어, 하나 이상의 반도체 코어 어셈블리들은 싱귤레이팅되어 회로 보드 구조들, 칩 캐리어 구조들, 집적 회로 패키지들 등으로서 이용될 수 있다. 대안으로, 중간 코어 어셈블리(602)는 최종 반도체 코어 어셈블리들의 표면들 상의 원하는 위치들로 전기 상호 연결부들(1044)의 외부 접촉점들을 재라우팅하기 위해, 그 위에 형성된 (도 12j 및 도 12k에 도시된) 하나 이상의 재분배 층들(1260)을 가질 수 있다.
[0094] 도 11은 중간 코어 어셈블리(602) 상에 재분배 층(1260)을 형성하는 대표적인 방법(1100)의 흐름도를 예시한다. 도 12a - 도 12k는 도 11에 도시된 방법(1100)의 상이한 스테이지들에서의 중간 코어 어셈블리(602)의 단면도들을 개략적으로 예시한다. 따라서 명확성을 위해 도 11과 도 12a - 도 12k가 본 명세서에서 함께 설명된다.
[0095] 이 방법(1100)은 위에서 설명된 방법들(500, 700, 900)과 실질적으로 유사하다. 일반적으로, 이 방법(1100)은 동작(1102) 및 도 12a에서 시작되며, 여기서 절연 막(1216)이 중간 코어 어셈블리(602)에 부착되고 그 후에 적층된다. 절연 막(1216)은 절연 막들(616a, 616b)과 실질적으로 유사하다. 일 실시예에서, 도 12a에 도시된 바와 같이, 절연 막(1216)은 에폭시 수지 층(1218) 및 하나 이상의 보호 층들을 포함한다. 예를 들어, 절연 막(1216)은 보호 층(1222)을 포함할 수 있다. 층들과 절연 재료들의 임의의 적절한 조합이 절연 막(1216)에 대해 고려된다. 일부 실시예들에서, 추가적인 지지를 위해 선택적인 캐리어(1224)가 절연 막(1216)에 결합된다. 일부 실시예들에서, (도시되지 않은) 보호 막이 절연 막(1216)에 결합될 수 있다.
[0096] 일반적으로, 에폭시 수지 층(1218)은 약 60㎛ 미만, 이를테면 약 5㎛ 내지 약 50㎛의 두께를 갖는다. 예를 들어, 에폭시 수지 층(1218)은 약 10㎛ 내지 약 25㎛의 두께를 갖는다. 일 실시예에서, 에폭시 수지 층(1218) 및 PET 보호 층(1222)은 약 120㎛ 미만의 조합된 두께, 이를테면 약 90㎛ 미만의 두께를 갖는다. 절연 막(1216) 그리고 구체적으로는 에폭시 수지 층(1218)이, 노출된 전기 상호 연결부들(1044)을 갖는 중간 코어 어셈블리(602)의 표면, 이를테면 주 표면(1005)에 부착된다.
[0097] 절연 막(1216)의 배치 후에, 중간 코어 어셈블리(602)는 동작들(506, 514, 730)을 참조하여 설명된 적층 프로세스와 실질적으로 유사한 적층 프로세스에 노출된다. 중간 코어 어셈블리(602)는 상승된 온도들에 노출되어 절연 막(1216)의 에폭시 수지 층(1218)을 연화하며, 에폭시 수지 층(1218)은 후속하여 절연 층(618)에 접합된다. 따라서 에폭시 수지 층(1218)은 절연 층(618)과 통합되고, 절연 층(618)의 연장부를 형성하며, 따라서 이하 단일 절연 층(618)으로서 설명될 것이다. 에폭시 수지 층(1218)과 절연 층(618)의 통합은 추가로, 이전에 노출된 전기 상호 연결부들(1044)을 감싸는 확대된 절연 층(618)을 야기한다.
[0098] 동작(1104) 및 도 12b에서, 기계적 수단에 의해 중간 코어 어셈블리(602)로부터 보호 층(1222) 및 캐리어(1224)가 제거되며, 중간 코어 어셈블리(602)가 경화 프로세스에 노출되어, 새로 팽창된 절연 층(618)을 완전히 경화한다. 일 실시예에서, 경화 프로세스는 동작들(516, 740)을 참조하여 설명된 경화 프로세스와 실질적으로 유사하다. 예를 들어, 경화 프로세스는 약 140℃ 내지 약 220℃의 온도에서 그리고 약 15분 내지 약 45분의 기간 동안 수행된다.
[0099] 그 다음, 동작(1106) 및 도 12c에서 중간 코어 어셈블리(602)가 레이저 삭마에 의해 선택적으로 패터닝된다. 동작(1106)에서의 레이저 삭마 프로세스는 새로 확장된 절연 층(618)에 하나 이상의 재분배 비아들(1253)을 형성하고, 원하는 전기 상호 연결부들(1044)을 이들의 접촉점들의 재분배를 위해 노출시킨다. 일 실시예에서, 재분배 비아들(1253)은 어셈블리 관통 비아들(613)의 직경과 실질적으로 유사하거나 그보다 더 작은 직경을 갖는다. 예를 들어, 재분배 비아들(1253)은 약 5㎛ 내지 약 600㎛의 직경, 이를테면 약 10㎛ 내지 약 50㎛, 이를테면 약 20㎛ 내지 약 30㎛의 직경을 갖는다. 일 실시예에서, 동작(1106)에서의 레이저 삭마 프로세스는 CO2 레이저를 이용하여 수행된다. 일 실시예에서, 동작(1106)에서의 레이저 삭마 프로세스는 UV 레이저를 이용하여 수행된다. 다른 실시예에서, 동작(1106)에서의 레이저 삭마 프로세스는 녹색 레이저를 이용하여 수행된다. 일례로, 레이저 소스는 약 100㎑ 내지 약 1000㎑의 주파수를 갖는 펄스형 레이저 빔을 생성할 수 있다. 일례로, 레이저 소스는 약 100㎚ 내지 약 2000㎚의 파장으로, 약 10E-4㎱ 내지 약 10E-2㎱의 펄스 지속기간에, 그리고 약 10μJ 내지 약 300μJ의 펄스 에너지로 펄스형 레이저 빔을 전달하도록 구성된다.
[0100] 동작(1108) 및 도 12d에서, 절연 층(618)의 하나 이상의 표면들 상에 접착 층(1240) 및/또는 시드 층(1242)이 선택적으로 형성된다. 일 실시예에서, 접착 층(1240) 및 시드 층(1242)은 접착 층(1040) 및 시드 층(1042)과 각각 실질적으로 유사하다. 예를 들어, 접착 층(1240)은 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 망간, 망간 산화물, 몰리브덴, 코발트 산화물, 코발트 질화물, 또는 임의의 다른 적절한 재료들 또는 이들의 조합들로 형성된다. 일 실시예에서, 접착 층(1240)은 약 10㎚ 내지 약 300㎚의 두께, 이를테면 약 50㎚ 내지 약 150㎚의 두께를 갖는다. 예를 들어, 접착 층(1240)은 약 75㎚ 내지 약 125㎚, 이를테면 약 100㎚의 두께를 갖는다. 접착 층(1240)은 CVD, PVD, PECVD, ALD 등을 포함하는(그러나 이에 제한되지 않는) 임의의 적절한 증착 프로세스에 의해 형성될 수 있다.
[0101] 시드 층(1242)은 구리, 텅스텐, 알루미늄, 은, 금, 또는 임의의 다른 적절한 재료들 또는 이들의 조합들과 같은 전도성 재료로 형성된다. 일 실시예에서, 시드 층(1242)은 약 0.05㎛ 내지 약 0.5㎛, 이를테면 약 0.1㎛ 내지 약 0.3㎛의 두께를 갖는다. 예를 들어, 시드 층(1242)은 약 0.15㎛ 내지 약 0.25㎛, 이를테면 약 0.2㎛의 두께를 갖는다. 접착 층(1240)과 유사하게, 시드 층(1242)은 임의의 적절한 증착 프로세스, 이를테면 CVD, PVD, PECVD, ALD 건식 프로세스들, 습식 무전해 도금 프로세스들 등에 의해 형성될 수 있다. 일 실시예에서는, 몰리브덴 접착 층(1240) 및 구리 시드 층(1242)이 중간 코어 어셈블리(602) 상에 형성되어, 동작(1122)에서 후속 시드 층 에칭 프로세스 동안 언더컷의 형성을 감소시킨다.
[0102] 도 12e, 도 12f 및 도 12g에 각각 대응하는 동작들(1110, 1112, 1114)에서, 포토레지스트와 같은 스핀-온/스프레이-온 또는 건식 레지스트 막(1250)이 중간 코어 어셈블리(602)의 시드된 표면들 위에 도포되고, 이어서 패터닝되고 현상된다. 일 실시예에서, 레지스트 막(1250)의 배치 전에, (도시되지 않은) 접착 촉진제가 중간 코어 어셈블리(602)에 가해진다. 레지스트 막(1250)의 노출 및 현상은 재분배 비아들(1253)의 개방을 야기한다. 따라서 레지스트 막(1250)의 부분들을 UV 방사선에 선택적으로 노출시키고, 습식 에칭 프로세스와 같은 습식 프로세스에 의해 레지스트 막(1250)을 후속적으로 현상함으로써 레지스트 막(1250)의 패터닝이 수행될 수 있다. 일 실시예에서, 레지스트 막 현상 프로세스는 원하는 재료에 대해 선택적인 버퍼링된 에칭 프로세스를 이용하는 습식 에칭 프로세스이다. 다른 실시예들에서, 레지스트 막 현상 프로세스는 수성 에칭 프로세스를 이용하는 습식 에칭 프로세스이다. 임의의 적절한 습식 에천트 또는 습식 에천트들의 조합이 레지스트 막 현상 프로세스에 사용될 수 있다.
[0103] 도 12h 및 도 12i에 각각 대응하는 동작들(1116, 1118)에서, 노출된 재분배 비아들(1253)을 통해 재분배 연결부들(1244)이 형성되고, 그 후 레지스트 막(1250)이 제거된다. 일 실시예에서, 습식 프로세스를 통해 레지스트 막(1250)이 제거된다. 도 12h 및 도 12i에 도시된 바와 같이, 재분배 연결부들(1244)은 재분배 비아들(1253)을 충전하고, 레지스트 막(1250)의 제거 시에 중간 코어 어셈블리(602)의 표면들로부터 돌출된다. 일 실시예에서, 재분배 연결부들(1244)은 구리로 형성된다. 다른 실시예들에서, 재분배 연결부들(1244)은 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등을 포함하는(그러나 이에 제한되지 않는) 임의의 적절한 전도성 재료로 형성된다. 전기 도금 및 무전해 증착을 포함하는 임의의 적절한 방법들이 재분배 연결부들(1244)을 형성하는 데 이용될 수 있다.
[0104] 동작(1120) 및 도 12j에서, 재분배 연결부들(1244)이 상부에 형성된 중간 코어 어셈블리(602)가 동작(970)의 프로세스와 실질적으로 유사한 시드 층 에칭 프로세스에 노출된다. 일 실시예에서, 시드 층 에칭은 중간 코어 어셈블리(602)의 린스 및 건조를 포함하는 습식 에칭 프로세스이다. 일 실시예에서, 시드 층 에칭 프로세스는 시드 층(1242)의 원하는 재료에 대해 선택적인 버퍼링된 에칭 프로세스를 이용하는 습식 에칭 프로세스이다. 다른 실시예들에서, 에칭 프로세스는 수성 에칭 프로세스를 이용하는 습식 에칭 프로세스이다. 임의의 적절한 습식 에천트 또는 습식 에천트들의 조합이 시드 층 에칭 프로세스에 사용될 수 있다.
[0105] 동작(1120)에서 시드 층 에칭 프로세스의 완료 시에, 위에서 설명된 시퀀스들 및 프로세스들을 이용하여 중간 코어 어셈블리(602) 상에 하나 이상의 추가 재분배 층들(1260)이 형성될 수 있다. 예를 들어, 하나 이상의 추가 재분배 층들(1260)이 중간 코어 어셈블리(602)의 제1 재분배 층(1260) 및/또는 반대 표면, 이를테면 주 표면(1007) 상에 형성될 수 있다. 일 실시예에서, 하나 이상의 추가 재분배 층들(1260)은 제1 재분배 층(1260) 및/또는 절연 층(618)의 재료와 상이한 중합체 기반 유전체 재료들, 이를테면 유동성 축적 재료들로 형성될 수 있다. 예를 들어, 일부 실시예들에서, 절연 층(618)은 세라믹 섬유들로 충전된 에폭시로 형성될 수 있는 한편, 제1 및/또는 임의의 추가 재분배 층들(1260)은 폴리이미드, BCB 및/또는 PBO로 형성된다. 대안으로, 동작(1122) 및 도 12k에서, 원하는 수의 재분배 층들(1260)이 형성된 후에 하나 이상의 완성된 반도체 코어 어셈블리들(1270)이 중간 코어 어셈블리(602)로부터 싱귤레이팅될 수 있다.
[0106] 동작(1120)에서 형성된 완성된 반도체 코어 어셈블리들(1270)은 임의의 적절한 패키지 어셈블리, PCB 어셈블리, PCB 스페이서 어셈블리, 칩 캐리어 어셈블리, 중간 캐리어 어셈블리 등에 이용될 수 있다. 도 13a에 도시된 하나의 예시적인 실시예에서, 단일 반도체 코어 어셈블리(1270)는 칩 캐리어 어셈블리(1300) 내의 칩(1360)을 위한 캐리어로서 이용된다. 칩(1360)은 메모리 칩, 마이크로프로세서, 복합 SoC(system-on-a-chip) 또는 표준 칩을 포함하는 임의의 적절한 타입의 칩일 수 있다. 적절한 타입들의 메모리 칩들은 DRAM 칩들 또는 NAND 플래시 칩들을 포함한다. 일부 추가 예들에서, 칩(1360)은 디지털 칩, 아날로그 칩 또는 혼합 칩이다. 칩(1360)은 반도체 코어 어셈블리(1270)의 주 표면들(1005, 1007) 중 하나에 인접하게 배치된다. 일부 실시예들에서, 2개 이상의 칩들(1360)이 단일 주 표면(1005, 1007)에 인접하게 배치될 수 있다. 다른 실시예에서, 하나 또는 추가 디바이스들 및/또는 구조들, 이를테면 PCB 또는 패키지 기판의 하나 이상의 컴포넌트들이 칩(1360)에 인접하게 배치될 수 있다. 예를 들어, 커패시터들, 저항기들, 인덕터들 등과 같은 하나 이상의 수동 소자들이 칩(1360)에 인접하게 배치될 수 있다. 다른 예에서, 하나 이상의 커넥터들이 칩(1360)에 인접하게 배치될 수 있다.
[0107] 칩(1360)은 칩(1360)의 활성 표면(1352) 상에 형성된 하나 이상의 접촉부들(1348)을 포함한다. 도시된 바와 같이, 접촉부들(1348)은 활성 표면(1352)과 주 표면(1005) 사이에 배치된 하나 이상의 솔더 범프들(1346)에 의해 반도체 코어 어셈블리(1270)의 하나 이상의 재분배 연결부들(1244)에 전도성 결합된다. 일부 실시예들에서, 접촉부들(1348)은 하나 이상의 솔더 범프들(1346)에 의해 하나 이상의 상호 연결부들(1044)에 전도성 결합될 수 있다. 일 실시예에서, 접촉부들(1348) 및/또는 솔더 범프들(1346)은 상호 연결부들(1044) 및 재분배 연결부들(1244)의 재료와 실질적으로 유사한 재료로 형성된다. 예를 들어, 접촉부들(1348) 및 솔더 범프들(1346)은 구리, 텅스텐, 알루미늄, 은, 금, 또는 임의의 다른 적절한 재료들 또는 이들의 조합들과 같은 전도성 재료로 형성될 수 있다.
[0108] 일 실시예에서, 솔더 범프들(1346)은 C4 솔더 범프들을 포함한다. 일 실시예에서, 솔더 범프들(1346)은 C2(솔더 캡을 갖는 Cu 기둥(pillar)) 솔더 범프들을 포함한다. C2 솔더 범프들의 이용은 칩 캐리어 어셈블리(1300)에 대한 더 작은 피치 길이들 및 개선된 열적 및/또는 전기적 특성들을 가능하게 할 수 있다. 솔더 범프들(1346)은 ECD(electrochemical deposition) 및 전기 도금을 포함하는(그러나 이에 제한되지 않는) 임의의 적절한 웨이퍼 범핑 프로세스들에 의해 형성될 수 있다.
[0109] 도 13b에 도시된 다른 예시적인 실시예에서, 반도체 코어 어셈블리(1270)가 PCB 어셈블리(1302)에 이용된다. 이에 따라, 반도체 코어 어셈블리(1270)는 패키지 어셈블리(1310)를 지지(예컨대, 운반)하기 위한 PCB 구조로서 기능하도록 구성된다. 패키지 어셈블리(1310)는 반도체 코어 어셈블리(1270)와 구조 및 재료가 실질적으로 유사할 수 있지만, 절연 층(618)에 의해 실질적으로 둘러싸인 코어 구조(402) 내에 형성된 공동(1320) 내에 배치된 매립형 다이(1326)를 포함한다. 매립형 다이(1326)는, 하나 이상의 접촉부들(1330)이 상부에 형성되어 패키지 어셈블리(1310)의 상호 연결부들(1342) 및/또는 재분배 연결부들(1344)과 결합된 활성 표면(1328)을 더 포함할 수 있다. 도 13a의 칩 캐리어 어셈블리(1300)와 유사하게, 패키지 어셈블리(1310)의 접촉부들(1330) 및/또는 상호 연결부들(1342) 및/또는 재분배 연결부들(1344)은 활성 표면(1328)과 주 표면(1005) 사이에 배치된 하나 이상의 솔더 범프들(1346)에 의해 반도체 코어 어셈블리(1270)의 하나 이상의 재분배 연결부들(1244)에 전도성 결합된다. 일부 실시예들에서, 접촉부들(1330)은 하나 이상의 솔더 범프들(1346)에 의해 하나 이상의 상호 연결부들(1044)에 전도성 결합될 수 있다.
[0110] 도 13c는 PCB 어셈블리(1304)에서 PCB 스페이서 구조로서 반도체 코어 어셈블리(1270)를 이용하는 또 다른 예시적인 실시예를 도시한다. 도시된 바와 같이, 반도체 코어 어셈블리(1270)는 2개의 PCB들(1362a, 1362b) 사이에 배치되고, 제1 PCB(1362a)와 제2 PCB(1362b)가 전도성 연결되는 동안 이들 사이에 물리적 공간이 유지되게 제2 PCB(1362b)에 대해 제1 PCB(1362a)를 포지셔닝하도록 구성된다. 이에 따라, PCB들(1362a, 1362b)은 이들의 주 표면들(1364a, 1364b) 상에 각각 형성된 하나 이상의 전기 전도성 패드들(1368)을 포함한다. 하나 이상의 전도성 패드들(1368)은 하나 이상의 솔더 범프들(1346)을 통해 반도체 코어 어셈블리(1270)의 재분배 연결부들(1244) 및/또는 상호 연결부들(1044)에 전도성 결합된다. 접촉부들(1330, 1348)과 유사하게, 전도성 패드들(1368)은 솔더 범프들(1346), 상호 연결부들(1044) 및 재분배 연결부들(1244)의 재료와 실질적으로 유사한 재료로 형성되어 이들을 통한 전기 전도성을 가능하게 한다. 예를 들어, 전도성 패드들(1368)은 구리, 텅스텐, 알루미늄, 은, 금, 또는 임의의 다른 적절한 재료들 또는 이들의 조합들과 같은 전도성 재료로 형성될 수 있다.
[0111] 위에 도시된 실시예들에서의 반도체 코어 어셈블리(1270)의 이용은 종래의 패키지, PCB, PCB 스페이서 및 칩 캐리어 구조들에 비해 다수의 이점들을 제공한다. 그러한 이점들은 얇은 폼 팩터 및 높은 칩 또는 다이 대 패키지 볼륨비를 포함하며, 이는 AI(artificial intelligence) 및 HPC(high performance computing)의 계속 증가하는 대역폭 및 전력 효율 요구들을 충족시키도록 더 큰 I/O 스케일링을 가능하게 한다. 구조화된 실리콘 프레임의 이용은 3D IC(3-dimensional integrated circuit) 아키텍처의 개선된 전기적 성능, 열 관리 및 신뢰성을 위해 최적의 재료 강성 및 열 전도도를 제공한다. 게다가, 본 명세서에서 설명되는 어셈블리 관통 비아들 및 비아-인-비아(via-in-via) 구조들을 위한 제작 방법들은 종래의 TSV 기술들과 비교하여 비교적 낮은 제조 비용들로 3D 통합을 위한 높은 성능 및 유연성을 제공한다.
[0112] 위에서 설명된 방법들을 이용함으로써, 높은 종횡비 피처들이 유리 및/또는 실리콘 코어 구조들 상에 형성될 수 있어, 더 얇고 더 좁은 회로 보드들, 칩 캐리어들, 집적 회로 패키지들 등의 경제적인 형성을 가능하게 한다. 위에서 설명된 방법들을 이용하여 제작된 반도체 코어 어셈블리들은 높은 I/O 밀도와 개선된 대역폭 및 전력뿐만 아니라, 유연한 솔더 볼 분배를 가능하게 하는 어셈블리 아키텍처 및 감소된 중량/관성에 기여하는 낮은 응력에 따른 더 큰 신뢰성의 이점들을 제공한다. 위에서 설명된 방법들의 추가 이점들은 양면 금속화 능력 및 높은 생산 수율을 갖는 경제적인 제조를 포함한다. 추가로, 실리콘 코어의 이용은 코어 어셈블리와 그에 연결된 임의의 칩들 사이의 CTE(coefficient of thermal expansion)의 불일치를 감소시키거나 제거하여, 더 작은 솔더링 피치들 및 증가된 디바이스 밀도를 가능하게 한다.
[0113] 전술한 내용은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본 범위를 벗어나지 않으면서 본 개시내용의 다른 실시예들 및 추가 실시예들이 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (20)

  1. 제2 면 반대편의 제1 면을 갖는 실리콘 코어 구조 ― 실리콘 코어 층은 1000㎛ 미만의 두께를 갖고, 상기 실리콘 코어 구조는 상기 실리콘 코어 구조를 관통하여 형성되며 제1 표면 및 제2 표면으로부터 돌출되는 하나 이상의 전도성 상호 연결부들을 더 포함함 ―;
    상기 제1 면 상에 형성된 제1 재분배 층; 및
    상기 제2 면 상에 형성된 제1 재분배 층을 포함하며,
    상기 제1 재분배 층 및 상기 제2 재분배 층은 각각 상부에 하나 이상의 전도성 접촉부들이 형성되는,
    반도체 디바이스 어셈블리.
  2. 제1 항에 있어서,
    상기 제1 면 및 상기 제2 면 상에 형성된 산화물 층을 더 포함하는,
    반도체 디바이스 어셈블리.
  3. 제2 항에 있어서,
    상기 산화물 층은 열 산화물을 포함하는,
    반도체 디바이스 어셈블리.
  4. 제2 항에 있어서,
    상기 산화물 층 상에 형성된 유전체 층을 더 포함하며,
    상기 유전체 층은 에폭시 수지를 포함하는,
    반도체 디바이스 어셈블리.
  5. 제4 항에 있어서,
    상기 에폭시 수지는 실리카 입자들을 포함하는,
    반도체 디바이스 어셈블리.
  6. 제4 항에 있어서,
    상기 유전체 층은 약 5㎛ 내지 약 50㎛의 두께를 갖는,
    반도체 디바이스 어셈블리.
  7. 제4 항에 있어서,
    상기 제1 재분배 층 및 상기 제2 재분배 층 각각은:
    상기 유전체 층 상에 형성된 접착 층 ― 상기 접착 층은 몰리브덴을 포함함 ―;
    상기 접착 층 상에 형성된 시드 층; 및
    상기 시드 층 위에 형성된 구리 층을 더 포함하는,
    반도체 디바이스 어셈블리.
  8. 제7 항에 있어서,
    상기 접착 층은 약 10㎚ 내지 약 500㎚의 두께를 갖는,
    반도체 디바이스 어셈블리.
  9. 제4 항에 있어서,
    관통하여 배치된 하나 이상의 비아들을 더 포함하며,
    상기 하나 이상의 비아들 각각은 내부에 구리 상호 연결부가 형성된,
    반도체 디바이스 어셈블리.
  10. 제9 항에 있어서,
    상기 하나 이상의 비아들 각각은 상기 유전체 층에 의해 원주 방향으로 한정되는,
    반도체 디바이스 어셈블리.
  11. 제9 항에 있어서,
    상기 유전체 층은 상기 실리콘 코어 구조의 상기 제1 면 및 상기 제2 면 위에 배치되고,
    상기 유전체 층은 상기 실리콘 코어 구조에 걸쳐 상기 제1 면에서부터 상기 제2 면까지 상기 하나 이상의 비아들을 관통하여 연장되는,
    반도체 디바이스 어셈블리.
  12. 실리콘 코어 구조 ― 상기 실리콘 코어 구조는 1000㎛ 미만의 두께를 가짐 ―;
    상기 실리콘 코어 구조를 둘러싸는 패시베이팅 층 ― 상기 패시베이팅 층은 열 산화물을 포함함 ―; 및
    상기 패시베이팅 층 상에 형성된 유전체 층을 포함하며,
    상기 유전체 층은 내부에 실리카 입자들이 배치된 에폭시 수지를 포함하는,
    반도체 디바이스 어셈블리.
  13. 제12 항에 있어서,
    상기 실리콘 코어 구조는 결정질 실리콘 기판을 포함하는,
    반도체 디바이스 어셈블리.
  14. 제12 항에 있어서,
    관통하여 배치된 비아들의 하나 이상의 어레이들을 더 포함하며,
    상기 하나 이상의 어레이들의 비아들 각각은 약 500㎛ 미만의 직경을 갖는,
    반도체 디바이스 어셈블리.
  15. 제14 항에 있어서,
    상기 하나 이상의 어레이들 각각에서 상기 비아들 사이의 피치는 약 40㎛ 내지 1000㎛의 거리를 갖는,
    반도체 디바이스 어셈블리.
  16. 제14 항에 있어서,
    상기 실리콘 코어 구조는 제2 면 반대편의 제1 면을 갖고,
    상기 유전체 층은 상기 제1 면 및 상기 제2 면 위에 배치되며, 상기 유전체 층은 상기 하나 이상의 어레이들의 비아들 각각을 원주 방향으로 한정하도록 상기 제1 면에서부터 상기 제2 면까지 추가로 연장되는,
    반도체 디바이스 어셈블리.
  17. 제12 항에 있어서,
    상기 유전체 층 상에 형성된 제1 재분배 층을 더 포함하는,
    반도체 디바이스 어셈블리.
  18. 제17 항에 있어서,
    상기 제1 재분배 층은:
    상기 유전체 층 상에 형성된 접착 층 ― 상기 접착 층은 몰리브덴을 포함함 ―;
    상기 접착 층 상에 형성된 시드 층; 및
    상기 시드 층 위에 형성된 구리 층을 더 포함하는,
    반도체 디바이스 어셈블리.
  19. 제2 면 반대편의 제1 면 및 상기 제1 면에서부터 상기 반대편의 제2 면까지 연장되는 복수의 비아들을 갖는 실리콘 코어 구조;
    상기 실리콘 코어 구조의 복수의 비아들 각각의 표면, 상기 제1 면 및 상기 제2 면 위에 배치된 패시베이팅 층 ― 패시베이팅 층은 열 산화물을 포함함 ―;
    상기 복수의 비아들 각각의 표면, 상기 제1 면 및 상기 제2 면 위에 배치된 상기 패시베이팅 층 위에 배치된 유전체 층 ― 상기 유전체 층은 에폭시 수지를 포함함 ―; 및
    상기 유전체 층 상에 형성된 재분배 층을 포함하며,
    상기 재분배 층은:
    상기 유전체 층 상에 형성된 접착 층 ― 상기 접착 층은 몰리브덴을 포함함 ―;
    상기 접착 층 상에 형성된 구리 시드 층; 및
    상기 구리 시드 층 상에 형성된 구리 층을 포함하는,
    반도체 디바이스 어셈블리.
  20. 제19 항에 있어서,
    상기 유전체 층은 상기 복수의 비아들 각각 내에 배치된 유전체 층을 관통하여 연장되는 어셈블리 관통 비아를 더 포함하고,
    상기 어셈블리 관통 비아들 각각은 상기 실리콘 코어 구조의 상기 제1 면에서부터 상기 제2 면까지 상기 유전체 층을 관통하여 연장되며,
    상기 어셈블리 관통 비아들 각각은 내부에 전도성 상호 연결부가 형성된,
    반도체 디바이스 어셈블리.
KR1020227021424A 2019-11-27 2020-10-28 반도체 디바이스 어셈블리 KR102625123B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247000907A KR20240008978A (ko) 2019-11-27 2020-10-28 패키지 코어 어셈블리 및 제작 방법들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/698,680 US11862546B2 (en) 2019-11-27 2019-11-27 Package core assembly and fabrication methods
US16/698,680 2019-11-27
PCT/US2020/057787 WO2021108064A1 (en) 2019-11-27 2020-10-28 Package core assembly and fabrication methods

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247000907A Division KR20240008978A (ko) 2019-11-27 2020-10-28 패키지 코어 어셈블리 및 제작 방법들

Publications (2)

Publication Number Publication Date
KR20220104798A true KR20220104798A (ko) 2022-07-26
KR102625123B1 KR102625123B1 (ko) 2024-01-12

Family

ID=74682961

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020247006643A KR20240032172A (ko) 2019-11-27 2020-10-28 반도체 디바이스 어셈블리
KR1020227021429A KR102643053B1 (ko) 2019-11-27 2020-10-28 반도체 디바이스 어셈블리
KR1020247000907A KR20240008978A (ko) 2019-11-27 2020-10-28 패키지 코어 어셈블리 및 제작 방법들
KR1020227021424A KR102625123B1 (ko) 2019-11-27 2020-10-28 반도체 디바이스 어셈블리

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020247006643A KR20240032172A (ko) 2019-11-27 2020-10-28 반도체 디바이스 어셈블리
KR1020227021429A KR102643053B1 (ko) 2019-11-27 2020-10-28 반도체 디바이스 어셈블리
KR1020247000907A KR20240008978A (ko) 2019-11-27 2020-10-28 패키지 코어 어셈블리 및 제작 방법들

Country Status (6)

Country Link
US (5) US11862546B2 (ko)
JP (2) JP7454668B2 (ko)
KR (4) KR20240032172A (ko)
CN (2) CN114787989A (ko)
TW (2) TWI819252B (ko)
WO (2) WO2021108064A1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11637057B2 (en) * 2019-01-07 2023-04-25 Qualcomm Incorporated Uniform via pad structure having covered traces between partially covered pads
CN109994438B (zh) * 2019-03-29 2021-04-02 上海中航光电子有限公司 芯片封装结构及其封装方法
US11315890B2 (en) 2020-08-11 2022-04-26 Applied Materials, Inc. Methods of forming microvias with reduced diameter
US11749629B2 (en) * 2020-12-10 2023-09-05 Advanced Micro Devices, Inc. High-speed die connections using a conductive insert
KR20220160967A (ko) * 2021-05-28 2022-12-06 (주)티에스이 이종 재질의 다층 회로기판 및 그 제조 방법
WO2022251986A1 (zh) * 2021-05-29 2022-12-08 华为技术有限公司 芯片封装结构、其制备方法及终端设备
US20230070053A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Stiffener frame for semiconductor device packages
WO2023086202A1 (en) * 2021-11-11 2023-05-19 Applied Materials, Inc. Semiconductor device packages
JP2023089544A (ja) * 2021-12-16 2023-06-28 キオクシア株式会社 半導体装置
US20240006379A1 (en) * 2022-06-30 2024-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor stack structure and manufacturing method thereof
WO2024092074A1 (en) * 2022-10-26 2024-05-02 Samtec, Inc. Interconnect module for high-speed data transmission

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002208778A (ja) * 2001-01-10 2002-07-26 Ibiden Co Ltd 多層プリント配線板
KR20040096537A (ko) * 2002-12-11 2004-11-16 다이니폰 인사츠 가부시키가이샤 다층 배선기판 및 그 제조 방법
US20180019197A1 (en) * 2016-07-12 2018-01-18 Sri Ranga Sai BOYAPATI Package with passivated interconnects
JP2019009297A (ja) * 2017-06-26 2019-01-17 京セラ株式会社 配線基板およびその製造方法

Family Cites Families (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3384203A (en) 1966-01-13 1968-05-21 Dayton Steel Foundry Co Disk brakes
US4073610A (en) 1976-02-05 1978-02-14 Cox Bernard K Apparatus for producing a foldable plastic strip
US4751349A (en) 1986-10-16 1988-06-14 International Business Machines Corporation Zirconium as an adhesion material in a multi-layer metallic structure
JPH0494592A (ja) 1990-08-10 1992-03-26 Cmk Corp プリント配線板におけるスルーホールに対する充填材の充填方法
US5126016A (en) 1991-02-01 1992-06-30 International Business Machines Corporation Circuitization of polymeric circuit boards with galvanic removal of chromium adhesion layers
US5519332A (en) 1991-06-04 1996-05-21 Micron Technology, Inc. Carrier for testing an unpackaged semiconductor die
US5474834A (en) 1992-03-09 1995-12-12 Kyocera Corporation Superconducting circuit sub-assembly having an oxygen shielding barrier layer
JP2819523B2 (ja) 1992-10-09 1998-10-30 インターナショナル・ビジネス・マシーンズ・コーポレイション 印刷配線板及びその製造方法
US5367143A (en) 1992-12-30 1994-11-22 International Business Machines Corporation Apparatus and method for multi-beam drilling
US5353195A (en) 1993-07-09 1994-10-04 General Electric Company Integral power and ground structure for multi-chip modules
US5688716A (en) 1994-07-07 1997-11-18 Tessera, Inc. Fan-out semiconductor chip assembly
US5783870A (en) 1995-03-16 1998-07-21 National Semiconductor Corporation Method for connecting packages of a stacked ball grid array structure
US5670262A (en) 1995-05-09 1997-09-23 The Dow Chemical Company Printing wiring board(s) having polyimidebenzoxazole dielectric layer(s) and the manufacture thereof
US5767480A (en) 1995-07-28 1998-06-16 National Semiconductor Corporation Hole generation and lead forming for integrated circuit lead frames using laser machining
US6631558B2 (en) 1996-06-05 2003-10-14 Laservia Corporation Blind via laser drilling system
AU3301197A (en) 1996-06-05 1998-01-05 Larry W. Burgess Blind via laser drilling system
US7062845B2 (en) 1996-06-05 2006-06-20 Laservia Corporation Conveyorized blind microvia laser drilling system
US5841102A (en) 1996-11-08 1998-11-24 W. L. Gore & Associates, Inc. Multiple pulse space processing to enhance via entrance formation at 355 nm
CN1187800C (zh) 1997-04-03 2005-02-02 株式会社山武 电路板以及检测器及其制造方法
JP3920399B2 (ja) 1997-04-25 2007-05-30 株式会社東芝 マルチチップ半導体装置用チップの位置合わせ方法、およびマルチチップ半導体装置の製造方法・製造装置
US6388202B1 (en) 1997-10-06 2002-05-14 Motorola, Inc. Multi layer printed circuit board
US6038133A (en) 1997-11-25 2000-03-14 Matsushita Electric Industrial Co., Ltd. Circuit component built-in module and method for producing the same
GB9811328D0 (en) 1998-05-27 1998-07-22 Exitech Ltd The use of mid-infrared lasers for drilling microvia holes in printed circuit (wiring) boards and other electrical circuit interconnection packages
MY144573A (en) 1998-09-14 2011-10-14 Ibiden Co Ltd Printed circuit board and method for its production
SE513341C2 (sv) 1998-10-06 2000-08-28 Ericsson Telefon Ab L M Arrangemang med tryckta kretskort samt metod för tillverkning därav
US6039889A (en) 1999-01-12 2000-03-21 Fujitsu Limited Process flows for formation of fine structure layer pairs on flexible films
US6117704A (en) 1999-03-31 2000-09-12 Irvine Sensors Corporation Stackable layers containing encapsulated chips
US6599836B1 (en) 1999-04-09 2003-07-29 Micron Technology, Inc. Planarizing solutions, planarizing machines and methods for mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6212769B1 (en) 1999-06-29 2001-04-10 International Business Machines Corporation Process for manufacturing a printed wiring board
WO2001010177A1 (en) 1999-08-03 2001-02-08 Xsil Technology Limited A circuit singulation system and method
EP2265101B1 (en) 1999-09-02 2012-08-29 Ibiden Co., Ltd. Printed circuit board and method of manufacturing printed circuit board
JP2003511240A (ja) 1999-09-30 2003-03-25 シーメンス アクチエンゲゼルシヤフト 積層体をレーザー穿孔する方法及び装置
US6538210B2 (en) 1999-12-20 2003-03-25 Matsushita Electric Industrial Co., Ltd. Circuit component built-in module, radio device having the same, and method for producing the same
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
US6384473B1 (en) 2000-05-16 2002-05-07 Sandia Corporation Microelectronic device package with an integral window
US6661084B1 (en) 2000-05-16 2003-12-09 Sandia Corporation Single level microelectronic device package with an integral window
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
US20020048715A1 (en) 2000-08-09 2002-04-25 Bret Walczynski Photoresist adhesive and method
US20020020898A1 (en) 2000-08-16 2002-02-21 Vu Quat T. Microelectronic substrates with integrated devices
US6459046B1 (en) 2000-08-28 2002-10-01 Matsushita Electric Industrial Co., Ltd. Printed circuit board and method for producing the same
WO2002027786A1 (fr) 2000-09-25 2002-04-04 Ibiden Co., Ltd. Element semi-conducteur, procede de fabrication d'un element semi-conducteur, carte a circuit imprime multicouche, et procede de fabrication d'une carte a circuit imprime multicouche
US20020070443A1 (en) 2000-12-08 2002-06-13 Xiao-Chun Mu Microelectronic package having an integrated heat sink and build-up layers
US6555906B2 (en) 2000-12-15 2003-04-29 Intel Corporation Microelectronic package having a bumpless laminated interconnection layer
JP4108285B2 (ja) 2000-12-15 2008-06-25 イビデン株式会社 多層プリント配線板の製造方法
US6388207B1 (en) 2000-12-29 2002-05-14 Intel Corporation Electronic assembly with trench structures and methods of manufacture
TW511415B (en) 2001-01-19 2002-11-21 Matsushita Electric Ind Co Ltd Component built-in module and its manufacturing method
JP2001244591A (ja) 2001-02-06 2001-09-07 Ngk Spark Plug Co Ltd 配線基板及びその製造方法
US6512182B2 (en) 2001-03-12 2003-01-28 Ngk Spark Plug Co., Ltd. Wiring circuit board and method for producing same
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
ATE323569T1 (de) 2001-03-22 2006-05-15 Xsil Technology Ltd Ein laserbearbeitungssystem und -verfahren
US6465084B1 (en) 2001-04-12 2002-10-15 International Business Machines Corporation Method and structure for producing Z-axis interconnection assembly of printed wiring board elements
US6894399B2 (en) 2001-04-30 2005-05-17 Intel Corporation Microelectronic device having signal distribution functionality on an interfacial layer thereof
US20030059976A1 (en) 2001-09-24 2003-03-27 Nathan Richard J. Integrated package and methods for making same
JP2003188340A (ja) 2001-12-19 2003-07-04 Matsushita Electric Ind Co Ltd 部品内蔵モジュールとその製造方法
JP3998984B2 (ja) 2002-01-18 2007-10-31 富士通株式会社 回路基板及びその製造方法
US6506632B1 (en) 2002-02-15 2003-01-14 Unimicron Technology Corp. Method of forming IC package having downward-facing chip cavity
US7358157B2 (en) 2002-03-27 2008-04-15 Gsi Group Corporation Method and system for high-speed precise laser trimming, scan lens system for use therein and electrical device produced thereby
US7028400B1 (en) 2002-05-01 2006-04-18 Amkor Technology, Inc. Integrated circuit substrate having laser-exposed terminals
JP3871609B2 (ja) 2002-05-27 2007-01-24 松下電器産業株式会社 半導体装置及びその製造方法
JP2003347741A (ja) 2002-05-30 2003-12-05 Taiyo Yuden Co Ltd 複合多層基板およびそれを用いたモジュール
JP3908146B2 (ja) 2002-10-28 2007-04-25 シャープ株式会社 半導体装置及び積層型半導体装置
US6905914B1 (en) 2002-11-08 2005-06-14 Amkor Technology, Inc. Wafer level package and fabrication method
US7105931B2 (en) 2003-01-07 2006-09-12 Abbas Ismail Attarwala Electronic package and method
US8704359B2 (en) 2003-04-01 2014-04-22 Ge Embedded Electronics Oy Method for manufacturing an electronic module and an electronic module
JP2004311788A (ja) 2003-04-08 2004-11-04 Matsushita Electric Ind Co Ltd シート状モジュールとその製造方法
JP2004335641A (ja) 2003-05-06 2004-11-25 Canon Inc 半導体素子内蔵基板の製造方法
EP1478021B1 (en) 2003-05-15 2008-07-16 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method thereof
US6964897B2 (en) * 2003-06-09 2005-11-15 International Business Machines Corporation SOI trench capacitor cell incorporating a low-leakage floating body array transistor
US20060283716A1 (en) 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
CN1577819A (zh) 2003-07-09 2005-02-09 松下电器产业株式会社 带内置电子部件的电路板及其制造方法
US7271012B2 (en) 2003-07-15 2007-09-18 Control Systemation, Inc. Failure analysis methods and systems
EP1515364B1 (en) 2003-09-15 2016-04-13 Nuvotronics, LLC Device package and methods for the fabrication and testing thereof
US7064069B2 (en) 2003-10-21 2006-06-20 Micron Technology, Inc. Substrate thinning including planarization
JP4081052B2 (ja) 2003-12-05 2008-04-23 三井金属鉱業株式会社 プリント配線基板の製造法
JP4271590B2 (ja) 2004-01-20 2009-06-03 新光電気工業株式会社 半導体装置及びその製造方法
US7309515B2 (en) 2004-02-04 2007-12-18 Industrial Technology Research Institute Method for fabricating an imprint mold structure
TWI256095B (en) 2004-03-11 2006-06-01 Siliconware Precision Industries Co Ltd Wafer level semiconductor package with build-up layer and process for fabricating the same
US20060000814A1 (en) 2004-06-30 2006-01-05 Bo Gu Laser-based method and system for processing targeted surface material and article produced thereby
US8571541B2 (en) 2004-07-15 2013-10-29 Avaya Inc. Proximity-based authorization
DE102004038852B4 (de) 2004-08-10 2006-06-29 Webasto Ag Spritzgießmaschine
KR100858309B1 (ko) 2004-09-01 2008-09-11 스미토모 긴조쿠 고잔 가부시키가이샤 2층 플렉시블 기판 및 그 제조 방법
TWI241007B (en) 2004-09-09 2005-10-01 Phoenix Prec Technology Corp Semiconductor device embedded structure and method for fabricating the same
TW200618705A (en) 2004-09-16 2006-06-01 Tdk Corp Multilayer substrate and manufacturing method thereof
US20060073234A1 (en) 2004-10-06 2006-04-06 Williams Michael E Concrete stamp and method of manufacture
JP4564342B2 (ja) 2004-11-24 2010-10-20 大日本印刷株式会社 多層配線基板およびその製造方法
TWI301660B (en) 2004-11-26 2008-10-01 Phoenix Prec Technology Corp Structure of embedding chip in substrate and method for fabricating the same
TWI245384B (en) 2004-12-10 2005-12-11 Phoenix Prec Technology Corp Package structure with embedded chip and method for fabricating the same
TWI245388B (en) 2005-01-06 2005-12-11 Phoenix Prec Technology Corp Three dimensional package structure of semiconductor chip embedded in substrate and method for fabricating the same
US7579224B2 (en) 2005-01-21 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film semiconductor device
TWI260056B (en) 2005-02-01 2006-08-11 Phoenix Prec Technology Corp Module structure having an embedded chip
JP2006216712A (ja) 2005-02-02 2006-08-17 Ibiden Co Ltd 多層プリント配線板
JP2006216713A (ja) 2005-02-02 2006-08-17 Ibiden Co Ltd 多層プリント配線板
TWI283553B (en) 2005-04-21 2007-07-01 Ind Tech Res Inst Thermal enhanced low profile package structure and method for fabricating the same
US7919844B2 (en) 2005-05-26 2011-04-05 Aprolase Development Co., Llc Tier structure with tier frame having a feedthrough structure
US7767493B2 (en) 2005-06-14 2010-08-03 John Trezza Post & penetration interconnection
KR100714196B1 (ko) 2005-07-11 2007-05-02 삼성전기주식회사 전기소자를 내장한 인쇄회로기판 및 그 제조방법
TWI263313B (en) 2005-08-15 2006-10-01 Phoenix Prec Technology Corp Stack structure of semiconductor component embedded in supporting board
US20070042563A1 (en) 2005-08-19 2007-02-22 Honeywell International Inc. Single crystal based through the wafer connections technical field
US20070077865A1 (en) 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
KR100772639B1 (ko) 2005-10-18 2007-11-02 한국기계연구원 다이아몬드상 카본 박막을 이용한 미세 임프린트리소그래피용 스탬프 및 그 제조방법
CN100463128C (zh) 2005-11-25 2009-02-18 全懋精密科技股份有限公司 半导体芯片埋入基板的三维构装结构及其制作方法
CN100524717C (zh) 2005-11-25 2009-08-05 全懋精密科技股份有限公司 芯片内埋的模块化结构
KR100688701B1 (ko) 2005-12-14 2007-03-02 삼성전기주식회사 랜드리스 비아홀을 구비한 인쇄회로기판의 제조방법
KR101329931B1 (ko) 2006-04-25 2013-11-28 니혼도꾸슈도교 가부시키가이샤 배선기판
KR101037229B1 (ko) 2006-04-27 2011-05-25 스미토모 베이클리트 컴퍼니 리미티드 반도체 장치 및 반도체 장치의 제조 방법
WO2007127984A2 (en) 2006-04-28 2007-11-08 Polyset Company, Inc. Siloxane epoxy polymers for redistribution layer applications
JP2007311676A (ja) 2006-05-22 2007-11-29 Sony Corp 半導体装置とその製造方法
US8022552B2 (en) 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
KR100731112B1 (ko) 2006-07-24 2007-06-22 동부일렉트로닉스 주식회사 포토 레지스트를 제거하기 위한 cmp 슬러리
JP5252792B2 (ja) 2006-08-25 2013-07-31 日本ミクロコーティング株式会社 酸化物超伝導体用テープ基材の研磨方法並びに酸化物超伝導体及び酸化物超伝導体用基材
KR20080037296A (ko) 2006-10-25 2008-04-30 삼성전자주식회사 박막 트랜지스터 기판 및 그 제조방법
US7427562B2 (en) 2006-11-08 2008-09-23 Motorla, Inc. Method for fabricating closed vias in a printed circuit board
US20080136002A1 (en) 2006-12-07 2008-06-12 Advanced Chip Engineering Technology Inc. Multi-chips package and method of forming the same
US7915737B2 (en) 2006-12-15 2011-03-29 Sanyo Electric Co., Ltd. Packing board for electronic device, packing board manufacturing method, semiconductor module, semiconductor module manufacturing method, and mobile device
TWI330401B (en) 2006-12-25 2010-09-11 Unimicron Technology Corp Circuit board structure having embedded semiconductor component and fabrication method thereof
US20080173792A1 (en) 2007-01-23 2008-07-24 Advanced Chip Engineering Technology Inc. Image sensor module and the method of the same
KR101030769B1 (ko) 2007-01-23 2011-04-27 삼성전자주식회사 스택 패키지 및 스택 패키징 방법
CN100561696C (zh) 2007-03-01 2009-11-18 全懋精密科技股份有限公司 嵌埋半导体芯片的结构及其制法
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
JP2008277339A (ja) 2007-04-25 2008-11-13 Tdk Corp 電子部品およびその製造方法
KR100891805B1 (ko) * 2007-05-25 2009-04-07 주식회사 네패스 웨이퍼 레벨 시스템 인 패키지 및 그 제조 방법
US8710402B2 (en) 2007-06-01 2014-04-29 Electro Scientific Industries, Inc. Method of and apparatus for laser drilling holes with improved taper
US8143719B2 (en) 2007-06-07 2012-03-27 United Test And Assembly Center Ltd. Vented die and package
US8314343B2 (en) 2007-09-05 2012-11-20 Taiyo Yuden Co., Ltd. Multi-layer board incorporating electronic component and method for producing the same
WO2009050207A1 (en) 2007-10-15 2009-04-23 Interuniversitair Microelectronica Centrum Vzw Method for producing electrical interconnects and devices made thereof
US8476769B2 (en) 2007-10-17 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias and methods for forming the same
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
JP5280079B2 (ja) 2008-03-25 2013-09-04 新光電気工業株式会社 配線基板の製造方法
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
KR20090116168A (ko) 2008-05-06 2009-11-11 삼성전자주식회사 금속 배선 기판, 박막 트랜지스터 기판, 및 금속 배선의형성 방법
US7842542B2 (en) 2008-07-14 2010-11-30 Stats Chippac, Ltd. Embedded semiconductor die package and method of making the same using metal frame carrier
SG177945A1 (en) 2008-07-18 2012-02-28 United Test & Assembly Ct Lt Packaging structural member
CN102149784B (zh) 2008-07-22 2014-03-05 圣戈班磨料磨具有限公司 包含聚集体的涂覆的磨料产品
US20100062287A1 (en) 2008-09-10 2010-03-11 Seagate Technology Llc Method of polishing amorphous/crystalline glass to achieve a low rq & wq
US8633420B2 (en) 2008-10-10 2014-01-21 Ipg Microsystems Llc Laser machining systems and methods with debris extraction
JP5246103B2 (ja) 2008-10-16 2013-07-24 大日本印刷株式会社 貫通電極基板の製造方法
US7982305B1 (en) 2008-10-20 2011-07-19 Maxim Integrated Products, Inc. Integrated circuit package including a three-dimensional fan-out / fan-in signal routing
JP5111342B2 (ja) 2008-12-01 2013-01-09 日本特殊陶業株式会社 配線基板
US8354304B2 (en) 2008-12-05 2013-01-15 Stats Chippac, Ltd. Semiconductor device and method of forming conductive posts embedded in photosensitive encapsulant
KR20100067966A (ko) 2008-12-12 2010-06-22 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US9064936B2 (en) 2008-12-12 2015-06-23 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
US8592992B2 (en) 2011-12-14 2013-11-26 Stats Chippac, Ltd. Semiconductor device and method of forming vertical interconnect structure with conductive micro via array for 3-D Fo-WLCSP
CN102318451B (zh) 2008-12-13 2013-11-06 万佳雷射有限公司 用于激光加工相对窄和相对宽的结构的方法和设备
US7932608B2 (en) 2009-02-24 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via formed with a post passivation interconnect structure
KR101065744B1 (ko) 2009-02-27 2011-09-19 주식회사 티지솔라 요철구조가 형성된 기판을 이용한 태양전지의 제조방법
US8609512B2 (en) 2009-03-27 2013-12-17 Electro Scientific Industries, Inc. Method for laser singulation of chip scale packages on glass substrates
US7955942B2 (en) 2009-05-18 2011-06-07 Stats Chippac, Ltd. Semiconductor device and method of forming a 3D inductor from prefabricated pillar frame
CN101898405A (zh) 2009-05-27 2010-12-01 鸿富锦精密工业(深圳)有限公司 模具流道组合
TWI523720B (zh) 2009-05-28 2016-03-01 伊雷克托科學工業股份有限公司 應用於雷射處理工件中的特徵的聲光偏轉器及相關雷射處理方法
US20100307798A1 (en) 2009-06-03 2010-12-09 Izadian Jamal S Unified scalable high speed interconnects technologies
KR101708256B1 (ko) 2009-07-29 2017-02-20 닛산 가가쿠 고교 가부시키 가이샤 나노 임프린트용 레지스트 하층막 형성 조성물
US9721868B2 (en) * 2009-07-30 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit (3DIC) having a thermally enhanced heat spreader embedded in a substrate
US8383457B2 (en) 2010-09-03 2013-02-26 Stats Chippac, Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
TWI418272B (zh) 2009-08-25 2013-12-01 Samsung Electro Mech 處理核心基板之空腔的方法
TW201110285A (en) 2009-09-08 2011-03-16 Unimicron Technology Corp Package structure having embedded semiconductor element and method of forming the same
US8772087B2 (en) 2009-10-22 2014-07-08 Infineon Technologies Ag Method and apparatus for semiconductor device fabrication using a reconstituted wafer
US8728341B2 (en) 2009-10-22 2014-05-20 Hitachi Chemical Company, Ltd. Polishing agent, concentrated one-pack type polishing agent, two-pack type polishing agent and method for polishing substrate
CN102230991B (zh) 2009-10-23 2013-01-09 鸿富锦精密工业(深圳)有限公司 光纤耦合连接器
JP5700241B2 (ja) 2009-11-09 2015-04-15 日立化成株式会社 多層配線基板及びその製造方法
CN102687313A (zh) 2009-11-11 2012-09-19 安普雷斯股份有限公司 用于电极制造的中间层
EP2339627A1 (en) 2009-12-24 2011-06-29 Imec Window interposed die packaging
US9196509B2 (en) 2010-02-16 2015-11-24 Deca Technologies Inc Semiconductor device and method of adaptive patterning for panelized packaging
US8822281B2 (en) 2010-02-23 2014-09-02 Stats Chippac, Ltd. Semiconductor device and method of forming TMV and TSV in WLCSP using same carrier
JP5904556B2 (ja) 2010-03-03 2016-04-13 ジョージア テック リサーチ コーポレイション 無機インターポーザ上のパッケージ貫通ビア(tpv)構造およびその製造方法
EP2558908B1 (en) 2010-04-12 2015-06-03 Ikonics Corporation Photoresist film and methods for abrasive etching and cutting
US8970006B2 (en) * 2010-06-15 2015-03-03 Stmicroelectronics S.R.L. Vertical conductive connections in semiconductor substrates
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
US20130105329A1 (en) 2010-08-02 2013-05-02 Atotech Deutschland Gmbh Method to form solder deposits and non-melting bump structures on substrates
JP2012069926A (ja) 2010-08-21 2012-04-05 Ibiden Co Ltd プリント配線板及びプリント配線板の製造方法
US8518746B2 (en) 2010-09-02 2013-08-27 Stats Chippac, Ltd. Semiconductor device and method of forming TSV semiconductor wafer with embedded semiconductor die
TWI434387B (zh) 2010-10-11 2014-04-11 Advanced Semiconductor Eng 具有穿導孔之半導體裝置及具有穿導孔之半導體裝置之封裝結構及其製造方法
TWI418269B (zh) 2010-12-14 2013-12-01 Unimicron Technology Corp 嵌埋穿孔中介層之封裝基板及其製法
US8617990B2 (en) 2010-12-20 2013-12-31 Intel Corporation Reduced PTH pad for enabling core routing and substrate layer count reduction
US8329575B2 (en) 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
JP5693977B2 (ja) 2011-01-11 2015-04-01 新光電気工業株式会社 配線基板及びその製造方法
US8536695B2 (en) 2011-03-08 2013-09-17 Georgia Tech Research Corporation Chip-last embedded interconnect structures
JP2012195514A (ja) 2011-03-17 2012-10-11 Seiko Epson Corp 素子付き基板、赤外線センサー、および貫通電極形成方法
US20120261805A1 (en) 2011-04-14 2012-10-18 Georgia Tech Research Corporation Through package via structures in panel-based silicon substrates and methods of making the same
JP5275401B2 (ja) * 2011-04-18 2013-08-28 新光電気工業株式会社 配線基板、半導体装置及び配線基板の製造方法
CN102800596A (zh) * 2011-05-24 2012-11-28 中国科学院微电子研究所 埋置有源元件的树脂基板及其制备方法
WO2013008415A1 (ja) 2011-07-08 2013-01-17 パナソニック株式会社 配線基板および立体配線基板の製造方法
JP2013074178A (ja) 2011-09-28 2013-04-22 Ngk Spark Plug Co Ltd 部品内蔵配線基板の製造方法
CN102437110B (zh) 2011-11-30 2015-07-29 北京大学 一种石墨烯垂直互连结构的制作方法
US9224674B2 (en) 2011-12-15 2015-12-29 Intel Corporation Packaged semiconductor die with bumpless die-package interface for bumpless build-up layer (BBUL) packages
US8772058B2 (en) 2012-02-02 2014-07-08 Harris Corporation Method for making a redistributed wafer using transferrable redistribution layers
AU2013222069A1 (en) 2012-02-26 2014-10-16 Solexel, Inc. Systems and methods for laser splitting and device layer transfer
US8698293B2 (en) 2012-05-25 2014-04-15 Infineon Technologies Ag Multi-chip package and method of manufacturing thereof
JP5981232B2 (ja) 2012-06-06 2016-08-31 新光電気工業株式会社 半導体パッケージ、半導体装置及び半導体パッケージの製造方法
JP6029342B2 (ja) 2012-06-15 2016-11-24 新光電気工業株式会社 配線基板及びその製造方法
DE102012210472A1 (de) 2012-06-21 2013-12-24 Robert Bosch Gmbh Verfahren zum Herstellen eines Bauelements mit einer elektrischen Durchkontaktierung
JP2014038904A (ja) 2012-08-13 2014-02-27 Elpida Memory Inc 半導体装置
CN103635017B (zh) 2012-08-24 2016-12-28 碁鼎科技秦皇岛有限公司 电路板及其制作方法
US8890628B2 (en) 2012-08-31 2014-11-18 Intel Corporation Ultra slim RF package for ultrabooks and smart phones
SE538062C2 (sv) * 2012-09-27 2016-02-23 Silex Microsystems Ab Kemiskt pläterad metallvia genom kisel
US9385102B2 (en) 2012-09-28 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming supporting layer over semiconductor die in thin fan-out wafer level chip scale package
CN102890591B (zh) 2012-09-28 2016-03-09 北京京东方光电科技有限公司 一种触摸屏、触控显示装置及触摸屏的制造方法
JP6120974B2 (ja) 2012-09-28 2017-04-26 サン−ゴバン セラミックス アンド プラスティクス,インコーポレイティド 修正されたマイクロ研削プロセス
US9029238B2 (en) 2012-10-11 2015-05-12 International Business Machines Corporation Advanced handler wafer bonding and debonding
KR101301507B1 (ko) 2012-11-26 2013-09-04 (주)씨엠코리아 반도체 제조장치용 히터 제조방법 및 그에 따라 제조된 히터
KR102072846B1 (ko) 2012-12-18 2020-02-03 에스케이하이닉스 주식회사 임베디드 패키지 및 제조 방법
KR20140083657A (ko) 2012-12-26 2014-07-04 하나 마이크론(주) 인터포저가 임베디드 되는 전자 모듈 및 그 제조방법
KR101441632B1 (ko) 2012-12-28 2014-09-23 (재)한국나노기술원 글라스 기반 프로브 카드용 스페이스 트랜스포머의 제조방법 및 이에 의해 제조된 글라스 기반 프로브 카드용 스페이스 트랜스포머
CN104781928A (zh) 2013-01-07 2015-07-15 联合材料公司 陶瓷布线基板、半导体装置、及陶瓷布线基板的制造方法
US9378982B2 (en) 2013-01-31 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Die package with openings surrounding end-portions of through package vias (TPVs) and package on package (PoP) using the die package
US9704809B2 (en) 2013-03-05 2017-07-11 Maxim Integrated Products, Inc. Fan-out and heterogeneous packaging of electronic components
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
KR101494413B1 (ko) 2013-05-29 2015-02-17 주식회사 네패스 지지프레임 및 이를 이용한 반도체패키지 제조방법
US20140353019A1 (en) * 2013-05-30 2014-12-04 Deepak ARORA Formation of dielectric with smooth surface
JP6214930B2 (ja) 2013-05-31 2017-10-18 スナップトラック・インコーポレーテッド 多層配線基板
US9685414B2 (en) 2013-06-26 2017-06-20 Intel Corporation Package assembly for embedded die and associated techniques and configurations
US8980691B2 (en) 2013-06-28 2015-03-17 Stats Chippac, Ltd. Semiconductor device and method of forming low profile 3D fan-out package
WO2014209404A1 (en) 2013-06-29 2014-12-31 Intel Corporation Interconnect structure comprising fine pitch backside metal redistribution lines combined with vias
US8952544B2 (en) 2013-07-03 2015-02-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10446335B2 (en) 2013-08-08 2019-10-15 Zhuhai Access Semiconductor Co., Ltd. Polymer frame for a chip, such that the frame comprises at least one via in series with a capacitor
US9209151B2 (en) 2013-09-26 2015-12-08 General Electric Company Embedded semiconductor device package and method of manufacturing thereof
US9530752B2 (en) 2013-11-11 2016-12-27 Infineon Technologies Ag Method for forming electronic components
KR20150056483A (ko) 2013-11-14 2015-05-26 주식회사 아모그린텍 연성인쇄회로기판과 그 제조 방법
US9159678B2 (en) 2013-11-18 2015-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
JP2015109346A (ja) * 2013-12-04 2015-06-11 日本特殊陶業株式会社 部品内蔵配線基板及びその製造方法
WO2015083345A1 (ja) 2013-12-04 2015-06-11 日本特殊陶業株式会社 部品内蔵配線基板及びその製造方法
US10014292B2 (en) 2015-03-09 2018-07-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US9355881B2 (en) 2014-02-18 2016-05-31 Infineon Technologies Ag Semiconductor device including a dielectric material
WO2015126438A1 (en) 2014-02-20 2015-08-27 Applied Materials, Inc. Laser ablation platform for solar cells
US9735134B2 (en) 2014-03-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with through-vias having tapered ends
SG11201606359QA (en) 2014-03-12 2016-09-29 Intel Corp Microelectronic package having a passive microelectronic device disposed within a package body
US9499397B2 (en) 2014-03-31 2016-11-22 Freescale Semiconductor, Inc. Microelectronic packages having axially-partitioned hermetic cavities and methods for the fabrication thereof
US9326373B2 (en) 2014-04-09 2016-04-26 Finisar Corporation Aluminum nitride substrate
US10074631B2 (en) 2014-04-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Packages and packaging methods for semiconductor devices, and packaged semiconductor devices
US9589786B2 (en) 2014-04-28 2017-03-07 National Center For Advanced Packaging Co., Ltd Method for polishing a polymer surface
SG11201608264YA (en) 2014-05-06 2016-10-28 Intel Corp Multi-layer package with integrated antenna
US10256180B2 (en) 2014-06-24 2019-04-09 Ibis Innotech Inc. Package structure and manufacturing method of package structure
US9396999B2 (en) 2014-07-01 2016-07-19 Freescale Semiconductor, Inc. Wafer level packaging method
CN105336670B (zh) 2014-07-14 2018-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP6394136B2 (ja) 2014-07-14 2018-09-26 凸版印刷株式会社 パッケージ基板およびその製造方法
JP6324876B2 (ja) 2014-07-16 2018-05-16 新光電気工業株式会社 配線基板、半導体装置及び配線基板の製造方法
KR20160013706A (ko) 2014-07-28 2016-02-05 삼성전기주식회사 인쇄회로기판 및 인쇄회로기판의 제조 방법
CN105436718A (zh) 2014-08-26 2016-03-30 安捷利电子科技(苏州)有限公司 一种uv激光钻孔制备具有可控锥度盲孔的方法
US9991239B2 (en) 2014-09-18 2018-06-05 Intel Corporation Method of embedding WLCSP components in e-WLB and e-PLB
KR102268386B1 (ko) 2014-09-30 2021-06-23 삼성전기주식회사 회로기판
KR20160048277A (ko) 2014-10-23 2016-05-04 에스케이하이닉스 주식회사 칩 내장 패키지 및 그 제조방법
US9554469B2 (en) 2014-12-05 2017-01-24 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Method of fabricating a polymer frame with a rectangular array of cavities
US10269722B2 (en) 2014-12-15 2019-04-23 Bridge Semiconductor Corp. Wiring board having component integrated with leadframe and method of making the same
US9318376B1 (en) 2014-12-15 2016-04-19 Freescale Semiconductor, Inc. Through substrate via with diffused conductive component
KR20160088233A (ko) 2014-12-19 2016-07-25 인텔 아이피 코포레이션 개선된 인터커넥트 대역폭을 갖는 적층된 반도체 디바이스 패키지
US9754849B2 (en) 2014-12-23 2017-09-05 Intel Corporation Organic-inorganic hybrid structure for integrated circuit packages
US20160329299A1 (en) 2015-05-05 2016-11-10 Mediatek Inc. Fan-out package structure including antenna
US9842789B2 (en) 2015-05-11 2017-12-12 Samsung Electro-Mechanics Co., Ltd. Electronic component package and method of manufacturing the same
US10109588B2 (en) 2015-05-15 2018-10-23 Samsung Electro-Mechanics Co., Ltd. Electronic component package and package-on-package structure including the same
US9837484B2 (en) 2015-05-27 2017-12-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming substrate including embedded component with symmetrical structure
US9978720B2 (en) 2015-07-06 2018-05-22 Infineon Technologies Ag Insulated die
US20190189561A1 (en) 2015-07-15 2019-06-20 Chip Solutions, LLC Semiconductor device and method with multiple redistribution layer and fine line capability
US10636753B2 (en) 2015-07-29 2020-04-28 STATS ChipPAC Pte. Ltd. Antenna in embedded wafer-level ball-grid array package
CN105023900A (zh) 2015-08-11 2015-11-04 华天科技(昆山)电子有限公司 埋入硅基板扇出型封装结构及其制造方法
US9601461B2 (en) 2015-08-12 2017-03-21 Semtech Corporation Semiconductor device and method of forming inverted pyramid cavity semiconductor package
JP6542616B2 (ja) 2015-08-27 2019-07-10 古河電気工業株式会社 部品内蔵配線基板の製造方法、部品内蔵配線基板および電子部品固定用テープ
JP2017050315A (ja) 2015-08-31 2017-03-09 イビデン株式会社 プリント配線板及びプリント配線板の製造方法
US9761571B2 (en) 2015-09-17 2017-09-12 Deca Technologies Inc. Thermally enhanced fully molded fan-out module
WO2017052633A1 (en) 2015-09-25 2017-03-30 Vivek Raghunathan Thin electronic package elements using laser spallation
CN108140603B (zh) 2015-10-04 2023-02-28 应用材料公司 基板支撑件和挡板设备
US9837352B2 (en) 2015-10-07 2017-12-05 Advanced Semiconductor Engineering, Inc. Semiconductor device and method for manufacturing the same
WO2017074390A1 (en) 2015-10-29 2017-05-04 Intel Corporation Alternative surfaces for conductive pad layers of silicon bridges for semiconductor packages
TW201717343A (zh) 2015-11-04 2017-05-16 華亞科技股份有限公司 封裝上封裝構件及其製作方法
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
JP6626697B2 (ja) 2015-11-24 2019-12-25 京セラ株式会社 配線基板およびその製造方法
US9660037B1 (en) 2015-12-15 2017-05-23 Infineon Technologies Austria Ag Semiconductor wafer and method
US10950550B2 (en) 2015-12-22 2021-03-16 Intel Corporation Semiconductor package with through bridge die connections
CN105575938B (zh) 2016-02-26 2018-10-26 中国科学院微电子研究所 一种硅基转接板及其制备方法
US9875970B2 (en) 2016-04-25 2018-01-23 Samsung Electro-Mechanics Co., Ltd. Fan-out semiconductor package
US10553515B2 (en) 2016-04-28 2020-02-04 Intel Corporation Integrated circuit structures with extended conductive pathways
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
WO2017200705A1 (en) 2016-05-20 2017-11-23 ARES Materials, Inc. Polymer substrate for flexible electronics microfabrication and methods of use
US11156788B2 (en) 2016-07-14 2021-10-26 Intel Corporation Semiconductor package with embedded optical die
US9748167B1 (en) 2016-07-25 2017-08-29 United Microelectronics Corp. Silicon interposer, semiconductor package using the same, and fabrication method thereof
US10269771B2 (en) 2016-08-31 2019-04-23 Advanced Semiconductor Engineering, Inc. Semiconductor device package and a method of manufacturing the same
KR102566996B1 (ko) 2016-09-09 2023-08-14 삼성전자주식회사 FOWLP 형태의 반도체 패키지 및 이를 가지는 PoP 형태의 반도체 패키지
US9887167B1 (en) 2016-09-19 2018-02-06 Advanced Semiconductor Engineering, Inc. Embedded component package structure and method of manufacturing the same
KR102012443B1 (ko) 2016-09-21 2019-08-20 삼성전자주식회사 팬-아웃 반도체 패키지
JP2018073890A (ja) 2016-10-25 2018-05-10 イビデン株式会社 プリント配線板およびプリント配線板の製造方法
CN106531647B (zh) 2016-12-29 2019-08-09 华进半导体封装先导技术研发中心有限公司 一种扇出型芯片的封装结构及其封装方法
CN110024111B (zh) 2016-12-30 2024-03-19 英特尔公司 带有具有用于扇出缩放的柱和过孔连接的高密度互连层的封装衬底
KR102561987B1 (ko) 2017-01-11 2023-07-31 삼성전기주식회사 반도체 패키지와 그 제조 방법
US9972589B1 (en) * 2017-03-30 2018-05-15 Intel Corporation Integrated circuit package substrate with microstrip architecture and electrically grounded surface conductive layer
KR102019353B1 (ko) 2017-04-07 2019-09-09 삼성전자주식회사 팬-아웃 센서 패키지 및 이를 포함하는 광학방식 지문센서 모듈
JP6827663B2 (ja) 2017-04-24 2021-02-10 株式会社荏原製作所 基板の研磨装置
TWI645519B (zh) 2017-06-02 2018-12-21 旭德科技股份有限公司 元件內埋式封裝載板及其製作方法
US10304765B2 (en) 2017-06-08 2019-05-28 Advanced Semiconductor Engineering, Inc. Semiconductor device package
US10163803B1 (en) 2017-06-20 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages and methods of forming the same
US10211072B2 (en) 2017-06-23 2019-02-19 Applied Materials, Inc. Method of reconstituted substrate formation for advanced packaging applications
TW201909245A (zh) 2017-07-24 2019-03-01 美商康寧公司 精密結構玻璃物件、積體電路封裝、光學元件、微流體元件及其製造方法
US10410971B2 (en) 2017-08-29 2019-09-10 Qualcomm Incorporated Thermal and electromagnetic interference shielding for die embedded in package substrate
US10515912B2 (en) 2017-09-24 2019-12-24 Intel Corporation Integrated circuit packages
US10269773B1 (en) 2017-09-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
WO2019066988A1 (en) 2017-09-30 2019-04-04 Intel Corporation INTEGRATED PCB / HOUSING STACK FOR DOUBLE-SIDED INTERCONNECTION
KR101892869B1 (ko) 2017-10-20 2018-08-28 삼성전기주식회사 팬-아웃 반도체 패키지
KR101922884B1 (ko) 2017-10-26 2018-11-28 삼성전기 주식회사 팬-아웃 반도체 패키지
KR101963292B1 (ko) 2017-10-31 2019-03-28 삼성전기주식회사 팬-아웃 반도체 패키지
US10515827B2 (en) 2017-10-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package with recessed interposer substrate
US10468339B2 (en) 2018-01-19 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Heterogeneous fan-out structure and method of manufacture
US10388631B1 (en) 2018-01-29 2019-08-20 Globalfoundries Inc. 3D IC package with RDL interposer and related method
TWI791769B (zh) 2018-02-27 2023-02-11 日商迪愛生股份有限公司 電子零件封裝及其製造方法
EP3766097A4 (en) 2018-03-15 2022-04-13 Applied Materials, Inc. PLANARIZATION FOR PROCESSES FOR MANUFACTURING SEMICONDUCTOR DEVICE PACKAGES
US10948818B2 (en) 2018-03-19 2021-03-16 Applied Materials, Inc. Methods and apparatus for creating a large area imprint without a seam
US10356903B1 (en) 2018-03-28 2019-07-16 Apple Inc. System-in-package including opposing circuit boards
US11178772B2 (en) 2018-03-29 2021-11-16 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Component carrier connected with a separate tilted component carrier for short electric connection
US11063007B2 (en) 2018-05-21 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10955606B2 (en) 2018-05-30 2021-03-23 Applied Materials, Inc. Method of imprinting tilt angle light gratings
US10424530B1 (en) * 2018-06-21 2019-09-24 Intel Corporation Electrical interconnections with improved compliance due to stress relaxation and method of making
US10705268B2 (en) 2018-06-29 2020-07-07 Applied Materials, Inc. Gap fill of imprinted structure with spin coated high refractive index material for optical components
IT201900006740A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
US11764150B2 (en) 2019-07-03 2023-09-19 Intel Corporation Inductors for package substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002208778A (ja) * 2001-01-10 2002-07-26 Ibiden Co Ltd 多層プリント配線板
KR20040096537A (ko) * 2002-12-11 2004-11-16 다이니폰 인사츠 가부시키가이샤 다층 배선기판 및 그 제조 방법
US20180019197A1 (en) * 2016-07-12 2018-01-18 Sri Ranga Sai BOYAPATI Package with passivated interconnects
JP2019009297A (ja) * 2017-06-26 2019-01-17 京セラ株式会社 配線基板およびその製造方法

Also Published As

Publication number Publication date
KR20240008978A (ko) 2024-01-19
US10937726B1 (en) 2021-03-02
KR102625123B1 (ko) 2024-01-12
TWI819252B (zh) 2023-10-21
US20210249345A1 (en) 2021-08-12
WO2021108064A1 (en) 2021-06-03
TW202404430A (zh) 2024-01-16
KR102643053B1 (ko) 2024-02-29
US20210257289A1 (en) 2021-08-19
KR20240032172A (ko) 2024-03-08
US20210159158A1 (en) 2021-05-27
CN114762099A (zh) 2022-07-15
US20210159160A1 (en) 2021-05-27
JP2023503579A (ja) 2023-01-31
JP7454668B2 (ja) 2024-03-22
KR20220104233A (ko) 2022-07-26
US11862546B2 (en) 2024-01-02
US11881447B2 (en) 2024-01-23
TW202123785A (zh) 2021-06-16
CN114787989A (zh) 2022-07-22
JP2023503580A (ja) 2023-01-31
WO2021108065A1 (en) 2021-06-03
TW202133382A (zh) 2021-09-01

Similar Documents

Publication Publication Date Title
KR102625123B1 (ko) 반도체 디바이스 어셈블리
US11417605B2 (en) Reconstituted substrate for radio frequency applications
US20220157740A1 (en) Package structures with built-in emi shielding
TWI834012B (zh) 封裝核心組件及製造方法
US20230070053A1 (en) Stiffener frame for semiconductor device packages
CN118043957A (en) Reinforcing frame for semiconductor device package

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant