JP2023503579A - パッケージコアアセンブリ及び製造方法 - Google Patents

パッケージコアアセンブリ及び製造方法 Download PDF

Info

Publication number
JP2023503579A
JP2023503579A JP2022529566A JP2022529566A JP2023503579A JP 2023503579 A JP2023503579 A JP 2023503579A JP 2022529566 A JP2022529566 A JP 2022529566A JP 2022529566 A JP2022529566 A JP 2022529566A JP 2023503579 A JP2023503579 A JP 2023503579A
Authority
JP
Japan
Prior art keywords
layer
core structure
assembly
vias
core
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022529566A
Other languages
English (en)
Inventor
ハンウェン チェン,
スティーヴン ヴァハヴェルベク,
ギバク パク,
キュイル チョ,
カーティス レシュキーズ,
ローマン ゴウク,
チンタン ブッフ,
ヴィンセント ディカプリオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023503579A publication Critical patent/JP2023503579A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Heterocyclic Carbon Compounds Containing A Hetero Ring Having Oxygen Or Sulfur (AREA)
  • Semiconductor Lasers (AREA)

Abstract

Figure 2023503579000001
本開示は、半導体コアアセンブリ、及びこれを形成する方法に関する。本明細書に記載される半導体コアアセンブリは、半導体パッケージアセンブリ、PCBアセンブリ、PCBスペーサアセンブリ、チップキャリアアセンブリ、中間キャリアアセンブリ(例えば、グラフィックスカードのための)などを形成するために利用されうる。1つの実施形態では、シリコン基板コアは、直接レーザパターニングによって構造化される。1つ又は複数の導電性相互接続が基板コア内に形成され、1つ又は複数の再分配層がその表面上に形成される。その後、シリコン基板コアは、半導体パッケージ、PCB、PCBスペーサ、チップキャリア、中間キャリアなどのためのコア構造として利用されうる。
【選択図】図1B

Description

[0001] 本開示の実施形態は、概して、電子搭載構造及びその形成方法に関する。より具体的には、本明細書に記載の実施形態は、半導体パッケージ及びPCBアセンブリ、並びにその形成方法に関する。
[0002] 小型化された電子デバイス及び部品に対する需要がますます高まっているため、より大きな回路密度を有するより高速な処理能力に対する要求により、そのような集積回路チップの製造に使用される材料、構造、及びプロセスに対応する要求が課される。しかしながら、統合及び性能の更なる向上に向かったこれらの傾向に加えて、製造コストを削減することが常に求められている。
[0003] 従来、集積回路チップは、有機パッケージ基板におけるフィーチャ(feature、特徴部)及び接続の形成の容易さ、並びに有機複合材料に関連するパッケージ製造コストが比較的低いことにより、回路ボード(例えば、プリント回路ボード(PCB))に結合された有機パッケージ基板上に製造されてきた。しかしながら、回路密度が増加し、電子デバイスが更に小型化されるにつれて、デバイスのスケーリングを維持するための材料構造化解像度及び関連する性能要件の制限のために、有機パッケージ基板及び従来の相互接続PCBの利用は実用的でなくなる。より最近では、2.5D及び3D集積回路が、有機パッケージ基板に関連する制限の一部を補償するために、再分配層として受動シリコンインターポーザを利用して製造されている。シリコンインターポーザの利用は、高帯域幅密度、低電力チップ間通信、及び高度な電子実装及びパッケージング用途で求められる異種統合の可能性によって推進される。しかしながら、シリコン貫通電極(TSV)のようなシリコンインターポーザにおけるフィーチャの形成は、依然として困難であり、かつコストがかかる。特に、高アスペクト比シリコンビアエッチング、化学機械的平坦化、及び半導体バックエンドオブライン(BEOL)相互接続によって、高いコストが課される。
[0004] したがって、当該技術分野で必要とされるのは、密度が増加した改良された半導体パッケージ及びPCBコアアセンブリと、これらを形成する方法である。
[0005] 本開示は、概して、電子搭載構造及びその形成方法に関する。
[0006] 1つの実施形態では、半導体デバイスアセンブリが提供される。半導体デバイスアセンブリは、第1の表面を有し、該第1の表面が第2の表面の反対側にあり、かつ約1000μm未満の厚さを有する、シリコンコア構造を含む。1つ又は複数の導電性相互接続は、シリコンコア構造を通して形成され、第1の表面及び第2の表面から突出する。半導体デバイスアセンブリは、第1の表面上に形成された第1の再分配層と、第2の表面上に形成された第2の再分配層とを更に含む。第1の再分配層及び第2の再分配層は各々、その上に形成された1つ又は複数の導電性接点を有する。
[0007] 1つの実施形態では、半導体デバイスアセンブリが提供される。半導体デバイスアセンブリは、シリコンコア構造、パッシベーティング層、及び誘電体層を含む。シリコンコア構造は、約1000μm未満の厚さを有する。パッシベーティング層は、シリコンコア構造を囲み、熱酸化物を含む。誘電体層は、パッシベーティング層上に形成され、シリカ粒子が配置されたエポキシ樹脂を含む。
[0008] 1つの実施形態では、半導体デバイスアセンブリが提供される。半導体デバイスは、シリコンコア構造と、シリコン構造を囲み、熱酸化物を含むパッシベーティング層と、パッシベーティング層を囲み、エポキシ樹脂で形成された誘電体層と、誘電体層上に形成された再分配層とを含む。再分配層は、誘電体層上に形成され、モリブデンで形成された接着層と、接着層上に形成された銅シード層と、銅シード層上に形成された銅層とを更に含む。
[0009] 本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本開示のより具体的な説明を、実施形態を参照することによって行うことができ、そのいくつかを添付図面に示す。しかしながら、添付図面は例示的な実施形態を示しているにすぎず、従って、本開示の範囲を限定すると見なされるべきではなく、その他の等しく有効な実施形態を許容しうることに留意されたい。
[0010] 本明細書に記載の実施形態による、半導体コアアセンブリの断面図を概略的に示す。 [0011] 本明細書に記載の実施形態による、半導体コアアセンブリの断面図を概略的に示す。 [0012] 本明細書に記載の実施形態による、図1A及び図1Bの半導体コアアセンブリを形成するためのプロセスを示すフロー図である。 [0013] 本明細書に記載の実施形態による、半導体コアアセンブリのための基板を構造化するためのプロセスを示すフロー図である。 [0014] A-Dは、本明細書に記載の実施形態による、図3に示されるプロセスの様々な段階における基板の断面図を概略的に示す。 [0015] 本明細書に記載の実施形態による、半導体コアアセンブリのためのコア構造上に絶縁層を形成するプロセスを示すフロー図である。 [0016] A-Iは、本明細書に記載の実施形態による、図5に示されるプロセスの様々な段階におけるコア構造の断面図を概略的に示す。 [0017] 本明細書に記載の実施形態による、半導体コアアセンブリのためのコア構造上に絶縁膜を形成するプロセスを示すフロー図である。 [0018] A-Eは、本明細書に記載の実施形態による、図7に示されるプロセスの様々な段階におけるコア構造の断面図を概略的に示す。 [0019] 本明細書に記載の実施形態による、半導体コアアセンブリ内に相互接続を形成するためのプロセスを示すフロー図である。 [0020] A-Hは、本明細書に記載の実施形態による、図9に示されるプロセスの様々な段階における半導体コアアセンブリの断面図を概略的に示す。 [0021] 本明細書に記載の実施形態による、半導体コアアセンブリ上に再分配層を形成するためのプロセスを示すフロー図である。 [0022] A-Lは、本明細書に記載の実施形態による、図11に示されるプロセスの様々な段階における半導体コアアセンブリの断面図を概略的に示す。 [0023] 本明細書に記載の実施形態による、半導体コアアセンブリを含むチップキャリア構造の断面図を概略的に示す。 [0024] 本明細書に記載の実施形態による、半導体コアアセンブリを含むPCB構造の断面図を概略的に示す。 [0025] 本明細書に記載の実施形態による、半導体コアアセンブリを含むPCB構造の断面図を概略的に示す。
[0026]理解を容易にするために、図に共通する同一の要素を指し示すために、可能な場合には、同一の参照番号を使用した。更なる記述がなくとも、1つの実施形態の要素及びフィーチャが他の実施形態に有利に組み込まれうると想定される。
[0027] 本開示は、半導体コアアセンブリ、及びこれを形成する方法に関する。本明細書に記載される半導体コアアセンブリは、半導体パッケージアセンブリ、PCBアセンブリ、PCBスペーサアセンブリ、チップキャリアアセンブリ、中間キャリアアセンブリ(例えば、グラフィックスカードのための)などを形成するために利用されうる。1つの実施形態では、シリコン基板コアは、直接レーザパターニングによって構造化される。1つ又は複数の導電性相互接続が基板コア内に形成され、1つ又は複数の再分配層がその表面上に形成される。その後、シリコン基板コアは、半導体パッケージ、PCB、PCBスペーサ、チップキャリア、中間キャリアなどのためのコア構造として利用されうる。
[0028] 本明細書に開示される方法及び装置は、ガラス繊維充填エポキシフレームを利用する、より従来的な半導体パッケージ、PCB、及びチップキャリア構造に代わることを意図した新規の薄型フォームファクタ半導体コア構造を含む。概して、現在の半導体パッケージ、PCB、スペーサ、及びチップキャリアのスケーラビリティは、これらの様々な構造を形成するために典型的に利用される材料(例えば、エポキシ成形コンパウンド、エポキシ樹脂バインダを含むFR-4及びFR-5グレードのガラス繊維織布など)の剛性及び平面性の欠如によって制限される。これらの材料の固有の特性により、その中に形成された微細な(例えば、ミクロン寸法の)フィーチャをパターニングして利用することが困難になる。更に、現在利用されている材料の特性(例えば、絶縁性)の結果として、熱膨張係数(CTE)の不整合が、ガラス繊維フレーム、ボード、成形コンパウンド、及びそれらに隣接して配置された任意のチップの間で生じうる。したがって、現在のパッケージ、PCB、スペーサ、及びキャリア構造には、CTEの不整合によって生じた任意の反りの影響を緩和するために、より大きな間隔を有するより大きなはんだバンプが必要になる。従って、従来の半導体パッケージ、PCB、スペーサ、及びキャリアフレームは、構造全体の電気帯域幅が低いことによって特徴付けられ、その結果、全体的な電力効率が低下する。本明細書に開示される方法及び装置は、上述の従来の半導体パッケージ、PCB、スペーサ、及びキャリア構造に関連する欠点の多くを克服する半導体コア構造を提供する。
[0029] 図1A及び図1Bは、いくつかの実施形態による、薄型フォームファクタ半導体コアアセンブリ100の断面図を示す。半導体コアアセンブリ100は、その上に搭載される半導体パッケージの構造的支持及び電気相互接続のために利用されうる。更なる例では、半導体コアアセンブリ100は、チップ又はグラフィックカードなどの、表面搭載デバイスのためのキャリア構造として利用されうる。半導体コアアセンブリ100は、概して、コア構造102、オプションのパッシベーティング層104、及び絶縁層118を含む。
[0030] 1つの実施形態では、コア構造102は、任意の適切な基板材料で形成された、パターニングされた(例えば、構造化された)基板を含む。例えば、コア構造102は、III-V族化合物半導体材料、シリコン、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化ケイ素、シリコンゲルマニウム、ドープされた若しくはドープされていないシリコン、ドープされた若しくはドープされていないポリシリコン、窒化ケイ素、石英、ガラス(例えば、ホウケイ酸ガラス)、サファイア、アルミナ、及び/又はセラミック材料から形成された基板を含む。1つの実施形態では、コア構造102は、単結晶p型又はn型シリコン基板を含む。1つの実施形態では、コア構造102は、多結晶p型又はn型シリコン基板を含む。別の実施形態では、コア構造102は、p型又はn型シリコンソーラー基板を含む。コア構造102を形成するために利用される基板は、多角形又は円形の形状を更に有しうる。例えば、コア構造102は、約120mmと約180mmとの間の横寸法を有する実質的に正方形のシリコン基板を含み、面取りされたエッジを有しても有しなくてもよい。別の例では、コア構造102は、約20mmと約700mmとの間(約100mmと約50mmとの間(例えば約300mm)など)の直径を有する円形のシリコン含有ウエハを含みうる。
[0031] コア構造102は、約50μmと約1000μmとの間の厚さT(約70μmと約800μmとの間の厚さTなど)を有する。例えば、コア構造102は、約80μmと約400μmとの間の厚さT(約100μmと約200μmとの間の厚さTなど)を有する。別の例では、コア構造102は、約70μmと約150μmとの間の厚さT(約100μmと約130μmとの間の厚さTなど)を有する。別の例では、コア構造102は、約700μmと約800μmとの間の厚さT(約725μmと約775μmとの間の厚さTなど)を有する。
[0032] コア構造102は、導電性電気相互接続を、コア構造102を通してルート決めできるように、その中に形成された1つ又は複数の孔又はコアビア103(以下、「コアビア」と称される)を更に含む。概して、1つ又は複数のコアビア103は、実質的に円筒形状である。しかしながら、コアビア103についての他の適切な形態も考えられる。コアビア103は、コア構造102を通る単一のかつ分離したコアビア103として、又は1つ又は複数のグループ分け(grouping)又はアレイで、形成されうる。1つの実施形態では、各コアビア103間の最小ピッチPは、約1000μm未満(約25μmと約200μmとの間など)である。例えば、ピッチPは、約40μmと約150μmとの間である。1つの実施形態では、1つ又は複数のコアビア103は、約500μm未満の直径V(約250μm未満の直径Vなど)を有する。例えば、コアビア103は、約25μmと約100μmとの間の直径V(約30μmと約60μmとの間の直径Vなど)を有する。1つの実施形態では、コアビア103は、約40μmの直径Vを有する。
[0033] オプションのパッシベーティング層104は、第1の表面106、第2の表面108、及びコアビア103の1つ又は複数の側壁を含む、コア構造102の1つ又は複数の表面上に形成されうる。1つの実施形態では、パッシベーティング層104は、パッシベーティング層104がコア構造102を実質的に囲むように、コア構造102の実質的にすべての外面上に形成される。したがって、パッシベーティング層104は、腐食及び他の形態の損傷に対してコア構造102のための保護外側バリアを提供する。1つの実施形態では、パッシベーティング層104は、熱酸化物層などの酸化物膜又は層から形成される。いくつかの例では、パッシベーティング層104は、約100nmと約3μmとの間の厚さ(約200nmと約2.5μmとの間の厚さなど)を有する。1つの例では、パッシベーティング層104は、約300nmと約2μmとの間の厚さ(約1.5μmの厚さなど)を有する。
[0034] 絶縁層118は、コア構造102又はパッシベーティング層104の1つ又は複数の表面上に形成され、パッシベーティング層104及び/又はコア構造102を実質的に収容しうる。したがって、絶縁層118は、コアビア103内に延び、その側壁上に形成されるパッシベーティング層104を被覆するか、又はコア構造102を直接被覆しうる。したがって、図1Aに示されるように、直径Vが画定される。1つの実施形態では、絶縁層118は、コア構造102又はパッシベーティング層104の外面から、約50μm未満である絶縁層118(例えば、主要面105、107)の隣接する外面までの厚さT(約20μm未満の厚さTなど)を有する。例えば、絶縁層118は、約5μmと約10μmとの間の厚さTを有する。
[0035] 1つの実施形態では、絶縁層118は、ポリマーベースの誘電体材料から形成される。例えば、絶縁層118は、流動性ビルドアップ材料から形成される。したがって、以下では「絶縁層」と称されるが、絶縁層118は、誘電体層とも称されうる。更なる実施形態では、絶縁層118は、シリカ(SiO)粒子などのセラミック充填剤を有するエポキシ樹脂材料から形成される。絶縁層118を形成するために利用されうるセラミック充填剤の他の例は、窒化アルミニウム(AlN)、酸化アルミニウム(Al)、炭化ケイ素(SiC)、窒化ケイ素(Si)、SrCeTi16、ケイ酸ジルコニウム(ZrSiO)、珪灰石(CaSiO)、酸化ベリリウム(BeO)、二酸化セリウム(CeO)、窒化ホウ素(BN)、酸化カルシウム銅チタン(CaCuTi12)、酸化マグネシウム(MgO)、二酸化チタン(TiO)、酸化亜鉛(ZnO)などを含む。いくつかの例では、絶縁層118を形成するために利用されるセラミック充填剤は、約40nmと約1.5μmとの間(約80nmと約1μmとの間)のサイズの範囲にある粒子を有する。例えば、セラミック充填剤は、約200nmと約800nmとの間(約300nmと約600nmとの間など)のサイズの範囲にある粒子を有する。いくつかの実施形態では、セラミック充填剤は、コア構造102内の隣接するコアビア103の幅又は直径の約10%未満のサイズ(コアビア103の幅又は直径の約5%未満のサイズなど)を有する粒子を含む。
[0036] 1つ又は複数のアセンブリ貫通孔(through-assembly hole)又はビア113(以下、「アセンブリ貫通ビア」と称される)が、絶縁層118を通して形成され、絶縁層118が、コアビア103内に延びる。例えば、アセンブリ貫通ビア113は、内部に絶縁層118が配置されたコアビア103内で中央に形成されうる。従って、絶縁層118は、アセンブリ貫通ビア113の1つ又は複数の側壁を形成し、ここでアセンブリ貫通ビア113は、コアビア103の直径Vよりも小さい直径Vを有している。1つの実施形態では、アセンブリ貫通ビア113は、約100μm未満(約75μm未満など)の直径Vを有する。例えば、アセンブリ貫通ビア113は、約50μm未満(約35μm未満など)の直径Vを有する。1つの実施形態では、アセンブリ貫通ビア113は、約25μmと約50μmとの間の直径(約35μmと約40μmとの間の直径など)を有する。
[0037] アセンブリ貫通ビア113は、1つ又は複数の電気相互接続144が半導体コアアセンブリ100内に形成されるチャネルを提供する。1つの実施形態では、電気相互接続144は、半導体コアアセンブリ100の厚さ全体(即ち、半導体コアアセンブリ100の第1の主要面105から第2の主要面107まで)を通して形成される。例えば、電気相互接続144は、約50μmと約1000μmとの間の半導体コアアセンブリ100の全体の厚さに対応する長手方向長さ、例えば、約200μmと約800μmとの間の長手方向長さを有しうる。1つの例では、電気相互接続144は、約400μmと約600μmとの間の長手方向長さ、例えば約500μmの長手方向長さを有する。別の実施形態では、電気相互接続144は、半導体コアアセンブリ100の厚さの一部を通してのみ形成される。更なる実施形態では、電気相互接続144は、図1Aに描かれているように、主要面105、107のような半導体コアアセンブリ100の主要面から突出しうる。電気相互接続144は、集積回路、回路ボード、チップキャリア等の分野で使用される任意の導電性材料で形成されうる。例えば、電気相互接続144は、銅、アルミニウム、金、ニッケル、銀、パラジウム、スズ等の金属材料で形成される。
[0038] 図1Aに描かれた実施形態では、電気相互接続144は、それらが形成されるアセンブリ貫通ビア113の直径Vに等しい横方向の厚さを有する。図1Bに示すような別の実施形態では、半導体コアアセンブリ100は、電気相互接続144の電気的絶縁のためにその上に形成された接着層140及び/又はシード層142を更に含む。1つの実施形態では、接着層140は、アセンブリ貫通ビア113の側壁を含む、電気相互接続144に隣接する絶縁層118の表面上に形成される。したがって、図1Bに示されるように、電気相互接続144は、それらが形成されるアセンブリ貫通ビア113の直径Vよりも小さい横方向の厚さを有する。更に別の実施形態では、電気相互接続144は、アセンブリ貫通ビア113の側壁の表面のみを覆い、したがって、貫通する中空コアを有しうる。
[0039] 接着層140は、チタン、窒化チタン、タンタル、窒化タンタル、マンガン、酸化マンガン、モリブデン、酸化コバルト、窒化コバルトなどを含むがこれらに限定されない任意の適切な材料から形成されうる。1つの実施形態では、接着層140は、約10nmと約300nmとの間(約50nmと約150nmとの間など)の厚さBを有する。例えば、接着層140は、約75nmと約125nmとの間(約100nmなど)の厚さBを有する。
[0040] オプションのシード層142は、銅、タングステン、アルミニウム、銀、金、又は任意の他の適切な材料若しくはこれらの組み合わせを含むがこれらに限定されない導電性材料を含む。シード層142は、接着層140上に、又はアセンブリ貫通ビア113の側壁上に直接(例えば、間に接着層を含まずに絶縁層118上に)形成されうる。1つの実施形態では、シード層142は、約50nmと約500nmとの間(約100nmと約300nmとの間など)の厚さを有する。例えば、シード層142は、約150nmと約250nmとの間(約200nmなど)の厚さを有する。
[0041] 図1Bに図示されているようないくつかの実施形態では、半導体コアアセンブリ100は、半導体コアアセンブリ100の第1の側175及び/又は第2の側177に形成された1つ又は複数の再分配層150を更に含む(再分配層150は、図1Bの第2の側177に形成されたものとして図示されている)。1つの実施形態では、再分配層150は、絶縁層118と実質的に同じ材料(例えば、ポリマーベースの誘電体材料)から形成され、したがって、その延長部を形成する。他の実施形態では、再分配層150は、絶縁層118とは異なる材料で形成される。例えば、再分配層150は、感光性ポリイミド材料、非感光性ポリイミド、ポリベンゾオキサゾール(PBO)、ベンゾシクロブテン(BCB)、二酸化ケイ素、及び/又は窒化ケイ素で形成されうる。別の例では、再分配層150は、絶縁層118とは異なる無機誘電体材料から形成される。1つの実施形態では、再分配層150は、約5μmと約50μmとの間の厚さ(約10μmと約40μmとの間の厚さなど)を有する。例えば、再分布層150は、約20μmと約30μmとの間(約25μmなど)の厚さを有する。
[0042] 再分配層150は、電気相互接続144の接触点を、主要面105、107などの半導体コアアセンブリ100の表面上の所望の位置に再配置するための再分配ビア153を通して形成された1つ又は複数の再分配接続154を含みうる。いくつかの実施態様において、再分配層150は、ボールグリッドアレイ又ははんだボールのような主要面105、107上に形成された1つ又は複数の外部電気接続(図示せず)を更に含みうる。概して、再分配ビア153及び再分配接続154は、アセンブリ貫通ビア113及び電気相互接続144に対して、それぞれ、実質的に類似又はより小さい横方向寸法を有する。例えば、再分配ビア153は、約2μmと約50μmとの間の直径V(約10μmと約40μmとの間の直径Vなど(約20μmと約30μmとの間の直径Vなど))を有する。更に、再分配層150は、再分配ビア153の側壁を含む、再分配接続154に隣接する表面上に形成された接着層140及びシード層142を含みうる。
[0043] 図2は、半導体コアアセンブリを形成する代表的な方法200のフロー図を示す。方法200は、複数の工程210、220、230、及び240を有する。各工程は、図3-12Lを参照してより詳細に説明される。この方法は、定義された工程のいずれかの前に、定義されたっ工程のうちの2つの間に、又は定義された工程すべての後に実行される1つ又は複数の追加の工程を含みうる(文脈がその可能性を除外する場合を除く)。
[0044] 概して、方法200は、工程210において、コア構造(例えば、フレーム)として利用される基板を構造化することを含み、図3及び図4A-4Dを参照して更により詳細に説明される。工程220では、絶縁層がコア構造102上に形成され、図5、図6A-6I、図7、及び図8A-8Eを参照して更により詳細に説明される。工程230では、1つ又は複数の相互接続が、コア構造102及び絶縁層を通して形成され、図9及び図10A-10Hを参照して更により詳細に説明される。工程240では、再分配層が絶縁層上に形成されて、組み立てられたコアアセンブリの表面上の所望の位置に相互接続の接点を再配置し、その後コアアセンブリが個片化される(singulated)。いくつかの実施形態では、第1の再分配層に加えて、1つ又は複数の追加の再分配層が形成され、図11及び図12A-12Lを参照してより詳細に説明される。
[0045] 図3は、コア構造として利用される基板400を構造化するための代表的な方法300のフロー図を示す。図4A-4Dは、図3に表される基板構造化プロセス300の様々な段階における基板400の断面図を概略的に示す。したがって、図3及び図4A-4Dは、明確にするために本明細書ではまとめて説明される。
[0046] 方法300は、工程310及び対応する図4Aから始まる。上記のコア構造102を参照して説明したように、基板400は、III-V族化合物半導体材料、シリコン、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化ケイ素、シリコンゲルマニウム、ドープされた若しくはドープされていないシリコン、ドープされた若しくはドープされていないポリシリコン、窒化ケイ素、石英、ガラス材料(例えば、ホウケイ酸ガラス)、サファイア、アルミナ、及び/又はセラミック材料を含むがこれらに限定されない任意の適切な基板材料から形成される。1つの実施形態では、基板400は、単結晶p型又はn型シリコン基板である。1つの実施形態では、基板400は、多結晶p型又はn型シリコン基板である。別の実施形態では、基板400は、p型又はn型シリコンソーラー基板である。
[0047] 基板400は、多角形又は円形の形状を更に有しうる。例えば、基板400は、約140mmと約180mmとの間の横寸法を有する実質的に正方形のシリコン基板を含み、面取りされたエッジを有しても有しなくてもよい。別の例では、基板400は、約20mmと約700mmとの間(約100mmと約500mmとの間など(例えば約300mm))の直径を有する円形シリコン含有ウエハを含みうる。特に断りのない限り、本明細書に記載の実施形態及び実施例は、約50μmと約1000μmとの間の厚さ(約90μmと約780μmとの間の厚さなど)を有する基板上で行われる。例えば、基板400は、約100μmと約300μmとの間の厚さ(約110μmと約200μmとの間の厚さなど)を有する。
[0048] 工程310の前に、基板400は、ワイヤソーイング、スクライビング及びブレーキング、機械的研磨ソーイング、又はレーザ切断によって、バルク材料からスライスされ分離されうる。スライシングは、典型的には、スクラッチ、マイクロクラッキング、チッピング、及び他の機械的欠陥といった、機械的欠陥又はこれらから形成される基板表面の変形を引き起こす。したがって、基板400は、工程310において第1の損傷除去プロセスにさらされ、後の構造化工程に備えて、その表面を平滑化及び平坦化し、機械的欠陥を除去する。いくつかの実施形態では、基板400は、第1の損傷プロセスのプロセスパラメータを調整することによって更に薄くなりうる。例えば、基板400の厚さは、第1の損傷除去プロセスへの曝露が増すにつれて、減少しうる。
[0049] 工程310における第1の損傷除去プロセスは、基板400を基板研磨プロセス及び/又はエッチングプロセスにさらすことを含み、その後、すすぎ及び乾燥プロセスに続く。いくつかの実施形態では、工程310は、化学機械研磨(CMP)プロセスを含む。1つの実施形態では、エッチングプロセスは、所望の材料(例えば、汚染物質及び他の望ましくない化合物)の除去に対して選択的である緩衝エッチングプロセスを含む湿式エッチングプロセスである。他の実施形態では、エッチングプロセスは、等方性水性エッチングプロセスを利用する湿式エッチングプロセスである。湿式エッチングプロセスには、任意の適切な湿式エッチャント又は湿式エッチャントの組み合わせが使用されうる。1つの実施形態では、基板400は、エッチングのためにHFエッチング水溶液に浸漬される。別の実施形態では、基板400は、エッチングのためにKOHエッチング水溶液に浸漬される。
[0050] いくつかの実施形態では、エッチング液は、エッチング処理中に約30℃と約100℃との間(約40℃と約90℃との間など)の温度まで加熱される。例えば、エッチング液は、約70℃の温度まで加熱される。更に他の実施形態では、工程310におけるエッチングプロセスは、ドライエッチングプロセスである。ドライエッチングプロセスの例は、プラズマベースのドライエッチングプロセスを含む。基板400の厚さは、エッチングプロセス中に利用されるエッチャント(例えば、エッチング液)への基板400の曝露時間を制御することによって調整される。例えば、基板400の最終的な厚さは、エッチャントへの曝露が増すにつれて、減少する。あるいは、基板400は、エッチャントへの曝露を減らすにつれ、より大きな最終的な厚さを有しうる。
[0051] 工程320では、現在、平坦化され、実質的に欠陥のない基板400は、その中に1つ又は複数のコアビア403を形成するようにパターニングされる(4つのコアビア403は、図4Bの基板400の断面に描かれる)。コアビア403は、基板400を通して直接接触する電気相互接続を形成するために利用される。
[0052] 概して、1つ又は複数のコアビア403は、レーザアブレーション(例えば、直接レーザパターニング)によって形成されうる。1つ又は複数のコアビア403を形成するために、任意の適切なレーザアブレーションシステムが利用されうる。いくつかの例では、レーザアブレーションシステムは、赤外線(IR)レーザ源を利用する。いくつかの例では、レーザ源は、ピコ秒紫外線(UV)レーザである。他の例では、レーザはフェムト秒UVレーザである。更に他の例では、レーザ源はフェムト秒グリーンレーザである。レーザアブレーションシステムのレーザ源は、基板400をパターニングするための連続レーザビーム又はパルスレーザビームを生成する。例えば、レーザ源は、5kHzと500kHzとの間(10kHzと約200kHzとの間など)の周波数を有するパルスレーザビームを生成しうる。1つの例において、レーザ源は、約10ワットと約100ワットとの間の出力電力により、約200nmと約1200nmとの間の波長で、かつ約10nsと約5000nsとの間のパルス持続時間で、パルスレーザビームを送るように構成される。レーザ源は、コアビア403を含む、基板400内の任意の所望のパターンのフィーチャを形成するように構成される。
[0053] いくつかの実施形態では、基板400は、オプションで、パターニングされる前にキャリアプレート(図示せず)に結合される。オプションのキャリアプレートは、そのパターニング中に基板400のための機械的な支持を提供し、基板400の破損を防止しうる。キャリアプレートは、ガラス、セラミック、金属などを含むがこれらに限定されない、任意の適切な化学的にかつ熱的に安定した剛性材料から形成されうる。いくつかの例では、キャリアプレートは、約1mmと約10mmとの間(約2mmと約5mmとの間など)の厚さを有する。1つの実施形態では、キャリアプレートは、テクスチャ加工された表面を有する。他の実施形態では、キャリアプレートは、研磨又は平滑化された表面を有する。基板400は、ワックス、接着剤、又は類似の接着材料を含むがこれらに限定されない、任意の適切な一時的な接着材料を利用して、キャリアプレートに結合されうる。
[0054] いくつかの実施態様において、基板400をパターニングすることは、チッピング、クラッキング、及び/又はワーピングを含む、基板400の表面に望ましくない機械的欠陥を生じさせることがある。したがって、工程320を実行して、基板400内にコアビア403を形成した後に、基板400は、工程310での第1の損傷除去プロセスとほぼ同様の工程330で第2の損傷除去及び洗浄プロセスに曝され、基板400の表面を平滑化し、不要な破片を除去する。上述のように、第2の損傷除去プロセスは、基板400を湿式又はドライエッチングプロセスに曝すること、その後に、それをすすぎ及び乾燥させることを含む。エッチングプロセスは、基板400の表面、特にレーザパターニング工程に曝される表面を平滑化するために、所定の持続時間にわたって進行する。別の態様では、エッチングプロセスは、基板400上に残留する任意の望ましくない破片をパターニングプロセスから除去するために利用される。
[0055] 工程330で基板400内の機械的欠陥を除去した後に、基板400は、工程340及び図4Dでパッシベーションプロセスにさらされ、その所望の表面(例えば、基板400のすべての表面)上に、酸化物層404などのパッシベーティング膜又は層を成長又は堆積させる。1つの実施形態では、パッシベーションプロセスは、熱酸化プロセスである。熱酸化プロセスは、約800℃と約1200℃との間(約850℃と約1150℃との間など)の温度で行われる。例えば、熱酸化プロセスは、約900℃と約1100℃との間の温度(約950℃と約1050℃との間の温度など)で行われる。1つの実施形態では、熱酸化プロセスは、酸化剤として水蒸気を利用する湿式酸化プロセスである。1つの実施形態では、熱酸化プロセスは、酸化剤として分子状酸素を利用するドライ酸化プロセスである。工程340において、基板400は、酸化物層404又はその上の任意の他の適切なパッシベーティング層を形成するために、任意の適切なパッシベーションプロセスに曝されうることが企図される。得られる酸化物層404は、概して、約100nmと約3μmとの間(約200nmと約2.5μmとの間など)の厚さを有する。例えば、酸化物層404は、約300nmと約2μmとの間(約1.5μmなど)の厚さを有する。
[0056] パシベーションが行われると、基板400は、半導体コアアセンブリ100のようなコアアセンブリの形成のためのコア構造402として利用されるように準備される。図5及び図7は、コア構造402上に絶縁層618を形成するための、代表的な方法500及び700のフロー図をそれぞれ示す。図6A-6Iは、図5に示す方法500の異なる段階におけるコア構造402の断面図を概略的に示し、図8A-8Eは、図7に示す方法700の異なる段階におけるコア構造402の断面図を概略的に示す。明確にするために、図5及び図6A-6Iが本明細書でまとめて説明され、図7及び図8A-8Eが本明細書でまとめて説明される。
[0057] 概して、方法500は、工程502及び図6Aで始まり、第1の側475におけるコア構造402の第1の表面406は、ここでコアビア403がその中に形成され、かつ酸化物層404がその上に形成されており、第1の絶縁膜616aの上に載置され、これに付着する。1つの実施形態では、第1の絶縁膜616aは、ポリマーベースの誘電体材料で形成された1つ又は複数の層を含む。例えば、第1の絶縁膜616aは、流動性ビルドアップ材料から形成された1つ又は複数の層を含む。1つの実施形態では、第1の絶縁膜616aは、流動性エポキシ樹脂層618aを含む。概して、エポキシ樹脂層618aは、約60μm未満(約5μmと約50μmとの間など)の厚さを有する。例えば、エポキシ樹脂層618aは、約10μmと約25μmとの間の厚さを有する。
[0058] エポキシ樹脂層618aは、シリカ(SiO)粒子が充填された(例えば、含有している)エポキシ樹脂などの、セラミック充填剤含有エポキシ樹脂から形成されうる。エポキシ樹脂層618a及び絶縁膜616aの他の層を形成するために使用されうるセラミック充填剤の他の例は、窒化アルミニウム(AlN)、酸化アルミニウム(Al)、炭化ケイ素(SiC)、窒化ケイ素(Si)、SrCeTi16、ケイ酸ジルコニウム(ZrSiO)、珪灰石(CaSiO)、酸化ベリリウム(BeO)、二酸化セリウム(CeO)、窒化ホウ素(BN)、酸化カルシウム銅チタン(CaCuTi12)、酸化マグネシウム(MgO)、二酸化チタン(TiO)、酸化亜鉛(ZnO)などを含む。いくつかの例では、エポキシ樹脂層618aを形成するために利用されるセラミック充填剤は、約40nmと約1.5μmとの間(約80nmと約1μmとの間)のサイズの範囲の粒子を有する。例えば、エポキシ樹脂層618aを形成するために利用されるセラミック充填剤は、約200nmと約800nmとの間(約300nmと約600nmとの間など)のサイズの範囲の粒子を有する。
[0059] いくつかの実施形態では、第1の絶縁膜616aは、1つ又は複数の保護層を更に含む。例えば、第1の絶縁膜616aは、二軸PET保護層622aなどのポリエチレンテレフタレート(PET)保護層622aを含む。しかし、第1の絶縁膜616aには、任意の適切な数及び組み合わせの層及び材料が考えられる。いくつかの実施形態では、絶縁膜616a全体は、約120μm未満の厚さ(約90μm未満の厚さなど)を有する。
[0060] いくつかの実施形態では、コア構造402を第1の絶縁膜616aに付着させた後に、コア構造402は、次いで、その後の処理動作中の追加の機械的安定化のために、その第1の側475に隣接するキャリア624上に載置されうる。概して、キャリア624は、100℃を超える温度に耐えることができる任意の適切な機械的及び熱的に安定した材料から形成される。例えば、1つの実施形態では、キャリア624は、ポリテトラフルオロエチレン(PTFE)を含む。別の例では、キャリア624は、ポリエチレンテレフタレート(PET)から形成される。
[0061] 工程504及び図6Bでは、第1の保護膜660が、コア構造402の第2の側477の第2の表面408に付着する。保護膜660は、第2の側477で、かつ第1の絶縁膜616aの反対側で、コア構造402に結合され、コアビア403を覆う。1つの実施形態では、保護膜660は、保護層622aと類似の材料で形成される。例えば、保護膜660は、二軸PETなどのPETで形成される。しかしながら、保護膜660は、任意の適切な保護材料から形成されてもよい。いくつかの実施形態では、保護膜660は、約50μmと約150μmとの間の厚さを有する。
[0062] コア構造402は、ここで、第1の側475で絶縁膜616aに付着し、第2の側477で保護膜66に付着し、工程506で第1の積層プロセス曝される。積層プロセスの間、コア構造402は、高温に曝され、絶縁膜616aのエポキシ樹脂層618aを軟化させ、絶縁膜616aと保護膜660との間の開放されたボイド又は空間に(コアビア403などに)流入させる。従って、コアビア403は、図6Cに示されるように、エポキシ樹脂層618aの絶縁材料で少なくとも部分的に充填(例えば、占有)されるようになる。また、コア構造402は、エポキシ樹脂層618aの絶縁材料によって部分的に囲まれるようになる。
[0063] 1つの実施形態では、積層プロセスは、オートクレーブ又は他の適切なデバイス内で実行されうる真空積層プロセスである。1つの実施形態では、積層プロセスは、ホットプレスプロセスを使用して実行される。1つの実施形態では、積層プロセスは、約80℃と約140℃との間の温度で、約1分と約30分との間の期間に実行される。いくつかの実施形態では、積層プロセスは、約1psigと約150psigとの間の圧力を加えつつ、約80℃と約140℃の間の温度が、約1分と約30分との間の期間に、コア構造402及び絶縁膜616aに加えられることを含む。例えば、積層プロセスは、約10psigと約100psigとの間の圧力、及び約100℃と約120℃との間の温度を、約2分と約10分との間の期間、加えることによって実行される。例えば、積層プロセスは、約110℃の温度で約5分間行われる。
[0064] 工程508では、保護膜660が除去され、ここで、コア構造402を少なくとも部分的に囲み、コアビア403を部分的に充填するエポキシ樹脂層618aの積層絶縁材料を有するコア構造402が、第2の保護膜662上に載置される。図6Dに描かれるように、第2の保護膜662は、第1の側475に隣接するコア構造402に結合され、第2の保護膜662は、絶縁膜616aの保護層622aに対して(例えば、隣接して)配置される。いくつかの実施形態では、ここで保護膜662に結合されたコア構造402は、オプションで、第1の側475の追加の機械的支持のためにキャリア624上に配置されうる。いくつかの実施形態では、保護膜662は、保護膜662をコア構造402に結合する前に、キャリア624上に載置される。概して、保護膜662は、保護膜660と組成が実質的に類似する。例えば、保護膜662は、二軸PETなどのPETから形成されうる。しかしながら、保護膜662は、任意の適切な保護材料から形成されうる。いくつかの実施形態では、保護膜662は、約50μmと約150μmとの間の厚さを有する。
[0065] コア構造402を第2の保護膜662に結合すると、第1の絶縁膜616aとほぼ類似する第2の絶縁膜616bが、工程510及び図6Eにおいて第2の側477の上に載置され、したがって、保護膜660に取って代わる。1つの実施形態では、第2の絶縁膜616bは、第2の絶縁膜616bのエポキシ樹脂層618bがコアビア403を覆うように、コア構造402の第2の側477に載置される。1つの実施形態では、コア構造402上への第2の絶縁膜616bの載置は、絶縁膜616bと、コア構造402を部分的に囲みコアビア403を部分的に充填するエポキシ樹脂層618aの既に積層された絶縁材料との間に、1つ又は複数のボイドを形成しうる。第2の絶縁膜616bは、絶縁膜616aと類似するポリマーベースの誘電体材料で形成された1つ又は複数の層を含みうる。図6Eに示すように、第2の絶縁膜616bは、上述のエポキシ樹脂層618aと実質的に類似するエポキシ樹脂層618bを含む。第2の絶縁膜616bは、PETなどの保護層622aに類似の材料で形成された保護層622bを更に含みうる。
[0066] 工程512では、図6Fに示されるように、第3の保護膜664が、第2の絶縁膜616bの上に載置される。概して、保護膜664は、保護膜660、662と組成が実質的に類似する。例えば、保護膜664は、二軸PET等のPETにより形成される。しかしながら、保護膜664は、任意の適切な保護材料から形成されてもよい。いくつかの実施形態では、保護膜664は、約50μmと約150μmとの間の厚さを有する。
[0067] コア構造402は、ここで第2の側477の絶縁膜616b及び保護膜664、並びに第1の側475の保護膜662及びオプションのキャリア624に付着しており、工程514及び図6Gにおいて第2の積層プロセスに露出される。工程504における積層プロセスと同様に、コア構造402は、高温にさらされ、絶縁膜616bのエポキシ樹脂層618bを軟化させ、絶縁膜616bとエポキシ樹脂層618aの既に積層された絶縁材料との間の任意の開放されたボイド又は空間(volume)に流入させ、したがって、それ自身をエポキシ樹脂層618aの絶縁材料と一体化させる。従って、コアビア403は、両方のエポキシ樹脂層618a、618bの絶縁材料で完全に充填(例えば、パック、シール)されるようになる。
[0068] 1つの実施形態では、第2の積層プロセスは、オートクレーブ又は他の適切なデバイス内で実行されうる真空積層プロセスである。1つの実施形態では、積層プロセスは、ホットプレスプロセスを使用して実行される。1つの実施形態では、積層プロセスは、約80℃と約140℃との間の温度で、約1分と約30分との間の期間に実行される。いくつかの実施形態では、積層プロセスは、約1psigと約150psigの間の圧力を加えることを含み、その一方で、約80℃と約140℃との間の温度が、約1分と約30分との間の期間に、コア構造402及び絶縁膜616aに加えられる。例えば、積層プロセスは、約10psigと約100psigとの間の圧力、及び約100℃と約120℃との間の温度を、約2分と約10分との間の期間、加えることによって実行される。例えば、積層プロセスは、約110℃の温度で約5分間行われる。
[0069] 積層後、工程516でコア構造402をキャリア624から外し、保護膜662、664を除去して、積層中間コアアセンブリ602を得る。図6Hに示されるように、中間コアアセンブリ602は、それを通って形成され、絶縁膜616a、616bの絶縁誘電体材料で充填された1つ又は複数のコアビア403を有するコア構造402を含む。エポキシ樹脂層618a、618bの絶縁誘電体材料は、絶縁材料がコア構造402の少なくとも2つの表面又は側面(例えば、表面406、408)を覆うように、その上に形成された酸化物層404を有するコア構造402を更に収容する。いくつかの例では、保護層622a、622bも、工程516で中間コアアセンブリ602から除去される。概して、保護層622a及び622b、キャリア624及び保護膜662及び664は、剥離などの任意の適当な機械プロセスによって、中間コアアセンブリ602から除去される。
[0070] 保護層622a、622b及び保護膜662、664を除去すると、中間コアアセンブリ602は、エポキシ樹脂層618a、618bの絶縁誘電体材料を完全に硬化させる(即ち、化学反応及び架橋によって硬化させる)ための硬化プロセスに曝され、それによって絶縁層618が形成される。絶縁層618は、実質的にコア構造402を取り囲み、コアビア403を充填する。例えば、絶縁層618は、コア構造402(表面406、408を含む)の少なくとも107、477に接触するか又はこれらをカプセル化する。
[0071] 1つの実施形態では、硬化プロセスは、中間コアアセンブリ602を完全に硬化させるために高温で行われる。例えば、硬化プロセスは、約140℃と約220℃との間の温度で、約15分と約45分との間の期間に(例えば、約160℃と約200℃との間の温度で、約25分と約35分との間の期間に)行われる。硬化プロセスは、例えば、約180℃の温度で約30分間行われる。更なる実施形態では、工程516における硬化プロセスは、周囲(例えば、大気)圧力条件又はその付近で行われる。
[0072] 硬化後に、1つ又は複数のアセンブリ貫通ビア613が、工程518において中間コアアセンブリ602を貫通して穿孔され、その後の相互接続形成のために中間コアアセンブリ602の全厚さを通ったチャネルを形成する。いくつかの実施形態では、中間コアアセンブリ602は、アセンブリ貫通ビア613の形成中の機械的支持のために、キャリア624などのキャリア上に配置されうる。アセンブリ貫通ビア613は、コア構造402内に形成され、続いて絶縁層618で充填されたコアビア403を通って穿孔される。したがって、アセンブリ貫通ビア613は、コアビア403内に充填された絶縁層618によって周方向に囲まれうる。絶縁層618のセラミック充填剤含有エポキシ樹脂材料をコアビア403の壁にライニング(裏打ち)することによって、完成した(例えば、最終的な)半導体コアアセンブリ1270(図11及び図12K及び図12Lを参照して説明される)における導電性シリコンベースのコア構造402と相互接続1044(図9及び図10A-10Hを参照して説明される)との間の容量結合は、従来のビア絶縁ライナ又は膜を利用する他の従来の相互接続構造と比較して、大幅に低減される。更に、絶縁層618のエポキシ樹脂材料の流動性の性質は、より一貫性があり、信頼性のあるカプセル化及び絶縁を可能にし、したがって、完成した半導体コアアセンブリ1270の漏れ電流を最小限に抑えることによって、電気性能を高める。
[0073] 1つの実施形態では、アセンブリ貫通ビア613は、約100μm未満(約75μm未満など)の直径を有する。例えば、アセンブリ貫通ビア613は、約50μm未満(約35μm未満など)の直径を有する。いくつかの実施形態では、アセンブリ貫通ビア613は、約25μmと約50μmとの間の直径(約35μmと約40μmとの間の直径など)を有する。1つの実施形態では、アセンブリ貫通ビア613は、任意の適切な機械的プロセスを使用して形成される。例えば、アセンブリ貫通ビア613は、機械的穿孔プロセスを使用して形成される。1つの実施形態では、アセンブリ貫通ビア613は、レーザアブレーションによって中間コアアセンブリ602を通って形成される。例えば、アセンブリ貫通ビア613は、紫外線レーザを使用して形成される。1つの実施形態では、レーザアブレーションに利用されるレーザ源は、約5kHzと約500kHzとの間の周波数を有する。1つの実施形態では、レーザ源は、約50マイクロジュール(μJ)と約500μJとの間のパルスエネルギーで、約10nsと約100nsとの間のパルス持続時間で、パルスレーザビームを送るように構成される。小さなセラミック充填剤粒子を含むエポキシ樹脂材料を利用することは、その中の小さなセラミック充填剤粒子が、レーザアブレーションプロセス中にビアが形成される領域から離れるレーザ光の減少したレーザ光反射、散乱、回折、及び透過を示すように、アセンブリ貫通ビア613のような、小径ビアのより精密で正確なレーザパターニングを更に促進する。
[0074] いくつかの実施態様において、コアビア403の側壁上の残りのセラミック充填剤含有エポキシ樹脂材料(例えば、誘電絶縁材料)が、約1μmと約50μmとの間の平均の厚さを有するように、コアビア403の内部に(例えば、これを通って)、アセンブリ貫通ビア613が形成される。例えば、コアビア403の側壁上の残りのセラミック充填剤含有エポキシ樹脂材料は、約5μmと約40μmとの間(約10μmと約30μmとの間)の平均厚さを有する。従って、アセンブリ貫通ビア613の形成後に得られる構造は、「ビア-イン-ビア(via-in-via)」(例えば、コア構造のビア内の誘電体材料内で中央に形成されるビア)として記載されうる。ビア-イン-ビア構造は、セラミック粒子充填エポキシ材料から成り、コアビア403の側壁に形成された熱酸化物の薄層上に配置された誘電体側壁パッシベーションを含む。
[0075] アセンブリ貫通ビア613の形成後、中間コアアセンブリ602は、デスミアプロセスに曝される。デスミアプロセス中に、アセンブリ貫通ビア613の形成中にレーザアブレーションによって引き起こされる任意の望ましくない残留物及び/又は破片が、そこから除去される。したがって、デスミアプロセスは、後続のメタライゼーションのために、アセンブリ貫通ビア613を洗浄する。1つの実施形態では、デスミアプロセスは、湿式デスミアプロセスである。任意の適切な溶媒、エッチャント、及び/又はこれらの組み合わせが、ウェットデスミアプロセスのために利用されうる。1つの例では、メタノールが溶剤として、塩化銅(II)二水和物(CuCl・HO)がエッチャントとして利用されうる。残留物の厚さに応じて、中間コアアセンブリ602の湿式デスミアプロセスへの曝露時間が変更されうる。別の実施形態では、デスミアプロセスは、ドライデスミアプロセスである。例えば、デスミアプロセスは、O/CF混合ガスを用いたプラズマデスミアプロセスでありうる。プラズマデスミアプロセスは、約700Wの電力を印加し、約60秒と約120秒との間の期間、約10:1(例えば、100:10sccm)の比率でO:CFを流すことによって、プラズマを発生させることを含みうる。更なる実施形態では、デスミアプロセスは、湿式プロセスとドライプロセスとの組み合わせである。
[0076] 工程518におけるデスミアプロセスに続いて、中間コアアセンブリ602は、図9及び図10A-10Hを参照して以下に説明するように、その中に相互接続経路を形成する準備が整う。
[0077] 上述のように、図5及び図6A-6Iは、中間コアアセンブリ602を形成するための代表的な方法500を示す。図7及び図8A-8Eは、方法500と実質的に類似するが、工程がより少ない代替方法700を示す。方法700は、概して、5つの工程710-750を含む。しかし、方法700の工程710、740、及び750は、方法500の工程502、516、及び518とそれぞれ実質的に類似する。したがって、図8B、図8C、及び図8Dにそれぞれ示される工程720、730、及び740のみが、明確にするために本明細書で説明される。
[0078] 第1の絶縁膜616aをコア構造402の第1の側475の第1の表面406に固定した後に、第2の絶縁膜616bが工程720及び図8Bにおいての反対側477の第2の表面408に結合される。いくつかの実施態様において、第2の絶縁膜616bは、第2の絶縁膜616bのエポキシ樹脂層618bがコアビア403のすべてを覆うように、コア構造402の表面408上に載置される。図8Bに描かれているように、コアビア403は、絶縁膜616aと616bとの間に1つ又は複数のボイド又は間隙を形成する。いくつかの実施形態では、第2のキャリア625は、後の処理工程中に追加の機械的支持のために、第2の絶縁膜616bの保護層622bに付着する。
[0079] 工程730及び図8Cでは、コア構造402は、ここでその反対側で絶縁膜616a及び616bに付着し、単一の積層プロセスに曝される。単一積層プロセスの間、コア構造402は、上昇した温度に曝され、両方の絶縁膜616a、616bのエポキシ樹脂層618a及び618bを軟化させ、絶縁膜616aと616bとの間のコアビア403によって形成される開放されたボイド又は空間に流入させる。したがって、コアビア403は、エポキシ樹脂層618a及び618bの絶縁材料で充填されるようになる。
[0080] 図5及び図6A-6Iを参照して記載された積層プロセスと同様に、工程730における積層プロセスは、オートクレーブ又は他の適切なデバイスにおいて実行されうる真空積層プロセスでありうる。別の実施形態では、積層プロセスは、ホットプレスプロセスを使用して実行される。1つの実施形態では、積層プロセスは、約80℃と約140℃との間の温度で、約1分と約30分との間の期間に実行される。いくつかの実施形態では、積層プロセスは、約1psigと約150psigとの間の圧力を加えることを含み、一方、約80℃と約140℃との間の温度が、約1分と約30分との間の期間に、コア構造402及び絶縁膜616a、616bに加えられる。例えば、積層プロセスは、約10psigと約100psigとの間の圧力、約100℃と約120℃との間の温度で、及び約2分と10分との間の期間、行われる。例えば、工程730の積層プロセスは、約110℃で約5分間行われる。
[0081] 工程740において、絶縁膜616a、616bの1つ又は複数の保護層が、コア構造402から除去され、その結果、積層中間コアアセンブリ602が生じる。1つの例では、保護層622a、622bはコア構造402から除去され、したがって、中間コアアセンブリ602も第1及び第2のキャリア624、625から係合解除される。概して、保護層622a、622b及びキャリア624、625は、剥離などの任意の適切な機械的プロセスによって除去される。図8Dに描かれるように、中間コアアセンブリ602は、その中に形成され、エポキシ樹脂層618a、618bの絶縁誘電体材料で充填された1つ又は複数のコアビア403を有するコア構造402を含む。絶縁材料は、絶縁材料がコア構造402の少なくとも2つの表面又は側面、例えば、表面406、408を覆うように、コア構造402を更に収容する。
[0082] 保護層622a、622bを除去すると、中間コアアセンブリ602は、エポキシ樹脂層618a、618bの絶縁誘電体材料を完全に硬化させる硬化プロセスに曝される。絶縁材料の硬化は、絶縁層618の形成をもたらす。図8Dに描かれ、図6Hに対応する工程516と同様に、絶縁層618は、コア構造402を実質的に囲み、コアビア403を充填する。
[0083] 1つの実施形態では、硬化プロセスは、中間コアアセンブリ602を完全に硬化させるために高温で行われる。例えば、硬化プロセスは、約140℃と約220℃との間の温度で、約15分と約45分との間の期間に(例えば、約160℃と約200℃との間の温度で、約25分と約35分との間の期間に)
行われる。例えば、硬化プロセスは、約180℃の温度で約30分間行われる。更なる実施形態では、工程740における硬化プロセスは、周囲(例えば、大気)圧力条件又はその付近で行われる。
[0084] 工程740での硬化後に、方法700は、方法500の工程520と実質的に類似する。したがって、1つ又は複数のアセンブリ貫通ビア613が、中間コアアセンブリ602を通して穿孔され、続いて、中間コアアセンブリ602をデスミアプロセスに曝す。デスミアプロセスが完了すると、中間コアアセンブリ602は、以下に説明するように、その中に相互接続経路を形成する準備が整う。
[0085] 図9は、中間コアアセンブリ602を通して電気相互接続を形成するための代表的な方法900のフロー図を示す。図10A-10Hは、図9に示される方法900のプロセスの異なる段階における中間コアアセンブリ602の断面図を概略的に示す。したがって、図9及び図10A-10Hは、明確にするために本明細書でまとめて説明される。
[0086] 1つの実施形態では、中間コアアセンブリ602を通して形成される電気相互接続は、銅で形成される。したがって、方法900は、概して、工程910及び図10Aで始まり、そこで、アセンブリ貫通ビア613が形成された中間コアアセンブリ602が、その上に形成されたバリア又は接着層1040及び/又はシード層1042を有する。中間コアアセンブリ602上に形成された接着層1040及びシード層1042の拡大部分図が、参考のために図10Hに示されている。接着層1040は、中間コアアセンブリ602の主要面1005、1007、並びにアセンブリ貫通ビア613の側壁に対応する表面などの、絶縁層618の所望の表面上に形成され、続いて形成されるシード層1042及び電気相互接続1044の接着を促進し、拡散を阻止するのを助けることができる。したがって、1つの実施形態では、接着層1040は接着層として作用し、別の実施形態では、接着層1040はバリア層として作用する。しかしながら、両方の実施形態において、接着層1040は、以下では「接着層」として記載されることになる。
[0087] 1つの実施形態では、接着層1040は、チタン、窒化チタン、タンタル、窒化タンタル、マンガン、酸化マンガン、モリブデン、酸化コバルト、窒化コバルト、又は任意の他の適切な材料又はこれらの組み合わせから形成される。1つの実施形態では、接着層1040は、約10nmと約300nmとの間(約50nmと約150nmとの間など)の厚さを有する。例えば、接着層1040は、約75nmと約125nmとの間(約100nmなど)の厚さを有する。接着層1040は、化学気相堆積(CVD)、物理的気相堆積(PVD)、プラズマCVD(PECVD)、原子層堆積(ALD)などを含むがこれらに限定されない、任意の適切な堆積プロセスによって形成される。
[0088] シード層1042は、接着層1040上に形成されても、絶縁層618上に直接形成されてもよい(例えば、接着層1040を形成せずに)。いくつかの実施形態では、シード層1042は、絶縁層618のすべての表面上に形成され、接着層1040は、絶縁層618の表面の所望の表面又は所望の部分の上にのみ形成される。例えば、接着層1040が、アセンブリ貫通ビア613の側壁ではなく、主要面1005、1007上に形成される一方で、シード層1042は、アセンブリ貫通ビア613の側壁だけではなく、主要面1005、1007の上にも形成されうる。シード層1042は、銅、タングステン、アルミニウム、銀、金、又は任意の他の適切な材料又はこれらの組み合わせなどの導電性材料から形成される。1つの実施形態では、シード層1042は、約0.05μmと約0.5μmとの間の厚さ(約0.1μmと約0.3μmとの間の厚さ)を有する。例えば、シード層1042は、約0.15μmと約0.25μmとの間(約0.2μmなど)の厚さを有する。1つの実施形態では、シード層1042は、約0.1μmと約1.5μmとの間の厚さを有する。接着層1040と同様に、シード層1042は、CVD、PVD、PECVD、ALDドライプロセス、湿式無電解メッキプロセスなどの任意の適切な堆積プロセスによって形成される。1つの実施形態では、中間コアアセンブリ602上のモリブデン接着層1040上に銅シード層1042が形成されうる。モリブデン接着及び銅シード層の組合せは、絶縁層618の表面との接着の向上を可能にし、工程970における後続のシード層エッチングプロセス中の導電性相互接続線のアンダーカットを低減する。
[0089] 工程920及び930において、図10B及び図10Cにそれぞれ対応して、フォトレジストなどのスピンオン/スプレーオン又はドライレジスト膜1050が、中間コアアセンブリ602の両主要面1005、1007に適用され、その後、パターニングされる。1つの実施形態では、レジスト膜1050は、UV放射への選択的露光を介してパターニングされる。1つの実施形態では、接着促進剤(図示せず)が、レジスト膜1050の形成前に、中間コアアセンブリ602に塗布される。接着促進剤は、レジスト膜1050のための界面結合層を生成することによって、かつ中間コアアセンブリ602の表面から水分を除去することによって、中間コアアセンブリ602へのレジスト膜1050の接着を改善する。いくつかの実施形態では、接着促進剤は、ビス(トリメチルシリル)アミン又はヘキサメチルジシライザン(HMDS)及びプロピレングリコールモノメチルエーテルアセテート(PGMEA)から形成される。
[0090] 工程940において、中間コアアセンブリ602は、レジスト膜現像プロセスに露光される。図10Dに示されるように、レジスト膜1050の現像は、アセンブリ貫通ビア613の露光をもたらし、ここで、接着層1040及び/又はシード層1042が上に形成されてもよい。1つの実施形態では、膜現像プロセスは、レジスト膜1050を溶媒に曝露することを含む湿式プロセスといった、湿式プロセスである。1つの実施形態では、膜現像プロセスは、水性エッチングプロセスを利用する湿式エッチングプロセスである。例えば、膜現像プロセスは、所望の材料に対して選択的な緩衝エッチングプロセスを利用する湿式エッチングプロセスである。任意の適切な湿式溶剤又は湿式エッチャントの組み合わせが、レジスト膜現像プロセスに使用されうる。
[0091] 工程950及び960において、図10E及び図10Fにそれぞれ対応して、電気相互接続1044が、露出したアセンブリ貫通ビア613を通して形成され、その後、レジスト膜1050が除去される。相互接続1044は、電気メッキ及び無電解メッキを含む任意の適切な方法によって形成される。1つの実施形態では、レジスト膜1050は、湿式プロセスを介して除去される。図10E及び図10Fに描かれているように、電気相互接続1044は、アセンブリ貫通ビア613を完全に充填し、レジスト膜1050を除去すると、中間コアアセンブリ602の表面1005、1007から突出しうる。いくつかの実施態様において、電気相互接続1044は、アセンブリ貫通ビア613を完全に充填せずに、アセンブリ貫通ビア613の側壁をライニングのみであってもよい。1つの実施形態では、電気相互接続1044は銅で形成される。他の実施形態では、電気相互接続1044は、アルミニウム、金、ニッケル、銀、パラジウム、スズなどを含むがこれらに限定されない任意の適切な導電性材料で形成されうる。
[0092] 工程970及び図10Gにおいて、内部に電気相互接続1044が形成された中間コアアセンブリ602は、シード層エッチングプロセスに曝されて、その外面(例えば、表面1005、1007)上の露出した接着層1040及びシード層1042を除去する。いくつかの実施形態では、電気相互接続1044とアセンブリ貫通ビア613の側壁との間に形成された接着層1040及び/又はシード層1042は、シード層エッチングプロセスの後に残ることがある。1つの実施形態では、シード層エッチングは、中間コアアセンブリ602のリンス及び乾燥を含む湿式エッチングプロセスである。1つの実施形態では、シード層エッチングプロセスは、銅、タングステン、アルミニウム、銀、又は金などの所望の材料に対して選択的な緩衝エッチングプロセスである。他の実施形態では、エッチングプロセスは、水性エッチングプロセスである。シード層エッチングプロセスには、任意の適切な湿式エッチャント又は湿式エッチャントの組み合わせが使用されうる。
[0093] 工程970におけるシード層エッチングプロセスに続いて、1つ又は複数の半導体コアアセンブリは、中間コアアセンブリ602から個片化され、完全に機能する電子実装又はパッケージ構造として利用されうる。例えば、1つ又は複数の半導体コアアセンブリは、個片化され、回路ボード構造、チップキャリア構造、集積回路パッケージなどとして利用されうる。代替的には、中間コアアセンブリ602は、電気相互接続1044の外部接点を最終的な半導体コアアセンブリの表面上の所望の位置にルート変更するために、その上に形成された1つ又は複数の再分配層1260(図12J及び12Kに示される)を有しうる。
[0094] 図11は、中間コアアセンブリ602上に再分配層1260を形成する代表的な方法1100のフロー図を示す。図12A-12Kは、図11に示される方法1100の異なる段階における中間コアアセンブリ602の断面図を概略的に示す。したがって、図11及び図12A-12Kは、明確にするために本明細書でまとめて説明される。
[0095] 方法1100は、上述の方法500、700、及び900と実質的に類似する。概して、方法1100は、工程1102及び図12Aで始まり、絶縁膜1216が中間コアアセンブリ602に付着し、その後、積層される。絶縁膜1216は、絶縁膜616a、616bと実質的に類似している。1つの実施形態では、図12Aに示すように、絶縁膜1216は、エポキシ樹脂層1218及び1つ又は複数の保護層を含む。例えば、絶縁膜1216は、保護層1222を含みうる。絶縁膜1216には、層及び絶縁材料の任意の適切な組み合わせが考えられる。いくつかの実施形態では、オプションのキャリア1224が、追加の支持のために絶縁膜1216に結合される。いくつかの実施形態では、保護膜(図示せず)が、絶縁膜1216に結合されうる。
[0096] 概して、エポキシ樹脂層1218は、約60μm未満(約5μmと約50μmとの間など)の厚さを有する。例えば、エポキシ樹脂層1218は、約10μmと約25μmとの厚さを有する。1つの実施形態では、エポキシ樹脂層1218及びPET保護層1222は、約120μm未満の合計の厚さ(約90μm未満の厚さなど)を有する。絶縁膜1216、具体的にはエポキシ樹脂層1218は、主要面1005などの露出した電気相互接続1044を有する中間コアアセンブリ602の表面に付着する。
[0097] 絶縁膜1216を載置した後に、中間コアアセンブリ602は、工程506、514、及び730に関して記載された積層プロセスと実質的に類似する積層プロセスに曝される。中間コアアセンブリ602は、絶縁膜1216のエポキシ樹脂層1218を軟化させるために高温に曝され、その後、絶縁層618に結合する。したがって、エポキシ樹脂層1218は、絶縁層618と一体化され、その延長部を形成し、したがって、以下では、単一の絶縁層618として説明することになる。エポキシ樹脂層1218と絶縁層618との一体化は、以前に露出した電気相互接続1044を包み込む拡大絶縁層618を更にもたらす。
[0098] 工程1104及び図12Bでは、保護層1222及びキャリア1224は、機械的手段によって中間コアアセンブリ602から除去され、中間コアアセンブリ602は、硬化プロセスに曝されて、新たに拡張した絶縁層618を完全に硬化させる。1つの実施形態では、硬化プロセスは、工程516及び740を参照して説明した硬化プロセスと実質的に類似する。例えば、硬化プロセスは、約140℃と約220℃との間の温度で、約15分と約45分との間の期間に行われる。
[0099] 次いで、中間コアアセンブリ602は、工程1106及び図12Cにおけるレーザアブレーションによって選択的にパターニングされる。工程1106におけるレーザアブレーションプロセスは、新たに拡張された絶縁層618内に1つ又は複数の再分配ビア1253を形成し、その接点の再分配のために所望の電気相互接続1044を露出する。1つの実施形態では、再分配ビア1253は、アセンブリ貫通ビア613の直径と実質的に同じか又はそれより小さい直径を有する。例えば、再配分ビア1253は、再配分ビア1253は、約5μmと約600μmとの間の直径(約10μmと約50μmとの間(例えば、約20μmと約30μmとの間)の直径など)を有する。1つの実施形態では、工程1106におけるレーザアブレーションプロセスは、COレーザを利用して行われる。1つの実施形態では、工程1106におけるレーザアブレーションプロセスは、UVレーザを利用して行われる。別の実施形態では、工程1106におけるレーザアブレーションプロセスは、グリーンレーザを利用して行われる。1つの例において、レーザ源は、約100kHzと約1000kHzとの間の周波数を有するパルスレーザビームを生成しうる。1つの例において、レーザ源は、約100nmと約2000nmとの間の波長、かつ約10E-4nsと約10E-2nsとの間のパルス持続時間で、約10μJと約300μJとの間のパルスエネルギーにより、パルスレーザビームを送るように構成される。
[0100] 工程1108及び図12Dでは、接着層1240及び/又はシード層1242が、オプションで、絶縁層618の1つ又は複数の表面上に形成される。1つの実施形態では、接着層1240及びシード層1242は、それぞれ接着層1040及びシード層1042と実質的に類似する。例えば、接着層1240は、チタン、窒化チタン、タンタル、窒化タンタル、マンガン、酸化マンガン、モリブデン、酸化コバルト、窒化コバルト、又は任意の他の適切な材料又はこれらの組み合わせから形成される。1つの実施形態では、接着層1240は、約10nmと約300nmとの間の厚さ(約50nmと約150nmとの間の厚さなど)を有する。例えば、接着層1240は、約75nmと約125nmとの間(約100nmなど)の厚さを有する。接着層1240は、CVD、PVD、PECVD、ALDなどを含むがこれらに限定されない、任意の適切な堆積プロセスによって形成されうる。
[0101] シード層1242は、銅、タングステン、アルミニウム、銀、金、又は任意の他の適切な材料又はこれらの組み合わせなどの導電性材料から形成される。1つの実施形態では、シード層1242は、約0.05μmと約0.5μmとの間(約0.1μmと約0.3μmとの間など)の厚さを有する。例えば、シード層1242は、約0.15μmと約0.25μmとの間(約0.2μmなど)の厚さを有する。接着層1240と同様に、シード層1242は、CVD、PVD、PECVD、ALDドライプロセス、湿式無電解メッキプロセスなどの任意の適切な堆積プロセスによって形成されうる。1つの実施形態では、モリブデン接着層1240及び銅シード層1242は、中間コアアセンブリ602上に形成され、工程1122における後続のシード層エッチングプロセス中のアンダーカットの形成を低減する。
[0102] 図12E、12F、及び12Gにそれぞれ対応する工程1110、1112、及び1114では、フォトレジストなどのスピンオン/スプレーオン又はドライレジスト膜1250が、中間コアアセンブリ602のシードされた表面上に適用され、その後、パターニングされ、現像される。1つの実施形態では、接着促進剤(図示せず)が、レジスト膜1250を載置する前に、中間コアアセンブリ602に塗布される。レジスト膜1250の露光及び現像により、再分配ビア1253が開放される。したがって、レジスト膜1250のパターニングは、レジスト膜1250の一部をUV放射に選択的に露光し、その後、湿式エッチングプロセスなどの湿式プロセスによってレジスト膜1250を現像することによって、実行されうる。1つの実施形態では、レジスト膜現像プロセスは、所望の材料に対して選択的な緩衝エッチングプロセスを利用する湿式エッチングプロセスである。他の実施形態では、レジスト膜現像プロセスは、水性エッチングプロセスを利用する湿式エッチングプロセスである。レジスト膜現像プロセスには、任意の適切な湿式エッチャント又は湿式エッチャントの組合せが使用されうる。
[0103] 図12H及び図12Iにそれぞれ対応する工程1116及び1118では、露出した再分配ビア1253を介して、再分配接続1244が形成され、その後、レジスト膜1250が除去される。1つの実施形態では、レジスト膜1250は、湿式プロセスを介して除去される。図12H及び図12Iに示されるように、再分配接続1244は、再分配ビア1253を充填し、レジスト膜1250を除去すると、中間コアアセンブリ602の表面から突出する。1つの実施形態では、再分配接続1244は銅で形成される。他の実施形態では、再分配接続1244は、アルミニウム、金、ニッケル、銀、パラジウム、スズなどを含むがこれらに限定されない任意の適切な導電性材料で形成される。電気メッキ及び無電解堆積を含む再分配接続1244を形成するために、任意の適切な方法が利用されうる。
[0104] 工程1120及び図12Jにおいて、再分配接続1244がその上に形成された中間コアアセンブリ602は、工程970のものと実質的に類似するシード層エッチングプロセスに曝される。1つの実施形態では、シード層エッチングは、中間コアアセンブリ602のリンス及び乾燥を含む湿式エッチングプロセスである。1つの実施形態では、シード層エッチングプロセスは、シード層1242の所望の材料に対して選択的な緩衝エッチングプロセスを利用する湿式エッチングプロセスである。他の実施形態では、エッチングプロセスは、水性エッチングプロセスを利用する湿式エッチングプロセスである。シード層エッチングプロセスには、任意の適切な湿式エッチャント又は湿式エッチャントの組み合わせが使用されうる。
[0105] 工程1120におけるシード層エッチングプロセスが完了すると、1つ又は複数の追加の再分配層1260が、上述のシーケンスを利用して、中間コアアセンブリ602上に形成され、上記のように処理されうる。例えば、1つ又は複数の追加の再分配層1260は、第1の再分配層1260及び/又は主要面1007などの中間コアアセンブリ602の反対側の表面の上に形成されうる。1つの実施形態では、1つ又は複数の追加の再分配層1260は、第1の再分配層1260及び/又は絶縁層618の材料とは異なる、流動性ビルドアップ材料などのポリマーベースの誘電体材料から形成されうる。例えば、いくつかの実施形態では、絶縁層618が、セラミック繊維で充填されたエポキシで形成される一方で、第1及び/又は任意の追加の再分配層1260は、ポリイミド、BCB、及び/又はPBOで形成される。代替的には、工程1122及び図12Kにおいて、所望の数の再分配層1260が形成された後に、1つ又は複数の完成した半導体コアアセンブリ1270が、中間コアアセンブリ602から個片化されうる。
[0106] 工程1120で形成される完成した半導体コアアセンブリ1270は、任意の適切なパッケージアセンブリ、PCBアセンブリ、PCBスペーサアセンブリ、チップキャリアアセンブリ、中間キャリアアセンブリなどで利用されうる。図13Aに示される1つの例示的な実施形態では、単一の半導体コアアセンブリ1270は、チップキャリアアセンブリ1300内のチップ1360のキャリアとして利用される。チップ1360は、メモリチップ、マイクロプロセッサ、複雑なシステムオンチップ(SoC)、又は標準チップを含む、任意の適切なタイプのチップでありうる。適切なタイプのメモリチップは、DRAMチップ又はNANDフラッシュチップを含む。いくつかの更なる例では、チップ1360は、デジタルチップ、アナログチップ、又は混合チップである。チップ1360は、半導体コアアセンブリ1270の主要面1005、1007のうちの1つに隣接して配置される。いくつかの実施形態では、2つ以上のチップ1360が、単一の主要面1005、1007に隣接して配置されうる。別の実施形態では、PCB又はパッケージ基板の1つ又は複数の構成要素など、1つ又は追加のデバイス及び/又は構造をチップ1360に隣接して配置してもよい。例えば、コンデンサ、抵抗器、インダクタなどのような、1つ又は複数の受動器(passive)をチップ1360に隣接して配置してもよい。別の例では、1つ又は複数のコネクタをチップ1360に隣接して配置してもよい。
[0107] チップ1360は、その活性面1352上に形成された1つ又は複数の接点1348を含む。図示されるように、接点1348は、活性面1352と主要面1005との間に配置された1つ又は複数のはんだバンプ1346によって、半導体コアアセンブリ1270の1つ又は複数の再分配接続1244に導電的に結合される。いくつかの実施態様において、接点1348は、1つ又は複数のはんだバンプ1346によって、1つ又は複数の相互接続1044に導電的に結合されうる。1つの実施形態では、接点1348及び/又ははんだバンプ1346は、相互接続1044及び再分配接続1244の材料と実質的に類似する材料で形成される。例えば、接点1348及びはんだバンプ1346は、銅、タングステン、アルミニウム、銀、金、又は任意の他の適切な材料又はこれらの組合せなどの導電性材料から形成されうる。
[0108] 1つの実施形態では、はんだバンプ1346は、C4はんだバンプを含む。1つの実施形態では、はんだバンプ1346は、C2(はんだキャップを有するCuピラー)はんだバンプを含む。C2はんだバンプを利用することにより、より小さなピッチ長さ、及びチップキャリアアセンブリ1300のための改良された熱的及び/又は電気的特性が可能になりうる。はんだバンプ1346は、電気化学的堆積(ECD)及び電気メッキを含むがこれに限定されない任意の適切なウエハバンピングプロセスによって形成されうる。
[0109] 図13Bに示される別の例示的な実施形態では、半導体コアアセンブリ1270は、PCBアセンブリ1302内で利用される。従って、半導体コアアセンブリ1270は、パッケージアセンブリ1310を支持する(例えば、運ぶ)ためのPCB構造として機能するように構成される。パッケージアセンブリ1310は、構造及び材料において、半導体コアアセンブリ1270と実質的に類似しうるが、絶縁層618によって実質的に取り囲まれるコア構造402内に形成される空洞1320内に配置された埋め込みダイ1326を含む。埋め込みダイ1326は、その上に形成され、パッケージアセンブリ1310の相互接続1342及び/又は再分配接続1344と結合された1つ又は複数の接点1330を有する活性面1328を更に含みうる。図13Aのチップキャリアアセンブリ1300と同様に、パッケージアセンブリ1310の接点1330及び/又は相互接続1342及び/又は再分配接続1344は、活性面1328と主要面1005との間に配置された1つ又は複数のはんだバンプ1346によって、半導体コアアセンブリ1270の1つ又は複数の再分配接続1244に導電的に結合される。いくつかの実施態様において、接点1330は、1つ又は複数のはんだバンプ1346によって、1つ又は複数の相互接続1044に導電的に結合されうる。
[0110] 図13Cは、PCBアセンブリ1304内のPCBスペーサ構造として半導体コアアセンブリ1270を利用する、更に別の例示的な実施形態を示す。図示されたように、半導体コアアセンブリ1270は、2つのPCB1362a、1362bの間に配置され、導電的に結合さている間は、第1のPCB1362aと第2のPCB1362bとの間に物理的な空間が残るように、第2のPCB1362aに対して第1のPCB1362aを位置付けるように構成されている。したがって、PCB1362a、1362bは、それぞれ、主要面1364a、1364b上に形成される1つ又は複数の導電性パッド1368を含む。1つ又は複数の導電パッド1368は、1つ又は複数のはんだバンプ1346を介して半導体コアアセンブリ1270の再分配接続1244及び/又は相互接続1044に導電的に結合される。接点1330、1348と同様に、導電性パッド1368は、はんだバンプ1346、相互接続1044、及び再分配接続1244の材料と実質的に類似の材料で作られ、導電性を可能にする。
例えば、導電性パッド1368は、銅、タングステン、アルミニウム、銀、金、又は任意の他の適切な材料若しくはこれらの組み合わせなどの導電性材料から形成されうる。
[0111] 上に示された実施形態における半導体コアアセンブリ1270の利用は、従来のパッケージ、PCB、PCBスペーサ、及びチップキャリア構造に対する複数の利点を提供する。そのような利益は、薄型フォームファクタと高いチップ又はダイ対パッケージの体積比を含み、これにより、より大きなI/Oスケーリングが、人工知能(AI)と高性能コンピューティング(HPC)の増え続ける帯域幅と電力効率の要求を満たすことができるようにする。構造化シリコンフレームの利用は、3次元集積回路(3D IC)アーキテクチャの改善された電気性能、熱管理、及び信頼性のために最適な材料剛性及び熱伝導率を提供する。更に、本明細書に記載されるアセンブリ貫通ビア及びビア-イン-ビア構造(via-in-via structure)のための製造方法は、従来のTSV技術と比較して、比較的低い製造コストで3D統合のための高い性能及び柔軟性を提供する。
[0112] 上述の方法を利用することによって、ガラス及び/又はシリコンコア構造上に高アスペクト比のフィーチャが形成され、したがって、より薄くより狭い回路ボード、チップキャリア、集積回路パッケージなどの経済的な形成が可能になる。上述の方法を利用して製造された半導体コアアセンブリは、高いI/O密度及び改善された帯域幅及び電力の利点だけでなく、軽量/慣性の減少に起因する低い応力でのより大きな信頼性及び柔軟なはんだボールの分配を可能にするアセンブリアーキテクチャの利点も提供する。上述の方法の更なる利点は、両面メタライゼーション能力を有する経済的な製造及び高い生産歩留まりを含む。加えて、シリコンコアの利用により、コアアセンブリと接続された任意のチップとの間の熱膨張係数(CTE)の不整合を低減又は排除し、はんだ付けピッチをより小さくし、デバイス密度を増加させることができるようになる。
[0113] 上記は本開示の実施形態を対象としているが、本開示の他の実施形態及び更なる実施形態が、その基本的な範囲から逸脱することなく考案されてもよく、その範囲は以下の特許請求の範囲によって決定される。

Claims (20)

  1. 第2の側の反対側にある第1の側を有するシリコンコア構造であって、前記シリコンコア層が1000μm未満の厚さを有し、前記シリコンコア構造が、貫通するように形成されかつ第1の表面及び第2の表面から突出する1つ又は複数の導電性相互接続を更に含む、シリコンコア構造と、
    前記第1の側に形成された第1の再分配層と、
    前記第2の側に形成された第2の再分配層であって、前記第1の再分配層及び前記第2の再分配層が各々、その上に形成された1つ又は複数の導電性接点を有する、第2の再分配層と
    を含む、半導体デバイスアセンブリ。
  2. 前記第1の側及び前記第2の側に形成された酸化物層
    を更に含む、請求項1に記載の半導体デバイスアセンブリ。
  3. 前記酸化物層が熱酸化物を含む、請求項2に記載の半導体デバイスアセンブリ。
  4. 前記酸化物層の上に形成された誘電体層であって、エポキシ樹脂を含む誘電体層
    を更に含む、請求項2に記載の半導体デバイスアセンブリ。
  5. 前記エポキシ樹脂がシリカ粒子を含む、請求項4に記載の半導体デバイスアセンブリ。
  6. 前記誘電体層が、約5μmと約50μmとの間の厚さを有する、請求項4に記載の半導体デバイスアセンブリ。
  7. 前記第1の再分配層及び前記第2の再分配の各々が、
    前記誘電体層の上に形成された接着層であって、モリブデンを含む接着層と、
    前記接着層の上に形成されたシード層と、
    前記シード層の上に形成されたに銅層と
    を更に含む、請求項4に記載の半導体デバイスアセンブリ。
  8. 前記接着層が、約10nmと約500nmとの間の厚さを有する、請求項7に記載の半導体デバイスアセンブリ。
  9. 貫通するように配置された1つ又は複数のビアであって、各々がその中に形成された銅相互接続を有する、1つ又は複数のビア
    を更に含む、請求項4に記載の半導体デバイスアセンブリ。
  10. 前記1つ又は複数のビアの各々が、前記誘電体層によって周方向に画定される、請求項9に記載の半導体デバイスアセンブリ。
  11. 前記誘電体層が、前記シリコンコア構造の前記第1の側及び前記第2の側の上に配置され、前記誘電体層が、前記シリコンコア構造を通って前記第1の側から前記第2の側まで前記1つ又は複数のビアを通って延びる、請求項9に記載の半導体デバイスアセンブリ。
  12. シリコンコア構造であって、1000μm未満の厚さを有するシリコンコア構造と、
    前記シリコンコア構造を囲むパッシベーティング層であって、熱酸化物を含む、パッシベーティング層と、
    前記パッシベーティング層の上に形成された誘電体層であって、シリカ粒子がその中に配置されたエポキシ樹脂を含む、誘電体層と
    を含む、半導体デバイスアセンブリ。
  13. 前記シリコンコア構造が、結晶シリコン基板を含む、請求項12に記載の半導体デバイスアセンブリ。
  14. 貫通するように配置されたビアの1つ又は複数のアレイであって、前記1つ又は複数のアレイの前記ビアの各々が、約500μm未満の直径を有する、ビアの1つ又は複数のアレイ
    を更に含む、請求項12に記載の半導体デバイスアセンブリ。
  15. 前記1つ又は複数のアレイの各々における前記ビア間のピッチが、約40μmと1000μmとの間の距離を有する、請求項14に記載の半導体デバイスアセンブリ。
  16. 前記シリコンコア構造が第2の側の反対側にある第1の側を有し、前記誘電体層が、前記第1の側及び前記第2の側の上に配置され、前記誘電体層が、前記第1の側から前記第2の側まで更に延びて、前記1つ又は複数のアレイの前記ビアの各々を周方向に画定する、請求項14に記載の半導体デバイスアセンブリ。
  17. 前記誘電体層の上に形成された第1の再分配層を更に含む、請求項12に記載の半導体デバイスアセンブリ。
  18. 前記第1の再分配層が、
    前記誘電体層の上に形成された接着層であって、モリブデンを含む接着層と、
    前記接着層の上に形成されたシード層と、
    前記シード層の上に形成された銅層と
    を更に含む、請求項17に記載の半導体デバイスアセンブリ。
  19. 第2の側の反対側にある第1の側と、前記第1の側から反対側にある前記第2の側まで延びる複数のビアと、を有するシリコンコア構造と、
    前記第1の側、前記第2の側、及び前記シリコンコア構造の前記複数のビアの各々の表面の上に配置されたパッシベーティング層であって、熱酸化物を含む、パッシベーティング層と、
    前記第1の側及び前記第2の側、並びに前記複数のビアの各々の前記表面の上に配置された前記パッシベーティング層の上に配置された誘電体層であって、エポキシ樹脂を含む、誘電体層と、
    前記誘電体層の上に形成された再分配層と
    を含み、前記再分配層が、
    前記誘電体層の上に形成された接着層であって、モリブデンを含む接着層と、
    前記接着層の上に形成された銅シード層と、
    前記銅シード層の上に形成された銅層と
    を含む、半導体デバイスアセンブリ。
  20. 前記誘電体層が、前記複数のビアの各々の内部に配置された前記誘電体層を通って延びるアセンブリ貫通ビアを更に備え、
    前記アセンブリ貫通ビアの各々が、前記シリコンコア構造の前記第1の側から前記第2の側まで、前記誘電体層を通って延び、
    前記アセンブリ貫通ビアの各々が、その中に形成された導電性相互接続を有する、請求項19に記載の半導体デバイスアセンブリ。
JP2022529566A 2019-11-27 2020-10-28 パッケージコアアセンブリ及び製造方法 Pending JP2023503579A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/698,680 US11862546B2 (en) 2019-11-27 2019-11-27 Package core assembly and fabrication methods
US16/698,680 2019-11-27
PCT/US2020/057787 WO2021108064A1 (en) 2019-11-27 2020-10-28 Package core assembly and fabrication methods

Publications (1)

Publication Number Publication Date
JP2023503579A true JP2023503579A (ja) 2023-01-31

Family

ID=74682961

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2022529566A Pending JP2023503579A (ja) 2019-11-27 2020-10-28 パッケージコアアセンブリ及び製造方法
JP2022529569A Active JP7454668B2 (ja) 2019-11-27 2020-10-28 パッケージコアアセンブリ及び製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022529569A Active JP7454668B2 (ja) 2019-11-27 2020-10-28 パッケージコアアセンブリ及び製造方法

Country Status (6)

Country Link
US (5) US11862546B2 (ja)
JP (2) JP2023503579A (ja)
KR (4) KR20240032172A (ja)
CN (2) CN114787989A (ja)
TW (3) TWI834012B (ja)
WO (2) WO2021108064A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11637057B2 (en) * 2019-01-07 2023-04-25 Qualcomm Incorporated Uniform via pad structure having covered traces between partially covered pads
CN109994438B (zh) * 2019-03-29 2021-04-02 上海中航光电子有限公司 芯片封装结构及其封装方法
US11315890B2 (en) 2020-08-11 2022-04-26 Applied Materials, Inc. Methods of forming microvias with reduced diameter
US11749629B2 (en) * 2020-12-10 2023-09-05 Advanced Micro Devices, Inc. High-speed die connections using a conductive insert
KR20220160967A (ko) * 2021-05-28 2022-12-06 (주)티에스이 이종 재질의 다층 회로기판 및 그 제조 방법
CN116648780A (zh) * 2021-05-29 2023-08-25 华为技术有限公司 芯片封装结构、其制备方法及终端设备
WO2023038757A1 (en) * 2021-09-09 2023-03-16 Applied Materials, Inc. Stiffener frame for semiconductor device packages
US20230148220A1 (en) * 2021-11-11 2023-05-11 Applied Materials, Inc. Semiconductor device packages
JP2023089544A (ja) * 2021-12-16 2023-06-28 キオクシア株式会社 半導体装置
US20240006379A1 (en) * 2022-06-30 2024-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor stack structure and manufacturing method thereof
WO2024092074A1 (en) * 2022-10-26 2024-05-02 Samtec, Inc. Interconnect module for high-speed data transmission
TWI826325B (zh) * 2023-01-06 2023-12-11 南亞科技股份有限公司 具有聚合物襯墊的半導體元件

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US20120146209A1 (en) * 2010-12-14 2012-06-14 Unimicron Technology Corporation Packaging substrate having through-holed interposer embedded therein and fabrication method thereof
CN105575938A (zh) * 2016-02-26 2016-05-11 中国科学院微电子研究所 一种硅基转接板及其制备方法
JP2019009297A (ja) * 2017-06-26 2019-01-17 京セラ株式会社 配線基板およびその製造方法
US20190237430A1 (en) * 2018-01-29 2019-08-01 Globalfoundries Inc. 3d ic package with rdl interposer and related method

Family Cites Families (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3384203A (en) 1966-01-13 1968-05-21 Dayton Steel Foundry Co Disk brakes
US4073610A (en) 1976-02-05 1978-02-14 Cox Bernard K Apparatus for producing a foldable plastic strip
US4751349A (en) 1986-10-16 1988-06-14 International Business Machines Corporation Zirconium as an adhesion material in a multi-layer metallic structure
JPH0494592A (ja) 1990-08-10 1992-03-26 Cmk Corp プリント配線板におけるスルーホールに対する充填材の充填方法
US5126016A (en) 1991-02-01 1992-06-30 International Business Machines Corporation Circuitization of polymeric circuit boards with galvanic removal of chromium adhesion layers
US5519332A (en) 1991-06-04 1996-05-21 Micron Technology, Inc. Carrier for testing an unpackaged semiconductor die
US5474834A (en) 1992-03-09 1995-12-12 Kyocera Corporation Superconducting circuit sub-assembly having an oxygen shielding barrier layer
JP2819523B2 (ja) 1992-10-09 1998-10-30 インターナショナル・ビジネス・マシーンズ・コーポレイション 印刷配線板及びその製造方法
US5367143A (en) 1992-12-30 1994-11-22 International Business Machines Corporation Apparatus and method for multi-beam drilling
US5353195A (en) 1993-07-09 1994-10-04 General Electric Company Integral power and ground structure for multi-chip modules
US5688716A (en) 1994-07-07 1997-11-18 Tessera, Inc. Fan-out semiconductor chip assembly
US5783870A (en) 1995-03-16 1998-07-21 National Semiconductor Corporation Method for connecting packages of a stacked ball grid array structure
US5670262A (en) 1995-05-09 1997-09-23 The Dow Chemical Company Printing wiring board(s) having polyimidebenzoxazole dielectric layer(s) and the manufacture thereof
US5767480A (en) 1995-07-28 1998-06-16 National Semiconductor Corporation Hole generation and lead forming for integrated circuit lead frames using laser machining
AU3301197A (en) 1996-06-05 1998-01-05 Larry W. Burgess Blind via laser drilling system
US6631558B2 (en) 1996-06-05 2003-10-14 Laservia Corporation Blind via laser drilling system
US7062845B2 (en) 1996-06-05 2006-06-20 Laservia Corporation Conveyorized blind microvia laser drilling system
US5841102A (en) 1996-11-08 1998-11-24 W. L. Gore & Associates, Inc. Multiple pulse space processing to enhance via entrance formation at 355 nm
CN1187800C (zh) 1997-04-03 2005-02-02 株式会社山武 电路板以及检测器及其制造方法
JP3920399B2 (ja) 1997-04-25 2007-05-30 株式会社東芝 マルチチップ半導体装置用チップの位置合わせ方法、およびマルチチップ半導体装置の製造方法・製造装置
US6388202B1 (en) 1997-10-06 2002-05-14 Motorola, Inc. Multi layer printed circuit board
US6038133A (en) 1997-11-25 2000-03-14 Matsushita Electric Industrial Co., Ltd. Circuit component built-in module and method for producing the same
GB9811328D0 (en) 1998-05-27 1998-07-22 Exitech Ltd The use of mid-infrared lasers for drilling microvia holes in printed circuit (wiring) boards and other electrical circuit interconnection packages
MY144574A (en) 1998-09-14 2011-10-14 Ibiden Co Ltd Printed circuit board and method for its production
SE513341C2 (sv) 1998-10-06 2000-08-28 Ericsson Telefon Ab L M Arrangemang med tryckta kretskort samt metod för tillverkning därav
US6039889A (en) 1999-01-12 2000-03-21 Fujitsu Limited Process flows for formation of fine structure layer pairs on flexible films
US6117704A (en) 1999-03-31 2000-09-12 Irvine Sensors Corporation Stackable layers containing encapsulated chips
US6599836B1 (en) 1999-04-09 2003-07-29 Micron Technology, Inc. Planarizing solutions, planarizing machines and methods for mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6212769B1 (en) 1999-06-29 2001-04-10 International Business Machines Corporation Process for manufacturing a printed wiring board
ATE252816T1 (de) 1999-08-03 2003-11-15 Xsil Technology Ltd Schaltungsvereinzelungssystem und verfahren
KR101084525B1 (ko) 1999-09-02 2011-11-18 이비덴 가부시키가이샤 프린트배선판 및 그 제조방법
TW483790B (en) 1999-09-30 2002-04-21 Siemens Ag Method and equipment to drill laminates by means of laser
US6538210B2 (en) 1999-12-20 2003-03-25 Matsushita Electric Industrial Co., Ltd. Circuit component built-in module, radio device having the same, and method for producing the same
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
US6661084B1 (en) 2000-05-16 2003-12-09 Sandia Corporation Single level microelectronic device package with an integral window
US6384473B1 (en) 2000-05-16 2002-05-07 Sandia Corporation Microelectronic device package with an integral window
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
US20020048715A1 (en) 2000-08-09 2002-04-25 Bret Walczynski Photoresist adhesive and method
US20020020898A1 (en) 2000-08-16 2002-02-21 Vu Quat T. Microelectronic substrates with integrated devices
US6459046B1 (en) 2000-08-28 2002-10-01 Matsushita Electric Industrial Co., Ltd. Printed circuit board and method for producing the same
KR100797422B1 (ko) 2000-09-25 2008-01-23 이비덴 가부시키가이샤 반도체소자, 반도체소자의 제조방법, 다층프린트배선판 및다층프린트배선판의 제조방법
US20020070443A1 (en) 2000-12-08 2002-06-13 Xiao-Chun Mu Microelectronic package having an integrated heat sink and build-up layers
JP4108285B2 (ja) 2000-12-15 2008-06-25 イビデン株式会社 多層プリント配線板の製造方法
US6555906B2 (en) 2000-12-15 2003-04-29 Intel Corporation Microelectronic package having a bumpless laminated interconnection layer
US6388207B1 (en) 2000-12-29 2002-05-14 Intel Corporation Electronic assembly with trench structures and methods of manufacture
JP5004378B2 (ja) * 2001-01-10 2012-08-22 イビデン株式会社 多層プリント配線板
TW511415B (en) 2001-01-19 2002-11-21 Matsushita Electric Ind Co Ltd Component built-in module and its manufacturing method
JP2001244591A (ja) 2001-02-06 2001-09-07 Ngk Spark Plug Co Ltd 配線基板及びその製造方法
US6512182B2 (en) 2001-03-12 2003-01-28 Ngk Spark Plug Co., Ltd. Wiring circuit board and method for producing same
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
WO2002076666A2 (en) 2001-03-22 2002-10-03 Xsil Technology Limited A laser machining system and method
US6465084B1 (en) 2001-04-12 2002-10-15 International Business Machines Corporation Method and structure for producing Z-axis interconnection assembly of printed wiring board elements
US6894399B2 (en) 2001-04-30 2005-05-17 Intel Corporation Microelectronic device having signal distribution functionality on an interfacial layer thereof
US20030059976A1 (en) 2001-09-24 2003-03-27 Nathan Richard J. Integrated package and methods for making same
JP2003188340A (ja) 2001-12-19 2003-07-04 Matsushita Electric Ind Co Ltd 部品内蔵モジュールとその製造方法
JP3998984B2 (ja) 2002-01-18 2007-10-31 富士通株式会社 回路基板及びその製造方法
US6506632B1 (en) 2002-02-15 2003-01-14 Unimicron Technology Corp. Method of forming IC package having downward-facing chip cavity
US7358157B2 (en) 2002-03-27 2008-04-15 Gsi Group Corporation Method and system for high-speed precise laser trimming, scan lens system for use therein and electrical device produced thereby
US7028400B1 (en) 2002-05-01 2006-04-18 Amkor Technology, Inc. Integrated circuit substrate having laser-exposed terminals
JP3871609B2 (ja) 2002-05-27 2007-01-24 松下電器産業株式会社 半導体装置及びその製造方法
JP2003347741A (ja) 2002-05-30 2003-12-05 Taiyo Yuden Co Ltd 複合多層基板およびそれを用いたモジュール
JP3908146B2 (ja) 2002-10-28 2007-04-25 シャープ株式会社 半導体装置及び積層型半導体装置
US6905914B1 (en) 2002-11-08 2005-06-14 Amkor Technology, Inc. Wafer level package and fabrication method
GB2420912B (en) 2002-12-11 2006-07-26 Dainippon Printing Co Ltd Multilayer wiring board and manufacture method thereof
US7105931B2 (en) 2003-01-07 2006-09-12 Abbas Ismail Attarwala Electronic package and method
US8704359B2 (en) 2003-04-01 2014-04-22 Ge Embedded Electronics Oy Method for manufacturing an electronic module and an electronic module
JP2004311788A (ja) 2003-04-08 2004-11-04 Matsushita Electric Ind Co Ltd シート状モジュールとその製造方法
JP2004335641A (ja) 2003-05-06 2004-11-25 Canon Inc 半導体素子内蔵基板の製造方法
EP1478021B1 (en) 2003-05-15 2008-07-16 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method thereof
US6964897B2 (en) * 2003-06-09 2005-11-15 International Business Machines Corporation SOI trench capacitor cell incorporating a low-leakage floating body array transistor
CN1577819A (zh) 2003-07-09 2005-02-09 松下电器产业株式会社 带内置电子部件的电路板及其制造方法
US7271012B2 (en) 2003-07-15 2007-09-18 Control Systemation, Inc. Failure analysis methods and systems
EP1517166B1 (en) 2003-09-15 2015-10-21 Nuvotronics, LLC Device package and methods for the fabrication and testing thereof
US7064069B2 (en) 2003-10-21 2006-06-20 Micron Technology, Inc. Substrate thinning including planarization
JP4081052B2 (ja) 2003-12-05 2008-04-23 三井金属鉱業株式会社 プリント配線基板の製造法
JP4271590B2 (ja) 2004-01-20 2009-06-03 新光電気工業株式会社 半導体装置及びその製造方法
US7309515B2 (en) 2004-02-04 2007-12-18 Industrial Technology Research Institute Method for fabricating an imprint mold structure
TWI256095B (en) 2004-03-11 2006-06-01 Siliconware Precision Industries Co Ltd Wafer level semiconductor package with build-up layer and process for fabricating the same
US20060000814A1 (en) 2004-06-30 2006-01-05 Bo Gu Laser-based method and system for processing targeted surface material and article produced thereby
US8571541B2 (en) 2004-07-15 2013-10-29 Avaya Inc. Proximity-based authorization
DE102004038852B4 (de) 2004-08-10 2006-06-29 Webasto Ag Spritzgießmaschine
KR100858309B1 (ko) 2004-09-01 2008-09-11 스미토모 긴조쿠 고잔 가부시키가이샤 2층 플렉시블 기판 및 그 제조 방법
TWI241007B (en) 2004-09-09 2005-10-01 Phoenix Prec Technology Corp Semiconductor device embedded structure and method for fabricating the same
TW200618705A (en) 2004-09-16 2006-06-01 Tdk Corp Multilayer substrate and manufacturing method thereof
US20060073234A1 (en) 2004-10-06 2006-04-06 Williams Michael E Concrete stamp and method of manufacture
JP4564342B2 (ja) 2004-11-24 2010-10-20 大日本印刷株式会社 多層配線基板およびその製造方法
TWI301660B (en) 2004-11-26 2008-10-01 Phoenix Prec Technology Corp Structure of embedding chip in substrate and method for fabricating the same
TWI245384B (en) 2004-12-10 2005-12-11 Phoenix Prec Technology Corp Package structure with embedded chip and method for fabricating the same
TWI245388B (en) 2005-01-06 2005-12-11 Phoenix Prec Technology Corp Three dimensional package structure of semiconductor chip embedded in substrate and method for fabricating the same
US7579224B2 (en) 2005-01-21 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film semiconductor device
TWI260056B (en) 2005-02-01 2006-08-11 Phoenix Prec Technology Corp Module structure having an embedded chip
JP2006216714A (ja) 2005-02-02 2006-08-17 Ibiden Co Ltd 多層プリント配線板
JP2006216713A (ja) 2005-02-02 2006-08-17 Ibiden Co Ltd 多層プリント配線板
TWI283553B (en) 2005-04-21 2007-07-01 Ind Tech Res Inst Thermal enhanced low profile package structure and method for fabricating the same
US7919844B2 (en) 2005-05-26 2011-04-05 Aprolase Development Co., Llc Tier structure with tier frame having a feedthrough structure
US7767493B2 (en) 2005-06-14 2010-08-03 John Trezza Post & penetration interconnection
KR100714196B1 (ko) 2005-07-11 2007-05-02 삼성전기주식회사 전기소자를 내장한 인쇄회로기판 및 그 제조방법
TWI263313B (en) 2005-08-15 2006-10-01 Phoenix Prec Technology Corp Stack structure of semiconductor component embedded in supporting board
US20070042563A1 (en) 2005-08-19 2007-02-22 Honeywell International Inc. Single crystal based through the wafer connections technical field
US20070077865A1 (en) 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
KR100772639B1 (ko) 2005-10-18 2007-11-02 한국기계연구원 다이아몬드상 카본 박막을 이용한 미세 임프린트리소그래피용 스탬프 및 그 제조방법
CN100524717C (zh) 2005-11-25 2009-08-05 全懋精密科技股份有限公司 芯片内埋的模块化结构
CN100463128C (zh) 2005-11-25 2009-02-18 全懋精密科技股份有限公司 半导体芯片埋入基板的三维构装结构及其制作方法
KR100688701B1 (ko) 2005-12-14 2007-03-02 삼성전기주식회사 랜드리스 비아홀을 구비한 인쇄회로기판의 제조방법
KR101329931B1 (ko) 2006-04-25 2013-11-28 니혼도꾸슈도교 가부시키가이샤 배선기판
KR101037229B1 (ko) 2006-04-27 2011-05-25 스미토모 베이클리트 컴퍼니 리미티드 반도체 장치 및 반도체 장치의 제조 방법
KR20090031349A (ko) 2006-04-28 2009-03-25 폴리셋 컴파니, 인코퍼레이티드 재분배층 적용을 위한 실록산 에폭시 중합체
JP2007311676A (ja) 2006-05-22 2007-11-29 Sony Corp 半導体装置とその製造方法
US8022552B2 (en) 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
KR100731112B1 (ko) 2006-07-24 2007-06-22 동부일렉트로닉스 주식회사 포토 레지스트를 제거하기 위한 cmp 슬러리
JP5252792B2 (ja) 2006-08-25 2013-07-31 日本ミクロコーティング株式会社 酸化物超伝導体用テープ基材の研磨方法並びに酸化物超伝導体及び酸化物超伝導体用基材
KR20080037296A (ko) 2006-10-25 2008-04-30 삼성전자주식회사 박막 트랜지스터 기판 및 그 제조방법
US7427562B2 (en) 2006-11-08 2008-09-23 Motorla, Inc. Method for fabricating closed vias in a printed circuit board
US20080136002A1 (en) 2006-12-07 2008-06-12 Advanced Chip Engineering Technology Inc. Multi-chips package and method of forming the same
US7915737B2 (en) 2006-12-15 2011-03-29 Sanyo Electric Co., Ltd. Packing board for electronic device, packing board manufacturing method, semiconductor module, semiconductor module manufacturing method, and mobile device
TWI330401B (en) 2006-12-25 2010-09-11 Unimicron Technology Corp Circuit board structure having embedded semiconductor component and fabrication method thereof
US20080173792A1 (en) 2007-01-23 2008-07-24 Advanced Chip Engineering Technology Inc. Image sensor module and the method of the same
KR101030769B1 (ko) 2007-01-23 2011-04-27 삼성전자주식회사 스택 패키지 및 스택 패키징 방법
CN100561696C (zh) 2007-03-01 2009-11-18 全懋精密科技股份有限公司 嵌埋半导体芯片的结构及其制法
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
JP2008277339A (ja) 2007-04-25 2008-11-13 Tdk Corp 電子部品およびその製造方法
KR100891805B1 (ko) * 2007-05-25 2009-04-07 주식회사 네패스 웨이퍼 레벨 시스템 인 패키지 및 그 제조 방법
US8710402B2 (en) 2007-06-01 2014-04-29 Electro Scientific Industries, Inc. Method of and apparatus for laser drilling holes with improved taper
US8143719B2 (en) 2007-06-07 2012-03-27 United Test And Assembly Center Ltd. Vented die and package
US8314343B2 (en) 2007-09-05 2012-11-20 Taiyo Yuden Co., Ltd. Multi-layer board incorporating electronic component and method for producing the same
EP2201600B1 (en) 2007-10-15 2019-01-02 IMEC vzw Method for producing through-substrate vias
US8476769B2 (en) 2007-10-17 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias and methods for forming the same
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
JP5280079B2 (ja) 2008-03-25 2013-09-04 新光電気工業株式会社 配線基板の製造方法
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
KR20090116168A (ko) 2008-05-06 2009-11-11 삼성전자주식회사 금속 배선 기판, 박막 트랜지스터 기판, 및 금속 배선의형성 방법
US7842542B2 (en) 2008-07-14 2010-11-30 Stats Chippac, Ltd. Embedded semiconductor die package and method of making the same using metal frame carrier
SG177945A1 (en) 2008-07-18 2012-02-28 United Test & Assembly Ct Lt Packaging structural member
BRPI0916391A2 (pt) 2008-07-22 2019-03-06 Saint Gobain Abrasifs Sa produtos abrasivos revestidos contendo agregados
US20100062287A1 (en) 2008-09-10 2010-03-11 Seagate Technology Llc Method of polishing amorphous/crystalline glass to achieve a low rq & wq
TWI519369B (zh) 2008-10-10 2016-02-01 Ipg微系統有限公司 雷射加工系統、雷射加工方法及光學頭
JP5246103B2 (ja) 2008-10-16 2013-07-24 大日本印刷株式会社 貫通電極基板の製造方法
US7982305B1 (en) 2008-10-20 2011-07-19 Maxim Integrated Products, Inc. Integrated circuit package including a three-dimensional fan-out / fan-in signal routing
JP5111342B2 (ja) 2008-12-01 2013-01-09 日本特殊陶業株式会社 配線基板
US8354304B2 (en) 2008-12-05 2013-01-15 Stats Chippac, Ltd. Semiconductor device and method of forming conductive posts embedded in photosensitive encapsulant
US8592992B2 (en) 2011-12-14 2013-11-26 Stats Chippac, Ltd. Semiconductor device and method of forming vertical interconnect structure with conductive micro via array for 3-D Fo-WLCSP
KR20100067966A (ko) 2008-12-12 2010-06-22 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US9064936B2 (en) 2008-12-12 2015-06-23 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
WO2010067042A1 (en) 2008-12-13 2010-06-17 M-Solv Limited Method and apparatus for laser machining relatively narrow and relatively wide structures
US7932608B2 (en) 2009-02-24 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via formed with a post passivation interconnect structure
KR101065744B1 (ko) 2009-02-27 2011-09-19 주식회사 티지솔라 요철구조가 형성된 기판을 이용한 태양전지의 제조방법
US8609512B2 (en) 2009-03-27 2013-12-17 Electro Scientific Industries, Inc. Method for laser singulation of chip scale packages on glass substrates
US7955942B2 (en) 2009-05-18 2011-06-07 Stats Chippac, Ltd. Semiconductor device and method of forming a 3D inductor from prefabricated pillar frame
CN101898405A (zh) 2009-05-27 2010-12-01 鸿富锦精密工业(深圳)有限公司 模具流道组合
TWI594828B (zh) 2009-05-28 2017-08-11 伊雷克托科學工業股份有限公司 應用於雷射處理工件中的特徵的聲光偏轉器及相關雷射處理方法
US20100307798A1 (en) 2009-06-03 2010-12-09 Izadian Jamal S Unified scalable high speed interconnects technologies
WO2011013630A1 (ja) 2009-07-29 2011-02-03 日産化学工業株式会社 ナノインプリント用レジスト下層膜形成組成物
US9721868B2 (en) * 2009-07-30 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit (3DIC) having a thermally enhanced heat spreader embedded in a substrate
US8383457B2 (en) 2010-09-03 2013-02-26 Stats Chippac, Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
TWI418272B (zh) 2009-08-25 2013-12-01 Samsung Electro Mech 處理核心基板之空腔的方法
TW201110285A (en) 2009-09-08 2011-03-16 Unimicron Technology Corp Package structure having embedded semiconductor element and method of forming the same
US8728341B2 (en) 2009-10-22 2014-05-20 Hitachi Chemical Company, Ltd. Polishing agent, concentrated one-pack type polishing agent, two-pack type polishing agent and method for polishing substrate
US8772087B2 (en) 2009-10-22 2014-07-08 Infineon Technologies Ag Method and apparatus for semiconductor device fabrication using a reconstituted wafer
CN102230991B (zh) 2009-10-23 2013-01-09 鸿富锦精密工业(深圳)有限公司 光纤耦合连接器
JP5700241B2 (ja) 2009-11-09 2015-04-15 日立化成株式会社 多層配線基板及びその製造方法
CN102687313A (zh) 2009-11-11 2012-09-19 安普雷斯股份有限公司 用于电极制造的中间层
EP2339627A1 (en) 2009-12-24 2011-06-29 Imec Window interposed die packaging
US9196509B2 (en) 2010-02-16 2015-11-24 Deca Technologies Inc Semiconductor device and method of adaptive patterning for panelized packaging
US8822281B2 (en) 2010-02-23 2014-09-02 Stats Chippac, Ltd. Semiconductor device and method of forming TMV and TSV in WLCSP using same carrier
CN102947931A (zh) 2010-03-03 2013-02-27 佐治亚技术研究公司 无机中介片上的贯通封装过孔(tpv)结构及其加工方法
KR101846588B1 (ko) 2010-04-12 2018-04-06 아이코닉스 코포레이션 포토레지스트막, 및 연마 식각 및 절삭 방법
US8970006B2 (en) * 2010-06-15 2015-03-03 Stmicroelectronics S.R.L. Vertical conductive connections in semiconductor substrates
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
KR102055459B1 (ko) 2010-08-02 2019-12-12 아토테크더치랜드게엠베하 기판 상에 솔더 성막 및 비용융 범프 구조들을 형성하는 방법
US9049808B2 (en) 2010-08-21 2015-06-02 Ibiden Co., Ltd. Printed wiring board and a method of manufacturing a printed wiring board
US8518746B2 (en) 2010-09-02 2013-08-27 Stats Chippac, Ltd. Semiconductor device and method of forming TSV semiconductor wafer with embedded semiconductor die
TWI434387B (zh) 2010-10-11 2014-04-11 Advanced Semiconductor Eng 具有穿導孔之半導體裝置及具有穿導孔之半導體裝置之封裝結構及其製造方法
US8617990B2 (en) 2010-12-20 2013-12-31 Intel Corporation Reduced PTH pad for enabling core routing and substrate layer count reduction
US8329575B2 (en) 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
JP5693977B2 (ja) 2011-01-11 2015-04-01 新光電気工業株式会社 配線基板及びその製造方法
WO2012122388A2 (en) 2011-03-08 2012-09-13 Georgia Tech Research Corporation Chip-last embedded interconnect structures and methods of making the same
JP2012195514A (ja) 2011-03-17 2012-10-11 Seiko Epson Corp 素子付き基板、赤外線センサー、および貫通電極形成方法
WO2012142592A1 (en) 2011-04-14 2012-10-18 Georgia Tech Research Corporation Through package via structures in panel-based silicon substrates and methods of making the same
JP5275401B2 (ja) * 2011-04-18 2013-08-28 新光電気工業株式会社 配線基板、半導体装置及び配線基板の製造方法
CN102800596A (zh) * 2011-05-24 2012-11-28 中国科学院微电子研究所 埋置有源元件的树脂基板及其制备方法
WO2013008415A1 (ja) 2011-07-08 2013-01-17 パナソニック株式会社 配線基板および立体配線基板の製造方法
JP2013074178A (ja) 2011-09-28 2013-04-22 Ngk Spark Plug Co Ltd 部品内蔵配線基板の製造方法
CN102437110B (zh) 2011-11-30 2015-07-29 北京大学 一种石墨烯垂直互连结构的制作方法
WO2013089754A1 (en) 2011-12-15 2013-06-20 Intel Corporation Packaged semiconductor die with bumpless die-package interface for bumpless build-up layer (bbul) packages
US8772058B2 (en) 2012-02-02 2014-07-08 Harris Corporation Method for making a redistributed wafer using transferrable redistribution layers
JP2015516672A (ja) 2012-02-26 2015-06-11 ソレクセル、インコーポレイテッド レーザ分割及び装置層移設のためのシステム及び方法
US8698293B2 (en) 2012-05-25 2014-04-15 Infineon Technologies Ag Multi-chip package and method of manufacturing thereof
JP5981232B2 (ja) 2012-06-06 2016-08-31 新光電気工業株式会社 半導体パッケージ、半導体装置及び半導体パッケージの製造方法
JP6029342B2 (ja) 2012-06-15 2016-11-24 新光電気工業株式会社 配線基板及びその製造方法
DE102012210472A1 (de) 2012-06-21 2013-12-24 Robert Bosch Gmbh Verfahren zum Herstellen eines Bauelements mit einer elektrischen Durchkontaktierung
JP2014038904A (ja) 2012-08-13 2014-02-27 Elpida Memory Inc 半導体装置
CN103635017B (zh) 2012-08-24 2016-12-28 碁鼎科技秦皇岛有限公司 电路板及其制作方法
US8890628B2 (en) 2012-08-31 2014-11-18 Intel Corporation Ultra slim RF package for ultrabooks and smart phones
SE538062C2 (sv) * 2012-09-27 2016-02-23 Silex Microsystems Ab Kemiskt pläterad metallvia genom kisel
US9385102B2 (en) 2012-09-28 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming supporting layer over semiconductor die in thin fan-out wafer level chip scale package
CN102890591B (zh) 2012-09-28 2016-03-09 北京京东方光电科技有限公司 一种触摸屏、触控显示装置及触摸屏的制造方法
CN104813448A (zh) 2012-09-28 2015-07-29 圣戈本陶瓷及塑料股份有限公司 改进的微研磨工艺
US20140103499A1 (en) 2012-10-11 2014-04-17 International Business Machines Corporation Advanced handler wafer bonding and debonding
KR101301507B1 (ko) 2012-11-26 2013-09-04 (주)씨엠코리아 반도체 제조장치용 히터 제조방법 및 그에 따라 제조된 히터
KR102072846B1 (ko) 2012-12-18 2020-02-03 에스케이하이닉스 주식회사 임베디드 패키지 및 제조 방법
KR20140083657A (ko) 2012-12-26 2014-07-04 하나 마이크론(주) 인터포저가 임베디드 되는 전자 모듈 및 그 제조방법
KR101441632B1 (ko) 2012-12-28 2014-09-23 (재)한국나노기술원 글라스 기반 프로브 카드용 스페이스 트랜스포머의 제조방법 및 이에 의해 제조된 글라스 기반 프로브 카드용 스페이스 트랜스포머
KR20150103653A (ko) 2013-01-07 2015-09-11 가부시끼가이샤 아라이도 마테리아루 세라믹 배선 기판, 반도체 장치, 및 세라믹 배선 기판의 제조 방법
US9378982B2 (en) 2013-01-31 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Die package with openings surrounding end-portions of through package vias (TPVs) and package on package (PoP) using the die package
US9704809B2 (en) 2013-03-05 2017-07-11 Maxim Integrated Products, Inc. Fan-out and heterogeneous packaging of electronic components
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
KR101494413B1 (ko) 2013-05-29 2015-02-17 주식회사 네패스 지지프레임 및 이를 이용한 반도체패키지 제조방법
US20140353019A1 (en) * 2013-05-30 2014-12-04 Deepak ARORA Formation of dielectric with smooth surface
JP6214930B2 (ja) 2013-05-31 2017-10-18 スナップトラック・インコーポレーテッド 多層配線基板
US9685414B2 (en) 2013-06-26 2017-06-20 Intel Corporation Package assembly for embedded die and associated techniques and configurations
US8980691B2 (en) 2013-06-28 2015-03-17 Stats Chippac, Ltd. Semiconductor device and method of forming low profile 3D fan-out package
GB2530671A (en) 2013-06-29 2016-03-30 Intel Corp Interconnect structure comprising fine pitch backside metal redistribution lines combined with vias
US8952544B2 (en) 2013-07-03 2015-02-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10446335B2 (en) 2013-08-08 2019-10-15 Zhuhai Access Semiconductor Co., Ltd. Polymer frame for a chip, such that the frame comprises at least one via in series with a capacitor
US9209151B2 (en) 2013-09-26 2015-12-08 General Electric Company Embedded semiconductor device package and method of manufacturing thereof
US9530752B2 (en) 2013-11-11 2016-12-27 Infineon Technologies Ag Method for forming electronic components
KR20150056483A (ko) 2013-11-14 2015-05-26 주식회사 아모그린텍 연성인쇄회로기판과 그 제조 방법
US9159678B2 (en) 2013-11-18 2015-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
WO2015083345A1 (ja) 2013-12-04 2015-06-11 日本特殊陶業株式会社 部品内蔵配線基板及びその製造方法
JP2015109346A (ja) * 2013-12-04 2015-06-11 日本特殊陶業株式会社 部品内蔵配線基板及びその製造方法
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10014292B2 (en) 2015-03-09 2018-07-03 Monolithic 3D Inc. 3D semiconductor device and structure
US9355881B2 (en) 2014-02-18 2016-05-31 Infineon Technologies Ag Semiconductor device including a dielectric material
WO2015126438A1 (en) 2014-02-20 2015-08-27 Applied Materials, Inc. Laser ablation platform for solar cells
EP3117456B1 (en) 2014-03-12 2022-05-11 Intel Corporation Microelectronic package having a passive microelectronic device disposed within a package body and its manufacturing method
US9735134B2 (en) 2014-03-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with through-vias having tapered ends
US9499397B2 (en) 2014-03-31 2016-11-22 Freescale Semiconductor, Inc. Microelectronic packages having axially-partitioned hermetic cavities and methods for the fabrication thereof
US9326373B2 (en) 2014-04-09 2016-04-26 Finisar Corporation Aluminum nitride substrate
US10074631B2 (en) 2014-04-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Packages and packaging methods for semiconductor devices, and packaged semiconductor devices
US9589786B2 (en) 2014-04-28 2017-03-07 National Center For Advanced Packaging Co., Ltd Method for polishing a polymer surface
EP3140859B1 (en) 2014-05-06 2022-11-02 Intel Corporation Multi-layer package with integrated antenna
US10256180B2 (en) 2014-06-24 2019-04-09 Ibis Innotech Inc. Package structure and manufacturing method of package structure
US9396999B2 (en) 2014-07-01 2016-07-19 Freescale Semiconductor, Inc. Wafer level packaging method
JP6394136B2 (ja) 2014-07-14 2018-09-26 凸版印刷株式会社 パッケージ基板およびその製造方法
CN105336670B (zh) 2014-07-14 2018-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP6324876B2 (ja) 2014-07-16 2018-05-16 新光電気工業株式会社 配線基板、半導体装置及び配線基板の製造方法
KR20160013706A (ko) 2014-07-28 2016-02-05 삼성전기주식회사 인쇄회로기판 및 인쇄회로기판의 제조 방법
CN105436718A (zh) 2014-08-26 2016-03-30 安捷利电子科技(苏州)有限公司 一种uv激光钻孔制备具有可控锥度盲孔的方法
WO2016043761A1 (en) 2014-09-18 2016-03-24 Intel Corporation Method of embedding wlcsp components in e-wlb and e-plb
KR102268386B1 (ko) 2014-09-30 2021-06-23 삼성전기주식회사 회로기판
KR20160048277A (ko) 2014-10-23 2016-05-04 에스케이하이닉스 주식회사 칩 내장 패키지 및 그 제조방법
US9554469B2 (en) 2014-12-05 2017-01-24 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Method of fabricating a polymer frame with a rectangular array of cavities
US9318376B1 (en) 2014-12-15 2016-04-19 Freescale Semiconductor, Inc. Through substrate via with diffused conductive component
US10269722B2 (en) 2014-12-15 2019-04-23 Bridge Semiconductor Corp. Wiring board having component integrated with leadframe and method of making the same
WO2016099523A1 (en) 2014-12-19 2016-06-23 Intel IP Corporation Stacked semiconductor device package with improved interconnect bandwidth
US9754849B2 (en) 2014-12-23 2017-09-05 Intel Corporation Organic-inorganic hybrid structure for integrated circuit packages
US20160329299A1 (en) 2015-05-05 2016-11-10 Mediatek Inc. Fan-out package structure including antenna
US9842789B2 (en) 2015-05-11 2017-12-12 Samsung Electro-Mechanics Co., Ltd. Electronic component package and method of manufacturing the same
US10109588B2 (en) 2015-05-15 2018-10-23 Samsung Electro-Mechanics Co., Ltd. Electronic component package and package-on-package structure including the same
US9837484B2 (en) 2015-05-27 2017-12-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming substrate including embedded component with symmetrical structure
US9978720B2 (en) 2015-07-06 2018-05-22 Infineon Technologies Ag Insulated die
US20190189561A1 (en) 2015-07-15 2019-06-20 Chip Solutions, LLC Semiconductor device and method with multiple redistribution layer and fine line capability
US10636753B2 (en) 2015-07-29 2020-04-28 STATS ChipPAC Pte. Ltd. Antenna in embedded wafer-level ball-grid array package
CN105023900A (zh) 2015-08-11 2015-11-04 华天科技(昆山)电子有限公司 埋入硅基板扇出型封装结构及其制造方法
US9601461B2 (en) 2015-08-12 2017-03-21 Semtech Corporation Semiconductor device and method of forming inverted pyramid cavity semiconductor package
JP6542616B2 (ja) 2015-08-27 2019-07-10 古河電気工業株式会社 部品内蔵配線基板の製造方法、部品内蔵配線基板および電子部品固定用テープ
JP2017050315A (ja) 2015-08-31 2017-03-09 イビデン株式会社 プリント配線板及びプリント配線板の製造方法
US9761571B2 (en) 2015-09-17 2017-09-12 Deca Technologies Inc. Thermally enhanced fully molded fan-out module
WO2017052633A1 (en) 2015-09-25 2017-03-30 Vivek Raghunathan Thin electronic package elements using laser spallation
KR102145950B1 (ko) 2015-10-04 2020-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 지지체 및 배플 장치
US9837352B2 (en) 2015-10-07 2017-12-05 Advanced Semiconductor Engineering, Inc. Semiconductor device and method for manufacturing the same
US10177083B2 (en) 2015-10-29 2019-01-08 Intel Corporation Alternative surfaces for conductive pad layers of silicon bridges for semiconductor packages
TW201717343A (zh) 2015-11-04 2017-05-16 華亞科技股份有限公司 封裝上封裝構件及其製作方法
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
JP6626697B2 (ja) 2015-11-24 2019-12-25 京セラ株式会社 配線基板およびその製造方法
US9660037B1 (en) 2015-12-15 2017-05-23 Infineon Technologies Austria Ag Semiconductor wafer and method
US10950550B2 (en) 2015-12-22 2021-03-16 Intel Corporation Semiconductor package with through bridge die connections
US9875970B2 (en) 2016-04-25 2018-01-23 Samsung Electro-Mechanics Co., Ltd. Fan-out semiconductor package
DE112016006809T5 (de) 2016-04-28 2019-02-14 Intel Corporation Integrierte schaltungsstrukturen mit erweiterten leitungswegen
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10615191B2 (en) 2016-05-20 2020-04-07 Ares Materials Inc. Polymer substrate for flexible electronics microfabrication and methods of use
US10043740B2 (en) * 2016-07-12 2018-08-07 Intel Coporation Package with passivated interconnects
US11156788B2 (en) 2016-07-14 2021-10-26 Intel Corporation Semiconductor package with embedded optical die
US9748167B1 (en) 2016-07-25 2017-08-29 United Microelectronics Corp. Silicon interposer, semiconductor package using the same, and fabrication method thereof
US10037975B2 (en) 2016-08-31 2018-07-31 Advanced Semiconductor Engineering, Inc. Semiconductor device package and a method of manufacturing the same
KR102566996B1 (ko) 2016-09-09 2023-08-14 삼성전자주식회사 FOWLP 형태의 반도체 패키지 및 이를 가지는 PoP 형태의 반도체 패키지
US9887167B1 (en) 2016-09-19 2018-02-06 Advanced Semiconductor Engineering, Inc. Embedded component package structure and method of manufacturing the same
KR102012443B1 (ko) 2016-09-21 2019-08-20 삼성전자주식회사 팬-아웃 반도체 패키지
JP2018073890A (ja) 2016-10-25 2018-05-10 イビデン株式会社 プリント配線板およびプリント配線板の製造方法
CN106531647B (zh) 2016-12-29 2019-08-09 华进半导体封装先导技术研发中心有限公司 一种扇出型芯片的封装结构及其封装方法
WO2018125184A1 (en) 2016-12-30 2018-07-05 Intel Corporation Package substrate with high-density interconnect layer having pillar and via connections for fan out scaling
KR102561987B1 (ko) 2017-01-11 2023-07-31 삼성전기주식회사 반도체 패키지와 그 제조 방법
US9972589B1 (en) * 2017-03-30 2018-05-15 Intel Corporation Integrated circuit package substrate with microstrip architecture and electrically grounded surface conductive layer
KR102019353B1 (ko) 2017-04-07 2019-09-09 삼성전자주식회사 팬-아웃 센서 패키지 및 이를 포함하는 광학방식 지문센서 모듈
JP6827663B2 (ja) 2017-04-24 2021-02-10 株式会社荏原製作所 基板の研磨装置
TWI645519B (zh) 2017-06-02 2018-12-21 旭德科技股份有限公司 元件內埋式封裝載板及其製作方法
US10304765B2 (en) 2017-06-08 2019-05-28 Advanced Semiconductor Engineering, Inc. Semiconductor device package
US10163803B1 (en) 2017-06-20 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages and methods of forming the same
US10211072B2 (en) 2017-06-23 2019-02-19 Applied Materials, Inc. Method of reconstituted substrate formation for advanced packaging applications
TW201909245A (zh) 2017-07-24 2019-03-01 美商康寧公司 精密結構玻璃物件、積體電路封裝、光學元件、微流體元件及其製造方法
US10410971B2 (en) 2017-08-29 2019-09-10 Qualcomm Incorporated Thermal and electromagnetic interference shielding for die embedded in package substrate
US10515912B2 (en) 2017-09-24 2019-12-24 Intel Corporation Integrated circuit packages
US10269773B1 (en) 2017-09-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
WO2019066988A1 (en) 2017-09-30 2019-04-04 Intel Corporation INTEGRATED PCB / HOUSING STACK FOR DOUBLE-SIDED INTERCONNECTION
KR101892869B1 (ko) 2017-10-20 2018-08-28 삼성전기주식회사 팬-아웃 반도체 패키지
KR101922884B1 (ko) 2017-10-26 2018-11-28 삼성전기 주식회사 팬-아웃 반도체 패키지
US10515827B2 (en) 2017-10-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package with recessed interposer substrate
KR101963292B1 (ko) 2017-10-31 2019-03-28 삼성전기주식회사 팬-아웃 반도체 패키지
US10468339B2 (en) 2018-01-19 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Heterogeneous fan-out structure and method of manufacture
TWI791769B (zh) 2018-02-27 2023-02-11 日商迪愛生股份有限公司 電子零件封裝及其製造方法
CN111868920A (zh) 2018-03-15 2020-10-30 应用材料公司 用于半导体器件封装制造工艺的平坦化
US10948818B2 (en) 2018-03-19 2021-03-16 Applied Materials, Inc. Methods and apparatus for creating a large area imprint without a seam
US10356903B1 (en) 2018-03-28 2019-07-16 Apple Inc. System-in-package including opposing circuit boards
US11178772B2 (en) 2018-03-29 2021-11-16 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Component carrier connected with a separate tilted component carrier for short electric connection
US11063007B2 (en) 2018-05-21 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10955606B2 (en) 2018-05-30 2021-03-23 Applied Materials, Inc. Method of imprinting tilt angle light gratings
US10424530B1 (en) * 2018-06-21 2019-09-24 Intel Corporation Electrical interconnections with improved compliance due to stress relaxation and method of making
US10705268B2 (en) 2018-06-29 2020-07-07 Applied Materials, Inc. Gap fill of imprinted structure with spin coated high refractive index material for optical components
IT201900006740A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
US11764150B2 (en) 2019-07-03 2023-09-19 Intel Corporation Inductors for package substrates

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US20120146209A1 (en) * 2010-12-14 2012-06-14 Unimicron Technology Corporation Packaging substrate having through-holed interposer embedded therein and fabrication method thereof
CN105575938A (zh) * 2016-02-26 2016-05-11 中国科学院微电子研究所 一种硅基转接板及其制备方法
JP2019009297A (ja) * 2017-06-26 2019-01-17 京セラ株式会社 配線基板およびその製造方法
US20190237430A1 (en) * 2018-01-29 2019-08-01 Globalfoundries Inc. 3d ic package with rdl interposer and related method

Also Published As

Publication number Publication date
US20210159158A1 (en) 2021-05-27
KR20240032172A (ko) 2024-03-08
US20210249345A1 (en) 2021-08-12
WO2021108064A1 (en) 2021-06-03
TW202133382A (zh) 2021-09-01
KR20220104233A (ko) 2022-07-26
JP7454668B2 (ja) 2024-03-22
KR102643053B1 (ko) 2024-02-29
TW202123785A (zh) 2021-06-16
CN114787989A (zh) 2022-07-22
US20210257289A1 (en) 2021-08-19
KR20240008978A (ko) 2024-01-19
TW202404430A (zh) 2024-01-16
TWI834012B (zh) 2024-03-01
US11881447B2 (en) 2024-01-23
US10937726B1 (en) 2021-03-02
US11862546B2 (en) 2024-01-02
KR20220104798A (ko) 2022-07-26
TWI819252B (zh) 2023-10-21
KR102625123B1 (ko) 2024-01-12
JP2023503580A (ja) 2023-01-31
WO2021108065A1 (en) 2021-06-03
US20210159160A1 (en) 2021-05-27
CN114762099A (zh) 2022-07-15

Similar Documents

Publication Publication Date Title
KR102625123B1 (ko) 반도체 디바이스 어셈블리
US11417605B2 (en) Reconstituted substrate for radio frequency applications
TW202422838A (zh) 封裝核心組件及製造方法
US20230070053A1 (en) Stiffener frame for semiconductor device packages
JP2024095655A (ja) パッケージコアアセンブリ及び製造方法
TW202318516A (zh) 半導體元件封裝方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240311

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240618