KR20210154267A - 기판 구조화 방법들 - Google Patents

기판 구조화 방법들 Download PDF

Info

Publication number
KR20210154267A
KR20210154267A KR1020217040360A KR20217040360A KR20210154267A KR 20210154267 A KR20210154267 A KR 20210154267A KR 1020217040360 A KR1020217040360 A KR 1020217040360A KR 20217040360 A KR20217040360 A KR 20217040360A KR 20210154267 A KR20210154267 A KR 20210154267A
Authority
KR
South Korea
Prior art keywords
substrate
resist layer
structuring
powder particles
etching process
Prior art date
Application number
KR1020217040360A
Other languages
English (en)
Other versions
KR102619572B1 (ko
Inventor
한-웬 첸
스티븐 버하버베케
기백 박
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237044761A priority Critical patent/KR20240005994A/ko
Publication of KR20210154267A publication Critical patent/KR20210154267A/ko
Application granted granted Critical
Publication of KR102619572B1 publication Critical patent/KR102619572B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • H01L21/3046Mechanical treatment, e.g. grinding, polishing, cutting using blasting, e.g. sand-blasting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)
  • Optics & Photonics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Laser Beam Processing (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Manufacturing Of Printed Wiring (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 개시내용은 반도체 기판을 구조화하기 위한 방법들 및 장치에 관한 것이다. 일 실시예에서, 기판 구조화 방법은 캐리어 상에 선택적으로 배치된 기판에 레지스트 층을 적용하는 단계를 포함한다. 레지스트 층은 자외선 방사 또는 레이저 삭마를 사용하여 패터닝된다. 그런 다음, 레지스트 층의 패터닝된 부분들은 기판에 원하는 피처들을 형성하기 위해 마이크로-블라스팅에 의해 기판 상에 전사되는 한편, 레지스트 층의 노출되지 않은 또는 삭마되지 않은 부분들은 기판의 나머지를 차폐한다. 그런 다음, 레지스트 층을 제거하고 캐리어를 릴리스하기 위해, 기판은 에칭 프로세스 및 디-본딩 프로세스에 노출된다.

Description

기판 구조화 방법들
[0001] 본 개시내용의 실시예들은 일반적으로, 반도체 기판들을 구조화하기 위한 방법들 및 장치에 관한 것이다. 더 구체적으로, 본원에서 설명되는 실시예들은, 마이크로-블라스팅(micro-blasting) 및 레이저 삭마(laser ablation) 기법들을 사용하여 반도체 기판들을 구조화하기 위한 방법들 및 장치에 관한 것이다.
[0002] 소형화된 전자 디바이스들 및 컴포넌트들에 대한 계속 증가하는 요구로 인해, 집적 회로들은 단일 칩 상에 수백만 개의 트랜지스터들, 커패시터들, 및 저항기들을 포함할 수 있는 복잡한 2.5D 및 3D 디바이스들로 진화되었다. 칩 설계의 진화는 더 큰 회로 밀도를 유발하여 집적 회로들의 프로세스 능력 및 속도를 개선하였다. 더 큰 회로 밀도들을 갖는 더 빠른 프로세싱 능력들에 대한 요구는, 그러한 집적 회로 칩들의 제작에 사용되는 재료들, 구조들, 및 프로세스들에 대한 대응하는 요구들을 부과한다. 그러나, 더 큰 통합 및 성능을 향한 이러한 경향들과 함께, 감소된 제조 비용들에 대한 끊임없는 추구가 존재한다.
[0003] 종래에, 집적 회로 칩들은, 유기 복합물들과 연관된 비교적 낮은 패키지 제조 비용들뿐만 아니라 유기 패키지 기판들을 통해 피처들 및 연결들을 형성하는 것의 용이함으로 인해 유기 패키지 기판들 상에 제작되었다. 그러나, 회로 밀도들이 증가되고 전자 디바이스들이 추가로 소형화됨에 따라, 유기 패키지 기판들의 활용은 디바이스 스케일링 및 연관된 성능 요건들을 유지하기 위한 재료 구조화 분해능에 대한 제한들로 인해 비실용적이게 된다. 더 최근에, 2.5D 및 3D 집적 회로들은 유기 패키지 기판들과 연관된 제한들 중 일부를 보상하기 위해 재분배 층들로서 유기 패키지 기판들 상에 배치된 수동 실리콘 인터포저들을 활용하여 제작되었다. 실리콘 인터포저 활용은, 진보된 패키징 애플리케이션들에서의 고-대역폭 밀도, 저전력 칩-투-칩 통신, 및 이종 통합 요건들에 대한 가능성에 의해 주도된다. 그러나, TSV(through-silicon via)들과 같은 실리콘 인터포저들에서의 피처들의 형성은 여전히 어렵고 비용이 많이 든다. 특히, 고-종횡비 실리콘 비아 에칭, 화학적 기계적 평탄화, 및 반도체 BEOL(back end of line) 상호연결에 의해 높은 비용들이 부과된다.
[0004] 따라서, 진보된 패키징 애플리케이션들을 위한 기판 구조화의 개선된 방법들이 당해 기술분야에 필요하다.
[0005] 일 실시예에서, 기판 구조화를 위한 방법이 제공된다. 방법은 제1 접착제 층을 이용하여 기판을 캐리어 플레이트에 본딩하는 단계, 제2 접착제 층을 이용하여 레지스트 층을 기판 상에 본딩하는 단계, 및 전자기 방사를 이용하여 레지스트 층을 패터닝하는 단계를 포함한다. 방법은, 기판에 구조화된 패턴들을 형성하기 위해, 분말 입자들을 패터닝된 레지스트 층에 대해 추진(propelling)시키는 단계, 및 구조화된 패턴들로부터 파편들(debris)을 제거하고 기판의 하나 이상의 표면들을 평활화하기 위해 기판을 에칭 프로세스에 노출시키는 단계를 더 포함한다. 레지스트 층은 제2 접착제 층을 릴리스함으로써 기판으로부터 디-본딩되고(de-bonded), 기판은 제1 접착제 층을 릴리스함으로써 캐리어 플레이트로부터 디-본딩된다.
[0006] 일 실시예에서, 기판 구조화를 위한 방법이 제공된다. 방법은, 실리콘 솔라 기판 상에 레지스트 층을 형성하는 단계, 레지스트 층을 전자기 방사에 노출시킴으로써 레지스트 층을 패터닝하는 단계, 기판으로부터 재료를 떼어내어(dislodge) 제거하고 구조화된 패턴들을 기판 내에 형성하기 위해, 높은 압력 하에 분말 입자들의 스트림을 기판 쪽으로 추진(propelling)시키는 단계, 및 구조화된 패턴들로부터 파편들을 제거하고 기판의 하나 이상의 표면들을 평활화하기 위해, 기판을 에칭 프로세스에 노출시키는 단계를 포함한다.
[0007] 일 실시예에서, 기판 구조화를 위한 방법이 제공된다. 방법은, 제1 접착제 층을 이용하여 기판의 제1 표면 상에 제1 레지스트 층을 본딩하는 단계, 제2 접착제 층을 이용하여 기판의 제2 표면 상에 제2 레지스트 층을 본딩하는 단계, 및 제1 레지스트 층 및 제2 레지스트 층을 패터닝하는 단계를 포함한다. 방법은, 기판의 제1 표면에 하나 이상의 패터닝된 구조들을 형성하기 위해, 분말 입자들을 기판의 제1 표면 쪽으로 추진시키는 단계, 제1 표면과 제2 표면 사이의 기판의 두께에 걸쳐 하나 이상의 패터닝된 구조들을 연장시키기 위해, 분말 입자들을 기판의 제2 표면 쪽으로 추진시키는 단계, 및 기판으로부터 파편들을 제거하고 기판의 하나 이상의 표면들을 평활화하기 위해 기판을 에칭 프로세스에 노출시키는 단계를 더 포함한다.
[0008] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 그 범위를 제한하는 것으로 간주되지 않아야 하며, 다른 균등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0009] 도 1은 본원에서 설명되는 일 실시예에 따른 기판 구조화 프로세스의 흐름도를 예시한다.
[0010] 도 2a - 도 2f는 본원에서 설명되는 일 실시예에 따른 기판 구조화 프로세스의 상이한 스테이지들에서의 기판의 단면도들을 개략적으로 예시한다.
[0011] 도 3a - 도 3f는 본원에서 설명되는 일 실시예에 따른 기판 구조화 프로세스의 상이한 스테이지들에서의 기판의 단면도들을 개략적으로 예시한다.
[0012] 도 4a - 도 4e는 본원에서 설명되는 일 실시예에 따른 기판 구조화 프로세스의 상이한 스테이지들에서의 기판의 단면도들을 개략적으로 예시한다.
[0013] 도 5는 본원에서 설명되는 일 실시예에 따른 기판 구조화 프로세스의 흐름도를 예시한다.
[0014] 도 6a - 도 6d는 본원에서 설명되는 일 실시예에 따른 기판 구조화 프로세스의 상이한 스테이지들에서의 기판의 단면도들을 개략적으로 예시한다.
[0015] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가의 언급없이 다른 실시예들에 유익하게 통합될 수 있음이 고려된다.
[0016] 본 개시내용은 반도체 기판을 구조화하기 위한 방법들 및 장치에 관한 것이다. 일 실시예에서, 기판 구조화 방법은 캐리어 플레이트 상에 선택적으로 배치된 기판에 레지스트 층을 적용하는 단계를 포함한다. 레지스트 층은 자외선 방사 또는 레이저 삭마를 사용하여 패터닝된다. 그런 다음, 레지스트 층의 패터닝된 부분들은 마이크로-블라스팅에 의해 기판 상에 전사되는 한편, 레지스트 층의 노출되지 않은 또는 삭마되지 않은 부분들은 기판의 나머지를 차폐한다. 그런 다음, 레지스트 층을 제거하고 캐리어를 릴리스하기 위해, 기판은 에칭 프로세스 및 디-본딩 프로세스에 노출된다. 다른 실시예에서, 원하는 피처들이 레이저 삭마에 의해 기판에 형성된다.
[0017] 도 1은 기판(102)을 구조화하기 위한 대표적인 방법(100)의 흐름도를 예시한다. 도 2a - 도 2f 및 도 3a - 도 3f는 도 1의 구조화 프로세스의 상이한 스테이지들에서의 기판(102)의 개략적인 단면도들을 예시한다. 따라서, 도 2a - 도 2f 및 도 3a - 도 3f에 대한 참조는, 정당한 경우, 도 1 및 방법(100)의 논의에 포함될 것이다. 또한, 기판(102)을 구조화하기 위한 방법(100)은 다수의 동작들을 갖는다. 동작들은, (문맥상 그 가능성을 배제하는 경우를 제외하고) 동시에 또는 임의의 순서로 수행될 수 있고, 방법은, (문맥상 그 가능성을 배제하는 경우를 제외하고) 정의된 동작들 중 임의의 동작 전에, 정의된 동작들 중 2개의 동작들 사이에서, 또는 정의된 모든 동작들 후에 수행되는 하나 이상의 다른 동작들을 포함할 수 있다.
[0018] 일반적으로, 방법(100)은 동작(110)에서 기판(102)에 레지스트 막을 적용하는 단계를 포함한다. 일부 실시예들에서, 기판(102)은 선택적으로, 레지스트 막의 적용 전에 캐리어 플레이트에 커플링된다. 동작(120)에서, 방법(100)은 레지스트 막을 패터닝하기 위해 기판(102)을 전자기 또는 레이저 방사에 노출시키는 단계를 포함한다. 동작(130)에서, 기판(102)은 기판(102)에 구조들, 이를테면, 블라인드 비아들, 스루 비아들, 또는 캐비티들을 형성하기 위해 마이크로-블라스팅된다. 방법은, 패터닝된 레지스트 막이 온전하게 유지되는 동안, 동작(140)에서, 마이크로-블라스팅 프로세스 동안 형성된 파편들 및 표면 마이크로-크랙들을 제거하기 위해 기판(102)을 에칭하는 단계를 더 포함한다. 후속하여, 동작(150)에서, 패터닝된 레지스트 층이 제거되고, 그 후에, 기판은 동작(160)에서 캐리어 플레이트 디-본딩 프로세스에 추가로 노출될 수 있다.
[0019] 기판(102)은, III-V 화합물 반도체 재료, 실리콘, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 실리콘, 도핑된 또는 도핑되지 않은 폴리실리콘, 실리콘 질화물, 석영, 보로실리케이트 유리, 유리, 사파이어, 알루미나, 및 세라믹을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 기판 재료로 형성된다. 일 실시예에서, 기판(102)은 패키징 기판이다. 일 실시예에서, 기판(102)은 단결정질 p-타입 또는 n-타입 실리콘 기판이다. 일 실시예에서, 기판(102)은 다결정질 p-타입 또는 n-타입 실리콘 기판이다. 다른 실시예에서, 기판(102)은 p-타입 또는 n-타입 실리콘 솔라 기판이다. 달리 언급되지 않는 한, 본원에서 설명되는 실시예들 및 예들은 약 50 ㎛ 내지 약 1000 ㎛, 이를테면, 약 90 ㎛ 내지 약 780 ㎛의 두께를 갖는 기판들로 수행된다. 예컨대, 기판(102)은 약 100 ㎛ 내지 약 300 ㎛의 두께, 이를테면, 약 110 ㎛ 내지 약 200 ㎛의 두께를 갖는다.
[0020] 기판(102)이 약 200 ㎛ 미만의 두께, 이를테면, 약 50 ㎛의 두께를 갖는 실시예들에서, 기판(102)은 기판 구조화 프로세스(100) 동안 캐리어 플레이트(106)에 커플링된다. 캐리어 플레이트(106)는 기판 구조화 프로세스(100) 동안 기판(102)에 대한 기계적 지지를 제공하고, 기판(102)이 파괴되는 것을 방지한다. 캐리어 플레이트(106)는, 유리, 세라믹, 금속 등을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 화학적으로 그리고 열적으로 안정적인 강성 재료로 형성된다. 캐리어 플레이트(106)는 약 1 ㎜ 내지 약 10 ㎜의 두께, 이를테면, 약 2 ㎜ 내지 약 5 ㎜의 두께를 갖는다. 일 실시예에서, 캐리어 플레이트(106)는 기판(102)이 커플링되는 텍스처링된 표면을 갖는다. 다른 실시예에서, 캐리어 플레이트(106)는 기판(102)이 커플링되는 폴리싱된 표면을 갖는다.
[0021] 일 실시예에서, 기판(102)은 접착제 층(108)을 통해 캐리어 플레이트(106)에 커플링된다. 접착제 층(108)은, 왁스, 글루, 및 유사한 접착제들을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 일시적 본딩 재료로 형성된다. 접착제 층(108)은 기계적 롤링, 프레싱, 적층, 스핀 코팅, 닥터-블레이딩 등에 의해 캐리어 플레이트(106) 상에 적용될 수 있다. 일 실시예에서, 접착제 층(108)은 수용성(water-soluble) 또는 용매-가용성(solvent-soluble) 접착제 층이다. 다른 실시예들에서, 접착제 층(108)은 UV 릴리스 접착제 층이다. 또 다른 실시예들에서, 접착제 층(108)은 열적 릴리스 접착제 층이다. 그러한 실시예들에서, 접착제 층(108)의 본딩 특성들은 상승된 온도들에 대한 노출, 이를테면, 110℃ 초과의 온도들, 예컨대 150℃ 초과의 온도들에 대한 노출 시에 저하된다. 접착제 층(108)은, 라이너, 열적 릴리스 접착제 막, 베이스 막, 감압 막, 및 다른 적절한 층들과 같은 막들의 하나 이상의 층들(미도시)을 더 포함할 수 있다.
[0022] 도 2a 및 도 3a에 대응하는 동작(110)에서, 레지스트 층(104)을 형성하기 위해 레지스트 막이 기판(102)에 적용된다. 레지스트 층(104)은 후속 프로세싱 동작들 동안 원하는 패턴을 기판(102)에 전사하는 데 사용된다. 동작(120)에서 패터닝된 후에, 레지스트 층(104)은 동작(130)에서의 마이크로-블라스팅 프로세스 동안 하부 기판(102)의 선택된 구역들을 보호한다.
[0023] 기판(102)은, 레지스트 층(104)이 형성될 수 있는 하나 이상의 실질적으로 평탄한 표면들을 갖는다. 도 3a에 예시된 실시예와 같은 일 실시예에서, 레지스트 층(104)은 레지스트 접착제 층(109)을 통해 기판(102)에 본딩된다. 레지스트 접착제 층(109)은, 폴리비닐 알코올, 2-에틸-2-(히드록시메틸)-1,3-프로판디올을 갖는 트리에스테르, 및 다른 수용성 또는 용매-가용성 재료들을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 일시적 본딩 재료로 형성된다. 일 실시예에서, 레지스트 접착제 층(109)은 접착제 층(108)과 상이한 재료로 형성된다. 일 실시예에서, 레지스트 접착제 층(109)은 접착제 층(108)과 조성이 실질적으로 유사하다. 레지스트 접착제 층(109)은, 기계적 롤링, 프레싱, 적층, 스핀 코팅, 닥터-블레이딩, 또는 유사한 프로세스들에 의해 기판(102) 상에 적용될 수 있다. 도 2a에 예시된 실시예와 같은 다른 실시예에서, 레지스트 층(104)은, 일시적 본딩 재료, 이를테면, 폴리비닐 알코올로 형성되어, 레지스트 층(104)이 기판(102)의 표면에 직접 적용되고 본딩될 수 있게 한다. 레지스트 층(104)은 하나 이상의 층들, 예컨대 제1 레지스트 층 및 제2 레지스트 층(미도시)을 더 포함할 수 있다.
[0024] 도 2a에 예시된 실시예와 같은 일 실시예에서, 레지스트 층(104)은 포토레지스트이다. 레지스트 층(104)은 용매(solvent), 포토레지스트 수지, 및 광산 발생제(photoacid generator)를 포함할 수 있다. 포토레지스트 수지는 임의의 포지티브 포토레지스트 수지 또는 임의의 네거티브 포토레지스트 수지일 수 있다. 대표적인 포토레지스트 수지들은 아크릴레이트들, 노볼락(novolak) 수지들, 폴리(메틸메타크릴레이트들), 및 폴리(올레핀 술폰들)을 포함한다. 다른 포토레지스트 수지들이 또한 사용될 수 있다. 전자기 방사에 노출 시에, 광산 발생제는 대전된 종, 이를테면, 산성(acid) 양이온들 및 음이온들을 발생시킨다. 광산 발생제는 또한, 분극화된 종(polarized species)을 발생시킬 수 있다. 광산 발생제는 수지를 전자기 방사에 대해 민감하게 한다. 대표적인 광산 발생제들은, 예컨대, 술폰화된 염(sulfonated salt)들, 술폰화된 에스테르(sulfonated ester)들, 및 술포닐옥시 케톤(sulfonyloxy ketone)들과 같은 술폰산염 화합물(sulfonate compound)들을 포함한다. 다른 적절한 광산 발생제들은 오늄 염(onium salt)들, 이를테면, 아릴-디아조늄 염(aryl-diazonium salt)들, 할로늄 염(halonium salt)들, 방향족 술포늄 염(aromatic sulfonium salt)들 및 술폭소늄 염(sulfoxonium salt)들 또는 셀레늄 염(selenium salt)들을 포함한다. 다른 대표적인 광산 발생제들은 니트로벤질 에스테르(nitrobenzyl ester)들, s-트리아진 유도체(s-triazine derivative)들, 이온성 요오도늄 술폰산염(ionic iodonium sulfonate)들, 퍼플루오로알칸술폰산염(perfluoroalkanesulfonate)들, 아릴 트리플레이트(aryl triflate)들 및 그 유도체들 및 유사체(analog)들, 피로갈롤(pyrogallol) 유도체들, 및 알킬 디술폰(alkyl disulfone)들을 포함한다. 다른 광산 발생제들이 또한 사용될 수 있다.
[0025] 도 3a에 예시된 실시예와 같은 일 실시예에서, 레지스트 층(104)은 레이저-감응형 레지스트(laser-sensitive resist)이다. 레지스트 층(104)은 레이저 삭마를 위한 적절한 경도를 갖는 임의의 재료로 형성될 수 있다. 예컨대, 레지스트 층(104)은 약 40 내지 약 90, 이를테면, 약 60 내지 약 70의 쇼어 A 스케일(Shore A Scale) 경도 값을 갖는 재료로 형성된다. 일 실시예에서, 레지스트 층(104)은 약 65의 쇼어 A 스케일 경도 값을 갖는 재료로 형성된다. 추가의 실시예들에서, 레지스트 층(404)은 약 0.5 MPa 내지 약 10 MPa, 이를테면, 약 1 MPa 내지 약 8 MPa의 인장 강도를 갖는 재료로 형성된다. 예컨대, 레지스트 층(104)은 약 7 MPa의 인장 강도를 갖는 재료로 형성된다. 일부 실시예들에서, 레지스트 층(104)은 폴리디메틸실록산 재료로 형성된다. 다른 실시예들에서, 레이저-감응형 레지스트 층(104)은 폴리비닐 알코올, 2-에틸-2-(히드록시메틸)-1,3-프로판디올을 갖는 트리에스테르 등으로 형성된다.
[0026] 도 2b 및 도 3b에 대응하는 동작(120)에서, 레지스트 층(104)이 상부에 형성된 기판(102)은 레지스트 층(104)을 패터닝하기 위해 전자기 방사에 노출된다. 도 2b에 의해 예시된 실시예에서, 레지스트 층(404)이 상부에 형성된 기판(102)은 자외선(UV) 범위의 전자기 방사에 노출된다. 레지스트 층(104)의 부분들은 선택적으로 노출되고, 레지스트 층(104)의 부분들은 UV 방사에 선택적으로 노출되지 않는다. 도 2b에 묘사된 바와 같이, UV 방사에 대한 노출 시에, 레지스트 층(104)의 선택적으로 노출된 부분들은 구조적으로 약화되는 반면, 선택적으로 노출되지 않은 부분들은 그들의 구조적 무결성을 유지한다. 일 실시예에서, UV 방사 노출 전에, 원하는 패턴을 갖는 마스크(112)가 레지스트 층(104) 상에 또는 레지스트 층(104) 근처에 형성된다. 일부 실시예들에서, 마스크(112)는 레지스트 층(104)과 UV 방사 소스 사이에 포지셔닝된 레티클이다. 마스크(112)는 원하는 패턴의 UV 방사를 레지스트 층(104)에 전사하도록 구성되고, PTFE, PVDF, FEP, 폴리이미드 등을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 폴리머성 재료들로 형성된다.
[0027] 도 3b에 예시된 실시예에서, 레지스트 층(104)이 상부에 형성된 기판(102)은 UV 방사 소스 대신에 레이저 소스(303)에 의해 생성된 전자기 방사에 노출된다. 그에 따라, 패터닝은 마스크를 사용하지 않고 타겟팅 레이저 삭마(targeted laser ablation)에 의해 달성된다. 레이저 소스(303)는 레이저-감응형 레지스트 층(104)의 패터닝을 위한 임의의 적절한 타입의 레이저 소스일 수 있다. 일부 예들에서, 레이저 소스(303)는 펨토초 녹색 레이저이다. 다른 예들에서, 레이저 소스(303)는 펨토초 UV 레이저이다. 레이저 소스(303)는 레지스트 층(104)의 패터닝을 위한 연속 또는 펄스형 레이저 빔을 생성한다. 예컨대, 레이저 소스(303)는 약 100 kHz 내지 약 1200 kHz, 이를테면, 약 200 kHz 내지 약 1000 kHz의 주파수를 갖는 펄스형 레이저 빔을 생성할 수 있다. 일부 실시예들에서, 동작(120)에서의 전자기 방사는 대안적으로 또는 추가적으로 전자 빔 또는 이온 빔을 포함할 수 있다는 것이 추가로 고려된다.
[0028] 도 2c 및 도 3c에 대응하는 동작(130)에서, 레지스트 층(104)이 상부에 형성된 기판(102)은 기판(102)에 원하는 패턴을 형성하기 위해 마이크로-블라스팅된다. 마이크로-블라스팅 프로세스 동안, 기판(102)의 노출된 부분들 및/또는 그 위에 형성된 층들을 떼어내기 위해, 분말 입자들의 스트림(205)이 고압 하에 기판(102) 쪽으로 추진된다. 마이크로-블라스팅 프로세스는 임의의 적절한 기판 마멸 시스템(substrate abrading system)을 사용하여 수행된다. 일 실시예에서, 분말 입자들(205)은, 헬륨, 아르곤, 및 질소를 포함하는(그러나 이에 제한되지 않음) 불활성 가스의 유체 스트림을 사용하여 추진된다. 다른 실시예에서, 분말 입자들(205)은 공기의 유체 스트림을 사용하여 추진된다.
[0029] 마이크로-블라스팅 프로세스는, 적용가능할 경우, 레지스트 층(104)의 선택적으로-노출된 부분들과 함께, 분말 입자들(205)의 재료 특성들, 기판(102)의 노출된 표면에 충돌하는 분말 입자들(205)의 운동량뿐만 아니라 기판(102)의 재료 특성들에 의해 결정된다. 원하는 기판 패터닝 특징들을 달성하기 위해, 분말 입자들(205)의 타입 및 크기, 기판(102)에 대한 마멸 시스템의 애플리케이터 노즐의 크기 및 거리, 분말 입자들(205)을 추진시키는 데 활용되는 압력, 및 유체 스트림 내의 분말 입자들(205)의 밀도에 대한 조정들이 이루어진다. 예컨대, 원하는 고정된 마이크로-블라스팅 디바이스 노즐 오리피스 크기를 위해 분말 입자들(205)을 기판(102) 쪽으로 추진시키기 위해 사용되는 캐리어 가스의 원하는 유체 압력은, 분말 입자들(205) 및 기판(102)의 재료들에 기반하여 결정될 수 있다. 일 실시예에서, 기판(102)을 마이크로-블라스팅하는 데 활용되는 유체 압력은 일반적으로, 약 300 m/s(meter per second) 내지 약 1000 m/s의 캐리어 가스 및 입자 속도 및/또는 약 0.001 m3/s(cubic meter per second) 내지 약 0.002 m3/s의 유량을 달성하기 위해, 약 50 psi 내지 약 150 psi, 이를테면, 약 75 psi 내지 약 125 psi의 범위이다. 예컨대, 마이크로-블라스팅 동안 분말 입자들(205)을 추진시키는 데 활용되는 불활성 가스(예컨대, 질소(N2), CDA, 아르곤)의 유체 압력은 약 2350 m/s의 캐리어 가스 및 입자 속도를 달성하기 위해 약 95 psi이다. 일 실시예에서, 기판(102)을 마이크로-블라스팅하는 데 활용되는 애플리케이터 노즐은, 기판(102)으로부터 약 1 ㎜(millimeter) 내지 약 5 ㎜, 이를테면, 약 2 ㎜ 내지 약 4 ㎜의 거리에 배치된 약 0.1 ㎜ 내지 약 2.5 ㎜의 내경을 갖는다. 예컨대, 애플리케이터 노즐은 마이크로-블라스팅 동안 기판(102)으로부터 약 3 ㎜의 거리에 배치된다.
[0030] 일반적으로, 마이크로-블라스팅 프로세스는, 기판(102) 및/또는 기판(102) 상에 형성된 임의의 층들과의 접촉 시에 입자 접착을 방지하기에 충분한 경도 및 높은 용융점을 갖는 분말 입자들(205)로 수행된다. 예컨대, 마이크로-블라스팅 프로세스는 세라믹 재료로 형성된 분말 입자들(205)을 활용하여 수행된다. 일 실시예에서, 마이크로-블라스팅 프로세스에서 활용되는 분말 입자들(205)은 알루미늄 산화물(Al2O3)로 형성된다. 다른 실시예에서, 분말 입자들(205)은 실리콘 탄화물(SiC)로 형성된다. 분말 입자들(205)을 위한 다른 적절한 재료들이 또한 고려된다. 분말 입자들(205)은 일반적으로, 직경이 약 15 ㎛ 내지 약 60 ㎛, 이를테면, 직경이 약 20 ㎛ 내지 약 40 ㎛인 크기 범위이다. 예컨대, 분말 입자들(205)은 직경이 약 27.5 ㎛인 평균 입자 크기이다. 다른 예에서, 분말 입자들(205)은 직경이 약 23 ㎛의 평균 입자 크기를 갖는다.
[0031] 동작(120)에서의 마이크로-블라스팅 프로세스의 유효성은 레지스트 층(104)의 재료 특징들에 추가로 의존한다. 쇼어 A 스케일 경도가 너무 높은 재료를 활용하는 것은, 레지스트 층(104)의 측벽들 사이에서 분말 입자들(205)의 원하지 않는 도탄(ricocheting)을 야기할 수 있고, 그에 따라, 분말 입자들(205)이 기판(102)을 타격하는 속도를 감소시키고, 궁극적으로는, 기판(102)의 노출된 구역들을 침식시키거나 또는 떼어내는 데 있어서의 분말 입자들(205)의 유효성을 감소시킨다. 반대로, 쇼어 A 스케일 경도가 너무 낮은 재료를 활용하는 것은, 레지스트 층(104)에 대한 분말 입자들(205)의 원하지 않는 접착을 야기할 수 있다. 위에서 설명된 바와 같이, 레지스트 층(104) 재료에 대해 약 40 내지 약 90의 쇼어 A 스케일 경도 값이 활용되는 것으로 고려된다.
[0032] 레지스트 층(104)이, 이를테면, 도 2c에 묘사된 포토레지스트인 실시예들에서, 기판(102)은 동작(130)의 시작 시에 노출되지 않은 상태로 유지된다. 따라서, 분말 입자들(205)은 먼저 레지스트 층(104)의 표면을 타격하여, 포토레지스트의 UV-노출되고 구조적으로 약화된 부분들로부터 재료가 떼어지고 제거되게 한다. 분말 입자들(205)은 결국, 취성의, UV-노출된 부분들을 통해 침투해 이들을 제거하여 레지스트 층(104)에 공극(void)들을 형성함으로써, 기판(102)의 원하는 구역들을 노출시키는 한편, 다른 구역들은 포토레지스트의 UV-비노출된 부분들에 의해 차폐된 채로 유지된다. 그런 다음, 분말 입자들(205)이 기판(102)의 노출된 구역들로부터 원하는 양 또는 깊이의 재료를 떼어내고 제거하여 기판(102)에 원하는 패턴을 형성할 때까지, 마이크로-블라스팅이 계속된다.
[0033] 레지스트 층(104)이, 이를테면, 도 3c에 묘사된 바와 같이 레이저 삭마에 의해 패터닝되는 실시예들에서, 기판(102)의 원하는 구역들은 동작(130)에서의 마이크로-블라스팅 전에 레지스트 층(104)의 공극들을 통해 이미 노출된다. 따라서, 동작(130)에서 마이크로-블라스팅 프로세스 동안 레지스트 층(104)의 최소의 제거가 고려되거나 또는 어떤 제거도 고려되지 않는다. 일 실시예에서, 마이크로-블라스팅 프로세스는 선택적이며, 레이저 삭마만이 기판(102)을 패터닝하는 데 활용될 수 있다.
[0034] 도 2d 및 도 3d에 대응하는 동작(140)에서, 원하는 패턴이 기판(102) 내로 마이크로-블라스팅된 후에, 기판(102)은 에칭 프로세스에 노출된다. 동작(140)에서의 에칭 프로세스는, 기판(102)의 표면들을 평활화하고 그리고 기판(102) 상의 임의의 원하지 않는 기계적 결함들을 제거하는 데 활용된다. 에칭 프로세스는, 기판(102)의 표면들, 특히 동작(130)에서 마이크로-블라스팅 프로세스에 노출된 표면들을 평탄화하기 위해 미리 결정된 지속기간의 시간 동안 진행된다. 일 양상에서, 동작(140)에서의 에칭 프로세스는, 동작(130)에서의 마이크로-블라스팅 프로세스로부터 남은 원하지 않는 파편들을 제거하는 데 활용된다. 기판(102)에 부착된 잔여 분말 입자들(205)은 동작(140)에서 에칭 프로세스 동안 제거될 수 있다.
[0035] 일 실시예에서, 동작(140)에서의 에칭 프로세스는, 레지스트 층(104)의 재료에 비하여 기판 표면을 우선적으로 에칭하는 완충 에칭 프로세스(buffered etch process)를 활용하는 습식 에칭 프로세스이다. 예컨대, 완충 에칭 프로세스는 폴리비닐 알코올에 대해 선택적일 수 있다. 일 실시예에서, 에칭 프로세스는 수성 에칭 프로세스를 활용하는 습식 에칭 프로세스이다. 임의의 적절한 습식 에천트 또는 습식 에천트들의 조합이 습식 에칭 프로세스를 위해 사용될 수 있다. 일 실시예에서, 기판(102)은 에칭을 위해 수성 HF 에칭 용액에 침지된다. 다른 실시예들에서, 기판(102)은 에칭을 위해 수성 KOH 에칭 용액에 침지된다. 일 실시예에서, 에칭 용액은 에칭 프로세스 동안 약 40℃ 내지 약 80℃, 이를테면, 약 50℃ 내지 약 70℃의 온도로 가열된다. 예컨대, 에칭 용액은 약 60℃의 온도로 가열된다. 에칭 프로세스는 추가로, 등방성 또는 비등방성일 수 있다. 일 실시예에서, 동작(140)에서의 에칭 프로세스는 건식 에칭 프로세스이다. 건식 에칭 프로세스의 일 예는 플라즈마-기반 건식 에칭 프로세스를 포함한다.
[0036] 도 2e 및 도 3e에 대응하는 동작(150)에서, 기판(102)은 레지스트 스트리핑 프로세스에 노출된다. 동작(150)에서의 스트리핑 프로세스는 기판(102)으로부터 레지스트 층(104)을 디-본딩하는 데 활용된다. 일 실시예에서, 레지스트 접착제 층(109) 및/또는 레지스트 층(104)을 녹임으로써/용해시킴으로써 기판(102)으로부터 레지스트 층(104)을 디-본딩하기 위해 습식 프로세스가 사용된다. 레지스트 접착제 층(109) 및/또는 레지스트 층(104)을 릴리스하기 위한 다른 타입들의 에칭 프로세스가 추가로 고려된다. 일 실시예에서, 레지스트 층(104) 또는 레지스트 접착제 층(109)을 물리적으로 박리함으로써 기판(102)으로부터 레지스트 층(104)을 디-본딩하기 위해 기계적 롤링 프로세스가 사용된다. 일 실시예에서, 예컨대 산소 플라즈마 보조 프로세스의 사용에 의해 기판(102)으로부터 레지스트 층(104)을 제거하기 위해 애싱 프로세스(ashing process)가 사용된다.
[0037] 도 2f 및 도 3f에 대응하는 동작(160)에서, 기판(102)은 선택적인 캐리어 디-본딩 프로세스에 노출된다. 캐리어 디-본딩 프로세스의 활용은, 기판(102)이 캐리어 플레이트(106)에 커플링되는지 여부 및 기판(102)을 캐리어 플레이트(106)에 커플링시키는 데 활용되는 본딩 재료의 타입에 의존한다. 위에서 설명되고 도 2a - 도 2f 및 도 3a - 도 3f에 묘사된 바와 같이, 기판(102)이 약 200 ㎛ 미만의 두께를 갖는 실시예들에서, 기판은 기판 구조화 프로세스(100) 동안 기계적 지지를 위해 캐리어 플레이트(106)에 커플링된다. 일부 실시예들에서, 기판(102)은 접착제 층(108)을 통해 캐리어 플레이트(106)에 커플링된다. 따라서, 동작(160)에서, 캐리어 플레이트(106)에 커플링된 기판(102)은, 접착제 층(108)을 릴리스함으로써 캐리어 플레이트(106)로부터 기판(102)을 디-본딩하기 위해 캐리어 디-본딩 프로세스에 노출된다.
[0038] 일 실시예에서, 접착제 층(108)은 기판(102)을 베이킹 프로세스에 노출시킴으로써 릴리스된다. 일 실시예에서, 기판(102)은 약 50℃ 내지 약 300℃의 온도들, 이를테면, 약 100℃ 내지 약 250℃의 온도들에 노출된다. 예컨대, 기판(102)은 접착제 층(108)을 릴리스하기 위해 원하는 시간 기간 동안 약 150℃ 내지 약 200℃, 이를테면, 약 160℃의 온도에 노출된다. 다른 실시예들에서, 접착제 층(108)은 기판(102)을 UV 방사에 노출시킴으로써 릴리스된다.
[0039] 도 2f 및 도 3f는 방법(100)의 완료 후의 구조화된 기판(102)을 예시한다. 도 2f 및 도 3f에 묘사된 기판(102)은 기판(102)을 관통해 형성된 3개의 구조들(220)을 갖는다. 방법(100)은 다양한 원하는 깊이들, 치수들, 및 형상들을 갖는 패터닝된 구조들(220)을 기판(102)에 형성하는 데 활용된다. 일 실시예에서, 구조들(220)은 기판(102)의 두께와 동일한 깊이를 가지며, 그에 따라, 기판(102)의 2개의 대향 표면들을 관통해 홀을 형성한다. 일 실시예에서, 구조들(220)은 기판(102)의 두께 미만의 깊이를 가지며, 그에 따라, 기판(102)의 하나의 표면에만 홀을 형성한다. 예컨대, 기판(102)에 형성된 구조(220)는, 기판(102)의 두께에 따라, 약 10 ㎛ 내지 약 600 ㎛의 깊이, 이를테면, 약 25 ㎛ 내지 약 200 ㎛의 깊이를 가질 수 있다. 일 실시예에서, 구조들(220)은 기판(102)의 치수들에 따라, 약 20 ㎛ 내지 약 15 ㎜, 이를테면, 약 50 ㎛ 내지 약 5 ㎜ 범위의 측방향 치수들을 갖는다. 일 실시예에서, 기판(102)에 형성된 구조들(220)은 회전타원체(spheroid) 또는 원뿔(conical) 형상을 갖는다. 다른 실시예에서, 기판(102)에 형성된 구조들(220)은 직육면체 형상을 갖는다. 방법(100)에 의해 형성된 구조들(220)은 기판(102)에 의해 허용되는 임의의 원하는 형상, 치수들, 및 깊이를 가질 수 있다는 것이 고려된다.
[0040] 도 4a - 도 4e는 위에서 설명된 실시예들과 유사한 대안적인 구조화 시퀀스 동안의 기판(102)의 개략적인 단면도들을 예시한다. 도 4a 내지 도 4e에 묘사된 대안적인 시퀀스는 단지 하나의 표면과 비교하여 2개의 주요 대향 표면들 상에서 기판(102)을 패터닝하는 것을 수반하며, 그에 따라, 기판(102)의 구조화 동안의 효율 증가를 가능하게 한다. 도 4a - 도 4e에 묘사된 기판 구조화 시퀀스는 도 1, 도 2a - 도 2f, 및 도 3a - 도 3f를 참조하여 설명된 바와 같은 실질적으로 모든 특징들 및 동작들을 포함한다. 예컨대, 도 4a는 동작(110) 및 도 2a 및 도 3a에 대응하고, 도 4b는 동작(120) 및 도 2b 및 도 3b에 대응하고, 도 4c는 동작(130) 및 도 2c 및 도 3c에 대응하고, 도 4d는 동작(140) 및 도 2d 및 도 3d에 대응하고, 도 4e는 동작(150) 및 도 2f 및 도 3f에 대응한다. 그러나, 이전의 실시예들과 달리, 도 4a - 도 4e에 묘사된 실시예는 2개의 레지스트 층들(104)이 기판(102)의 대향 표면들(405, 407) 상에 형성된 기판(102)을 포함하여서, 구조화 동작들이 표면들(405, 407) 둘 모두에 대해 수행될 수 있게 한다.
[0041] 예컨대, 도 4b에 묘사된 바와 같이, 동작(120)에서 패터닝을 위해 기판(102)의 표면(405) 상에 형성된 레지스트 층(104)을 전자기 방사에 노출시킨 후에, 기판(102)은 선택적으로, 기판(102)의 대향 표면(407) 상의 레지스트 층(104)이 패터닝을 위해 전자기 방사에 노출될 수 있도록 플립된다(flipped)(예컨대, 뒤집힘). 유사하게, 도 4c에 묘사된 바와 같이, 기판(102)의 표면(405)에 대해 동작(130)의 마이크로-블라스팅 프로세스를 수행한 후에, 기판(102)은 선택적으로, 기판(102)의 대향 표면(407)에 대해 마이크로-블라스팅이 수행될 수 있도록, 다시 플립될 수 있다. 기판(102)의 대향 표면들(405, 407) 상의 2개의 레지스트 층들(104)을 활용하고 양쪽 표면들에 대해 마이크로-블라스팅 프로세스를 수행함으로써, 마이크로-블라스팅 동안 기판(102)의 전체 두께를 관통해 형성된 구조들의 테이퍼링이 또한 감소되거나 제거될 수 있다.
[0042] 도 5는 기판(102)을 구조화하기 위한 다른 대표적인 방법(500)의 흐름도를 예시한다. 도 6a - 도 6d는 도 5의 구조화 프로세스의 상이한 스테이지들에서의 기판(102)의 개략적인 단면도들을 예시한다. 따라서, 도 6a - 도 6d에 대한 참조는, 정당한 경우, 도 5 및 방법(500)의 논의에 포함될 것이다. 위에서 설명된 방법들과 유사하게, 기판(102)을 구조화하기 위한 방법(500)은 다수의 동작들을 갖는다. 동작들은, (문맥상 그 가능성을 배제하는 경우를 제외하고) 동시에 또는 임의의 순서로 수행될 수 있고, 방법은, (문맥상 그 가능성을 배제하는 경우를 제외하고) 정의된 동작들 중 임의의 동작 전에, 정의된 동작들 중 2개의 동작들 사이에서, 또는 정의된 모든 동작들 후에 수행되는 하나 이상의 다른 동작들을 포함할 수 있다.
[0043] 일반적으로, 방법(500)은, 동작(510)에서 레이저 삭마 시스템의 스탠드(606) 상에 기판(102)을 배치하는 단계를 포함한다. 일부 실시예들에서, 기판(102)은 선택적으로, 스탠드(606) 상의 배치 전에 캐리어 플레이트에 커플링된다. 동작(520)에서, 기판(102)은, 기판(102)을 패터닝하고 기판(102)에 원하는 피처들을 형성하기 위해 레이저 방사에 노출된다. 동작(530)에서, 기판(102)은 레이저 패터닝에 의해 야기된 표면 마이크로-크랙들 및 파편들을 제거하기 위해 에칭 프로세스에 노출된다. 기판(102)이 캐리어 플레이트에 커플링된 실시예들에서, 기판(102)은 에칭 프로세스를 수행할 때 캐리어 플레이트로부터 추가로 디-본딩된다.
[0044] 도 6a에 묘사된 바와 같이 그리고 동작(510)에 대응하여, 기판(102), 이를테면, 솔라 기판은 레이저 삭마 시스템(미도시)의 스탠드(606) 상에 배치된다. 스탠드(606)는 레이저 삭마 동안 기판(102)에 대한 기계적 지지를 제공하기 위한 임의의 적절한 강성의 그리고 평탄한 표면일 수 있다. 일부 실시예들에서, 스탠드(606)는 스탠드(606)에 대한 기판(102)의 정전 척킹을 위한 정전 척을 포함한다. 일부 실시예들에서, 스탠드(606)는 스탠드(606)에 대한 기판(102)의 진공 척킹을 위한 진공 척을 포함한다.
[0045] 기판(102)을 스탠드(606) 상에 배치 한 후에, 도 6b에 묘사되고 동작(520)에 대응하는 레이저 삭마에 의해 기판(102)에 원하는 패턴이 형성된다. 레이저 삭마 시스템은 기판(102)을 패터닝하기 위한 임의의 적절한 타입의 레이저 소스(603)를 포함할 수 있다. 일부 예들에서, 레이저 소스(603)는 IR(infrared) 레이저이다. 일부 예들에서, 레이저 소스(603)는 피코초 UV 레이저이다. 다른 예들에서, 레이저 소스(603)는 펨토초 UV 레이저이다. 또 다른 예들에서, 레이저 소스(603)는 펨토초 녹색 레이저이다. 레이저 소스(603)는 기판(102)의 패터닝을 위한 연속 또는 펄스형 레이저 빔(607)을 생성한다. 예컨대, 레이저 소스(603)는 100 kHz 내지 1200 kHz, 이를테면, 200 kHz 내지 약 1000 kHz의 주파수를 갖는 펄스형 레이저 빔(607)을 생성할 수 있다. 레이저 소스(603)는 캐비티들 및 비아들을 포함하는 임의의 원하는 패턴 및 피처들을 기판(102)에 형성하도록 구성된다.
[0046] 마이크로-블라스팅과 유사하게, 기판(102)의 직접 레이저 패터닝의 프로세스는, 칩핑 및 크래킹을 포함한 원하지 않는 기계적 결함들을 기판(102)의 표면들 상에 야기할 수 있다. 따라서, 직접 레이저 패터닝에 의해 기판(102)에 원하는 피처들을 형성한 후에, 기판(102)은 동작(530)에서, 임의의 나머지 파편들을 제거하고 기판(102)의 표면들을 평활화하기 위해, 동작(140)을 참조하여 설명된 에칭 프로세스와 실질적으로 유사한 에칭 프로세스에 노출된다. 도 6c - 도 6d는 에칭 프로세스를 수행하기 전의 그리고 후의 기판(102)을 예시하며, 에칭 프로세스는 3개의 피처들(620)(예컨대, 비아들)이 내부에 형성된 구조화된 기판(102)으로 마무리된다.
[0047] 본원에서 설명되는 실시예들은 유리하게, 진보된 집적 회로 패키징을 위한 기판 구조화의 개선된 방법들을 제공한다. 위에서 설명된 방법들을 활용함으로써, 실질적으로 감소된 제조 비용들로 유리 및/또는 실리콘 기판들 상에 고종횡비 피처들이 형성될 수 있으며, 이는 실리콘 인터포저들에 대한 경제적인 대안으로서 활용될 수 있다.
[0048] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 구조화를 위한 방법으로서,
    기판을 캐리어 플레이트에 본딩하는 단계 ― 상기 기판은 제1 접착제 층을 통해 상기 캐리어 플레이트에 본딩됨 ―;
    상기 기판 상에 레지스트 층을 형성하는 단계 ― 상기 레지스트 층은 제2 접착제 층을 통해 상기 기판에 본딩됨 ―;
    전자기 방사를 통해 상기 레지스트 층을 패터닝하는 단계;
    상기 기판 내에 구조화된 패턴들을 형성하기 위해 상기 기판을 패터닝하는 단계 ― 상기 기판은 분말 입자들의 스트림을 상기 패터닝된 레지스트 층에 대해 추진(propelling)시킴으로써 패터닝됨 ―;
    상기 기판 내의 구조화된 패턴들로부터 파편들을 제거하기 위해 상기 기판을 에칭 프로세스에 노출시키는 단계 ― 상기 에칭 프로세스는 상기 기판의 하나 이상의 표면들을 추가로 평활화함 ―;
    상기 제2 접착제 층을 릴리스함으로써 상기 기판으로부터 상기 레지스트 층을 디-본딩(de-bonding)하는 단계; 및
    상기 제1 접착제 층을 릴리스함으로써 상기 캐리어 플레이트로부터 상기 기판을 디-본딩하는 단계를 포함하는,
    기판 구조화를 위한 방법.
  2. 제1 항에 있어서,
    상기 기판은 단결정질 p-타입 실리콘 기판인,
    기판 구조화를 위한 방법.
  3. 제1 항에 있어서,
    상기 기판은 실리콘 솔라 기판인,
    기판 구조화를 위한 방법.
  4. 제3 항에 있어서,
    상기 기판은 약 200 ㎛ 미만의 두께를 갖는,
    기판 구조화를 위한 방법.
  5. 제1 항에 있어서,
    상기 레지스트 층은 레이저 삭마(laser ablation)에 의해 패터닝되는,
    기판 구조화를 위한 방법.
  6. 제1 항에 있어서,
    상기 분말 입자들은 세라믹 재료를 포함하는,
    기판 구조화를 위한 방법.
  7. 제6 항에 있어서,
    상기 분말 입자들은 알루미늄 산화물을 포함하는,
    기판 구조화를 위한 방법.
  8. 제6 항에 있어서,
    상기 분말 입자들은 실리콘 탄화물을 포함하는,
    기판 구조화를 위한 방법.
  9. 제6 항에 있어서,
    상기 분말 입자들은 약 15 ㎛ 내지 약 40 ㎛의 직경을 갖는,
    기판 구조화를 위한 방법.
  10. 제6 항에 있어서,
    상기 분말 입자들의 스트림을 추진시키는 데 활용되는 유체 압력은 약 50 psi 내지 약 150 psi인,
    기판 구조화를 위한 방법.
  11. 기판 구조화를 위한 방법으로서,
    실리콘 솔라 기판 상에 레지스트 층을 형성하는 단계;
    상기 레지스트 층을 전자기 방사에 노출시킴으로써 상기 레지스트 층을 패터닝하는 단계;
    상기 기판으로부터 재료를 떼어내어(dislodge) 제거하기 위해, 높은 압력 하에 분말 입자들의 스트림을 상기 기판 쪽으로 추진시키는 단계 ― 상기 재료의 떼어냄 및 제거는 상기 기판 내에 구조화된 패턴들을 형성함 ―; 및
    상기 기판 내의 구조화된 패턴들로부터 파편들을 제거하기 위해 상기 기판을 에칭 프로세스에 노출시키는 단계 ― 상기 에칭 프로세스는 상기 기판의 하나 이상의 표면들을 추가로 평활화함 ― 를 포함하는,
    기판 구조화를 위한 방법.
  12. 제11 항에 있어서,
    상기 기판은 단결정질 실리콘 솔라 기판인,
    기판 구조화를 위한 방법.
  13. 제11 항에 있어서,
    상기 분말 입자들은 약 20 ㎛ 내지 약 35 ㎛의 직경을 갖는,
    기판 구조화를 위한 방법.
  14. 기판 구조화를 위한 방법으로서,
    기판의 제1 표면 상에 제1 레지스트 층을 형성하는 단계 ― 상기 제1 레지스트 층은 제1 접착제 층을 통해 상기 기판에 본딩됨 ―;
    상기 기판의 제2 표면 상에 제2 레지스트 층을 형성하는 단계 ― 상기 제2 레지스트 층은 제2 접착제 층을 통해 상기 기판에 본딩되고, 상기 기판은 상기 제1 표면과 상기 제2 표면 사이에서 연장되는 두께를 포함함 ―;
    상기 제1 레지스트 층을 패터닝하는 단계;
    상기 제2 레지스트 층을 패터닝하는 단계;
    상기 기판의 제1 표면에 하나 이상의 패터닝된 구조들을 형성하기 위해, 분말 입자들을 상기 기판의 제1 표면에 대해 추진시키는 단계;
    상기 기판의 두께에 걸쳐 상기 하나 이상의 패터닝된 구조들을 확장시키기 위해, 분말 입자들을 상기 기판의 제2 표면에 대해 추진시키는 단계; 및
    상기 기판으로부터 파편들을 제거하기 위해 상기 기판을 에칭 프로세스에 노출시키는 단계 ― 상기 에칭 프로세스는 상기 기판의 하나 이상의 표면들을 추가로 평활화함 ― 를 포함하는,
    기판 구조화를 위한 방법.
  15. 제14 항에 있어서,
    상기 제1 레지스트 층 및 상기 제2 레지스트 층은 레이저 삭마에 의해 패터닝되는,
    기판 구조화를 위한 방법.
KR1020217040360A 2019-05-10 2020-04-06 기판 구조화 방법들 KR102619572B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237044761A KR20240005994A (ko) 2019-05-10 2020-04-06 기판 구조화 방법들

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
IT102019000006740 2019-05-10
IT102019000006740A IT201900006740A1 (it) 2019-05-10 2019-05-10 Procedimenti di strutturazione di substrati
US16/687,564 2019-11-18
US16/687,564 US11063169B2 (en) 2019-05-10 2019-11-18 Substrate structuring methods
PCT/US2020/026832 WO2020231544A1 (en) 2019-05-10 2020-04-06 Substrate structuring methods

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237044761A Division KR20240005994A (ko) 2019-05-10 2020-04-06 기판 구조화 방법들

Publications (2)

Publication Number Publication Date
KR20210154267A true KR20210154267A (ko) 2021-12-20
KR102619572B1 KR102619572B1 (ko) 2023-12-28

Family

ID=67513677

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237044761A KR20240005994A (ko) 2019-05-10 2020-04-06 기판 구조화 방법들
KR1020217040360A KR102619572B1 (ko) 2019-05-10 2020-04-06 기판 구조화 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237044761A KR20240005994A (ko) 2019-05-10 2020-04-06 기판 구조화 방법들

Country Status (7)

Country Link
US (3) US11063169B2 (ko)
JP (2) JP7259083B2 (ko)
KR (2) KR20240005994A (ko)
CN (1) CN113811982A (ko)
IT (1) IT201900006740A1 (ko)
TW (1) TW202107728A (ko)
WO (1) WO2020231544A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
IT201900006740A1 (it) * 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11315890B2 (en) 2020-08-11 2022-04-26 Applied Materials, Inc. Methods of forming microvias with reduced diameter
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020048715A1 (en) * 2000-08-09 2002-04-25 Bret Walczynski Photoresist adhesive and method
KR20130103316A (ko) * 2010-04-12 2013-09-23 아이코닉스 코포레이션 포토레지스트막, 및 연마 식각 및 절삭 방법
KR20140014119A (ko) * 2010-12-22 2014-02-05 어플라이드 머티어리얼스, 인코포레이티드 실리콘 웨이퍼들 상에서의 스루-실리콘 비아들의 제조
KR20140086375A (ko) * 2012-12-28 2014-07-08 (재)한국나노기술원 글라스 기반 프로브 카드용 스페이스 트랜스포머의 제조방법 및 이에 의해 제조된 글라스 기반 프로브 카드용 스페이스 트랜스포머

Family Cites Families (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4073610A (en) 1976-02-05 1978-02-14 Cox Bernard K Apparatus for producing a foldable plastic strip
US4751349A (en) 1986-10-16 1988-06-14 International Business Machines Corporation Zirconium as an adhesion material in a multi-layer metallic structure
JPH0494592A (ja) 1990-08-10 1992-03-26 Cmk Corp プリント配線板におけるスルーホールに対する充填材の充填方法
US5126016A (en) 1991-02-01 1992-06-30 International Business Machines Corporation Circuitization of polymeric circuit boards with galvanic removal of chromium adhesion layers
US5519332A (en) 1991-06-04 1996-05-21 Micron Technology, Inc. Carrier for testing an unpackaged semiconductor die
US5474834A (en) 1992-03-09 1995-12-12 Kyocera Corporation Superconducting circuit sub-assembly having an oxygen shielding barrier layer
JP2819523B2 (ja) 1992-10-09 1998-10-30 インターナショナル・ビジネス・マシーンズ・コーポレイション 印刷配線板及びその製造方法
US5367143A (en) 1992-12-30 1994-11-22 International Business Machines Corporation Apparatus and method for multi-beam drilling
JPH06244093A (ja) * 1993-02-17 1994-09-02 Hitachi Ltd 基板保持方法ならびにそれを用いた薄膜多層基板の製造方法および装置
JPH06333816A (ja) * 1993-05-24 1994-12-02 Hitachi Ltd パターン形成方法
US5353195A (en) 1993-07-09 1994-10-04 General Electric Company Integral power and ground structure for multi-chip modules
US5688716A (en) 1994-07-07 1997-11-18 Tessera, Inc. Fan-out semiconductor chip assembly
US5783870A (en) 1995-03-16 1998-07-21 National Semiconductor Corporation Method for connecting packages of a stacked ball grid array structure
US5670262A (en) 1995-05-09 1997-09-23 The Dow Chemical Company Printing wiring board(s) having polyimidebenzoxazole dielectric layer(s) and the manufacture thereof
US5767480A (en) 1995-07-28 1998-06-16 National Semiconductor Corporation Hole generation and lead forming for integrated circuit lead frames using laser machining
US6013948A (en) * 1995-11-27 2000-01-11 Micron Technology, Inc. Stackable chip scale semiconductor package with mating contacts on opposed surfaces
JPH09254027A (ja) * 1996-03-25 1997-09-30 Chiyoda Kk 研磨用マウンテン材
US6631558B2 (en) 1996-06-05 2003-10-14 Laservia Corporation Blind via laser drilling system
AU3301197A (en) 1996-06-05 1998-01-05 Larry W. Burgess Blind via laser drilling system
US7062845B2 (en) 1996-06-05 2006-06-20 Laservia Corporation Conveyorized blind microvia laser drilling system
US5868950A (en) * 1996-11-08 1999-02-09 W. L. Gore & Associates, Inc. Method to correct astigmatism of fourth yag to enable formation of sub 25 micron micro-vias using masking techniques
US5841102A (en) 1996-11-08 1998-11-24 W. L. Gore & Associates, Inc. Multiple pulse space processing to enhance via entrance formation at 355 nm
WO1998044319A1 (en) 1997-04-03 1998-10-08 Yamatake Corporation Circuit board and detector, and method for manufacturing the same
JP3920399B2 (ja) 1997-04-25 2007-05-30 株式会社東芝 マルチチップ半導体装置用チップの位置合わせ方法、およびマルチチップ半導体装置の製造方法・製造装置
US6388202B1 (en) 1997-10-06 2002-05-14 Motorola, Inc. Multi layer printed circuit board
US6038133A (en) 1997-11-25 2000-03-14 Matsushita Electric Industrial Co., Ltd. Circuit component built-in module and method for producing the same
GB9811328D0 (en) 1998-05-27 1998-07-22 Exitech Ltd The use of mid-infrared lasers for drilling microvia holes in printed circuit (wiring) boards and other electrical circuit interconnection packages
MY128333A (en) 1998-09-14 2007-01-31 Ibiden Co Ltd Printed wiring board and its manufacturing method
SE513341C2 (sv) 1998-10-06 2000-08-28 Ericsson Telefon Ab L M Arrangemang med tryckta kretskort samt metod för tillverkning därav
US6039889A (en) 1999-01-12 2000-03-21 Fujitsu Limited Process flows for formation of fine structure layer pairs on flexible films
US6117704A (en) 1999-03-31 2000-09-12 Irvine Sensors Corporation Stackable layers containing encapsulated chips
US6599836B1 (en) 1999-04-09 2003-07-29 Micron Technology, Inc. Planarizing solutions, planarizing machines and methods for mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6212769B1 (en) 1999-06-29 2001-04-10 International Business Machines Corporation Process for manufacturing a printed wiring board
IE20000618A1 (en) 1999-08-03 2001-03-07 Xsil Technology Ltd A circuit singulation system and method
KR20080111567A (ko) 1999-09-02 2008-12-23 이비덴 가부시키가이샤 프린트배선판 및 그 제조방법
CN1183811C (zh) 1999-09-30 2005-01-05 西门子公司 层压板的激光钻孔方法和装置
US6538210B2 (en) 1999-12-20 2003-03-25 Matsushita Electric Industrial Co., Ltd. Circuit component built-in module, radio device having the same, and method for producing the same
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
US6392290B1 (en) * 2000-04-07 2002-05-21 Siliconix Incorporated Vertical structure for semiconductor wafer-level chip scale packages
US6661084B1 (en) 2000-05-16 2003-12-09 Sandia Corporation Single level microelectronic device package with an integral window
US6384473B1 (en) 2000-05-16 2002-05-07 Sandia Corporation Microelectronic device package with an integral window
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
US20020020898A1 (en) 2000-08-16 2002-02-21 Vu Quat T. Microelectronic substrates with integrated devices
US6459046B1 (en) 2000-08-28 2002-10-01 Matsushita Electric Industrial Co., Ltd. Printed circuit board and method for producing the same
EP1321980A4 (en) 2000-09-25 2007-04-04 Ibiden Co Ltd SEMICONDUCTOR ELEMENT, METHOD FOR MANUFACTURING SEMICONDUCTOR ELEMENT, MULTILAYER PRINTED CIRCUIT BOARD, AND METHOD FOR MANUFACTURING MULTILAYER PRINTED CIRCUIT BOARD
US20020070443A1 (en) 2000-12-08 2002-06-13 Xiao-Chun Mu Microelectronic package having an integrated heat sink and build-up layers
JP4108285B2 (ja) 2000-12-15 2008-06-25 イビデン株式会社 多層プリント配線板の製造方法
US6555906B2 (en) 2000-12-15 2003-04-29 Intel Corporation Microelectronic package having a bumpless laminated interconnection layer
US6388207B1 (en) 2000-12-29 2002-05-14 Intel Corporation Electronic assembly with trench structures and methods of manufacture
JP5004378B2 (ja) 2001-01-10 2012-08-22 イビデン株式会社 多層プリント配線板
TW511415B (en) 2001-01-19 2002-11-21 Matsushita Electric Ind Co Ltd Component built-in module and its manufacturing method
JP2001244591A (ja) 2001-02-06 2001-09-07 Ngk Spark Plug Co Ltd 配線基板及びその製造方法
US20020112963A1 (en) * 2001-02-22 2002-08-22 Nikon Corporation Methods for fabricating high-precision thermally stable electromagnetic coils
US6512182B2 (en) 2001-03-12 2003-01-28 Ngk Spark Plug Co., Ltd. Wiring circuit board and method for producing same
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
IES20020202A2 (en) 2001-03-22 2002-10-02 Xsil Technology Ltd A laser machining system and method
US6465084B1 (en) 2001-04-12 2002-10-15 International Business Machines Corporation Method and structure for producing Z-axis interconnection assembly of printed wiring board elements
US6894399B2 (en) 2001-04-30 2005-05-17 Intel Corporation Microelectronic device having signal distribution functionality on an interfacial layer thereof
US20030059976A1 (en) 2001-09-24 2003-03-27 Nathan Richard J. Integrated package and methods for making same
JP2003145426A (ja) 2001-11-19 2003-05-20 Mtc:Kk マスク用基板リサイクルのためのパターン除去方法およびそのパターン除去装置およびこれらでパターン除去されたマスク用基板
US6677552B1 (en) * 2001-11-30 2004-01-13 Positive Light, Inc. System and method for laser micro-machining
JP2003188340A (ja) 2001-12-19 2003-07-04 Matsushita Electric Ind Co Ltd 部品内蔵モジュールとその製造方法
JP3998984B2 (ja) 2002-01-18 2007-10-31 富士通株式会社 回路基板及びその製造方法
US6506632B1 (en) 2002-02-15 2003-01-14 Unimicron Technology Corp. Method of forming IC package having downward-facing chip cavity
US7358157B2 (en) 2002-03-27 2008-04-15 Gsi Group Corporation Method and system for high-speed precise laser trimming, scan lens system for use therein and electrical device produced thereby
US7028400B1 (en) 2002-05-01 2006-04-18 Amkor Technology, Inc. Integrated circuit substrate having laser-exposed terminals
JP3871609B2 (ja) 2002-05-27 2007-01-24 松下電器産業株式会社 半導体装置及びその製造方法
JP2003347741A (ja) 2002-05-30 2003-12-05 Taiyo Yuden Co Ltd 複合多層基板およびそれを用いたモジュール
US20030235989A1 (en) * 2002-06-25 2003-12-25 Seagate Technology Llc Process for CMP assisted liftoff
JP3908146B2 (ja) 2002-10-28 2007-04-25 シャープ株式会社 半導体装置及び積層型半導体装置
US6905914B1 (en) 2002-11-08 2005-06-14 Amkor Technology, Inc. Wafer level package and fabrication method
GB2401485B (en) 2002-12-11 2006-07-26 Dainippon Printing Co Ltd Multilayer wiring board and manufacture method thereof
US7105931B2 (en) 2003-01-07 2006-09-12 Abbas Ismail Attarwala Electronic package and method
US8704359B2 (en) 2003-04-01 2014-04-22 Ge Embedded Electronics Oy Method for manufacturing an electronic module and an electronic module
JP2004311788A (ja) 2003-04-08 2004-11-04 Matsushita Electric Ind Co Ltd シート状モジュールとその製造方法
JP2004335641A (ja) 2003-05-06 2004-11-25 Canon Inc 半導体素子内蔵基板の製造方法
EP1478021B1 (en) 2003-05-15 2008-07-16 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method thereof
US20060283716A1 (en) 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
CN1577819A (zh) 2003-07-09 2005-02-09 松下电器产业株式会社 带内置电子部件的电路板及其制造方法
US7271012B2 (en) 2003-07-15 2007-09-18 Control Systemation, Inc. Failure analysis methods and systems
EP1515364B1 (en) 2003-09-15 2016-04-13 Nuvotronics, LLC Device package and methods for the fabrication and testing thereof
JP2005101384A (ja) 2003-09-26 2005-04-14 Sanyo Electric Co Ltd 光起電力装置及びその製造方法
US7364985B2 (en) * 2003-09-29 2008-04-29 Micron Technology, Inc. Method for creating electrical pathways for semiconductor device structures using laser machining processes
US7064069B2 (en) 2003-10-21 2006-06-20 Micron Technology, Inc. Substrate thinning including planarization
JP4081052B2 (ja) 2003-12-05 2008-04-23 三井金属鉱業株式会社 プリント配線基板の製造法
JP4271590B2 (ja) 2004-01-20 2009-06-03 新光電気工業株式会社 半導体装置及びその製造方法
US7309515B2 (en) 2004-02-04 2007-12-18 Industrial Technology Research Institute Method for fabricating an imprint mold structure
TWI256095B (en) 2004-03-11 2006-06-01 Siliconware Precision Industries Co Ltd Wafer level semiconductor package with build-up layer and process for fabricating the same
US20060000814A1 (en) 2004-06-30 2006-01-05 Bo Gu Laser-based method and system for processing targeted surface material and article produced thereby
US8571541B2 (en) 2004-07-15 2013-10-29 Avaya Inc. Proximity-based authorization
DE102004038852B4 (de) 2004-08-10 2006-06-29 Webasto Ag Spritzgießmaschine
CN100566505C (zh) 2004-09-01 2009-12-02 住友金属矿山株式会社 2层挠性基板及其制造方法
TWI241007B (en) 2004-09-09 2005-10-01 Phoenix Prec Technology Corp Semiconductor device embedded structure and method for fabricating the same
TW200618705A (en) 2004-09-16 2006-06-01 Tdk Corp Multilayer substrate and manufacturing method thereof
US20060073234A1 (en) 2004-10-06 2006-04-06 Williams Michael E Concrete stamp and method of manufacture
JP4564342B2 (ja) 2004-11-24 2010-10-20 大日本印刷株式会社 多層配線基板およびその製造方法
TWI301660B (en) 2004-11-26 2008-10-01 Phoenix Prec Technology Corp Structure of embedding chip in substrate and method for fabricating the same
TWI245384B (en) 2004-12-10 2005-12-11 Phoenix Prec Technology Corp Package structure with embedded chip and method for fabricating the same
TWI245388B (en) 2005-01-06 2005-12-11 Phoenix Prec Technology Corp Three dimensional package structure of semiconductor chip embedded in substrate and method for fabricating the same
US7579224B2 (en) 2005-01-21 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film semiconductor device
TWI260056B (en) 2005-02-01 2006-08-11 Phoenix Prec Technology Corp Module structure having an embedded chip
JP2006216713A (ja) 2005-02-02 2006-08-17 Ibiden Co Ltd 多層プリント配線板
JP2006216714A (ja) 2005-02-02 2006-08-17 Ibiden Co Ltd 多層プリント配線板
TWI283553B (en) 2005-04-21 2007-07-01 Ind Tech Res Inst Thermal enhanced low profile package structure and method for fabricating the same
US7919844B2 (en) 2005-05-26 2011-04-05 Aprolase Development Co., Llc Tier structure with tier frame having a feedthrough structure
DE102005042072A1 (de) * 2005-06-01 2006-12-14 Forschungsverbund Berlin E.V. Verfahren zur Erzeugung von vertikalen elektrischen Kontaktverbindungen in Halbleiterwafern
US7767493B2 (en) 2005-06-14 2010-08-03 John Trezza Post & penetration interconnection
KR100714196B1 (ko) 2005-07-11 2007-05-02 삼성전기주식회사 전기소자를 내장한 인쇄회로기판 및 그 제조방법
TWI263313B (en) 2005-08-15 2006-10-01 Phoenix Prec Technology Corp Stack structure of semiconductor component embedded in supporting board
US20070042563A1 (en) 2005-08-19 2007-02-22 Honeywell International Inc. Single crystal based through the wafer connections technical field
US20070077865A1 (en) 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
KR100772639B1 (ko) 2005-10-18 2007-11-02 한국기계연구원 다이아몬드상 카본 박막을 이용한 미세 임프린트리소그래피용 스탬프 및 그 제조방법
CN100524717C (zh) 2005-11-25 2009-08-05 全懋精密科技股份有限公司 芯片内埋的模块化结构
CN100463128C (zh) 2005-11-25 2009-02-18 全懋精密科技股份有限公司 半导体芯片埋入基板的三维构装结构及其制作方法
KR100688701B1 (ko) 2005-12-14 2007-03-02 삼성전기주식회사 랜드리스 비아홀을 구비한 인쇄회로기판의 제조방법
US7765691B2 (en) * 2005-12-28 2010-08-03 Intel Corporation Method and apparatus for a printed circuit board using laser assisted metallization and patterning of a substrate
JP4358189B2 (ja) * 2006-01-17 2009-11-04 Tdk株式会社 基板の加工方法
KR101329931B1 (ko) 2006-04-25 2013-11-28 니혼도꾸슈도교 가부시키가이샤 배선기판
KR101037229B1 (ko) 2006-04-27 2011-05-25 스미토모 베이클리트 컴퍼니 리미티드 반도체 장치 및 반도체 장치의 제조 방법
KR20090031349A (ko) 2006-04-28 2009-03-25 폴리셋 컴파니, 인코퍼레이티드 재분배층 적용을 위한 실록산 에폭시 중합체
US8022552B2 (en) 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
KR100731112B1 (ko) 2006-07-24 2007-06-22 동부일렉트로닉스 주식회사 포토 레지스트를 제거하기 위한 cmp 슬러리
JP5329784B2 (ja) * 2006-08-25 2013-10-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP5252792B2 (ja) 2006-08-25 2013-07-31 日本ミクロコーティング株式会社 酸化物超伝導体用テープ基材の研磨方法並びに酸化物超伝導体及び酸化物超伝導体用基材
JP5016876B2 (ja) * 2006-09-06 2012-09-05 株式会社ディスコ ビアホールの加工方法
JP4927484B2 (ja) * 2006-09-13 2012-05-09 株式会社ディスコ 積層用デバイスの製造方法
JP2008068292A (ja) * 2006-09-14 2008-03-27 Disco Abrasive Syst Ltd ビアホールの加工方法
JP2008073740A (ja) * 2006-09-22 2008-04-03 Disco Abrasive Syst Ltd ビアホールの加工方法
KR20080037296A (ko) 2006-10-25 2008-04-30 삼성전자주식회사 박막 트랜지스터 기판 및 그 제조방법
US7427562B2 (en) 2006-11-08 2008-09-23 Motorla, Inc. Method for fabricating closed vias in a printed circuit board
US20080136002A1 (en) 2006-12-07 2008-06-12 Advanced Chip Engineering Technology Inc. Multi-chips package and method of forming the same
US7915737B2 (en) 2006-12-15 2011-03-29 Sanyo Electric Co., Ltd. Packing board for electronic device, packing board manufacturing method, semiconductor module, semiconductor module manufacturing method, and mobile device
TWI330401B (en) 2006-12-25 2010-09-11 Unimicron Technology Corp Circuit board structure having embedded semiconductor component and fabrication method thereof
KR101030769B1 (ko) 2007-01-23 2011-04-27 삼성전자주식회사 스택 패키지 및 스택 패키징 방법
US20080173792A1 (en) 2007-01-23 2008-07-24 Advanced Chip Engineering Technology Inc. Image sensor module and the method of the same
CN100561696C (zh) 2007-03-01 2009-11-18 全懋精密科技股份有限公司 嵌埋半导体芯片的结构及其制法
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
JP2008277339A (ja) 2007-04-25 2008-11-13 Tdk Corp 電子部品およびその製造方法
US8710402B2 (en) 2007-06-01 2014-04-29 Electro Scientific Industries, Inc. Method of and apparatus for laser drilling holes with improved taper
US8143719B2 (en) 2007-06-07 2012-03-27 United Test And Assembly Center Ltd. Vented die and package
US8314343B2 (en) 2007-09-05 2012-11-20 Taiyo Yuden Co., Ltd. Multi-layer board incorporating electronic component and method for producing the same
JP5593228B2 (ja) 2007-10-15 2014-09-17 アイメック 電気的相互接続の製作方法、及び該方法で製作されたデバイス
US8476769B2 (en) 2007-10-17 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias and methods for forming the same
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
JP5280079B2 (ja) 2008-03-25 2013-09-04 新光電気工業株式会社 配線基板の製造方法
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
KR20090116168A (ko) 2008-05-06 2009-11-11 삼성전자주식회사 금속 배선 기판, 박막 트랜지스터 기판, 및 금속 배선의형성 방법
US7842542B2 (en) 2008-07-14 2010-11-30 Stats Chippac, Ltd. Embedded semiconductor die package and method of making the same using metal frame carrier
US8384203B2 (en) 2008-07-18 2013-02-26 United Test And Assembly Center Ltd. Packaging structural member
KR20130038958A (ko) 2008-07-22 2013-04-18 생-고벵 아브라시프 집합체들을 함유하는 코팅된 연마 제품들
US20100062287A1 (en) 2008-09-10 2010-03-11 Seagate Technology Llc Method of polishing amorphous/crystalline glass to achieve a low rq & wq
US7749900B2 (en) * 2008-09-30 2010-07-06 Intel Corporation Method and core materials for semiconductor packaging
WO2010042829A1 (en) 2008-10-10 2010-04-15 J.P. Sercel Associates Inc. Laser machining systems and methods with moving laser scanning stage(s) providing force cancellation
JP5246103B2 (ja) 2008-10-16 2013-07-24 大日本印刷株式会社 貫通電極基板の製造方法
US7982305B1 (en) 2008-10-20 2011-07-19 Maxim Integrated Products, Inc. Integrated circuit package including a three-dimensional fan-out / fan-in signal routing
JP2010109151A (ja) * 2008-10-30 2010-05-13 Takashi Yunogami 使用済み半導体ウエハの再生方法
JP2010152345A (ja) 2008-11-25 2010-07-08 Asahi Kasei E-Materials Corp 感光性樹脂組成物、及びその用途
JP5111342B2 (ja) 2008-12-01 2013-01-09 日本特殊陶業株式会社 配線基板
US8354304B2 (en) 2008-12-05 2013-01-15 Stats Chippac, Ltd. Semiconductor device and method of forming conductive posts embedded in photosensitive encapsulant
KR20100067966A (ko) 2008-12-12 2010-06-22 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US9064936B2 (en) 2008-12-12 2015-06-23 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
US8592992B2 (en) 2011-12-14 2013-11-26 Stats Chippac, Ltd. Semiconductor device and method of forming vertical interconnect structure with conductive micro via array for 3-D Fo-WLCSP
US8729426B2 (en) 2008-12-13 2014-05-20 M-Solv Ltd. Method and apparatus for laser machining relatively narrow and relatively wide structures
US7932608B2 (en) 2009-02-24 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via formed with a post passivation interconnect structure
KR20100096879A (ko) * 2009-02-25 2010-09-02 삼성전자주식회사 구리 패드를 포함하는 반도체 소자, 그 적층 구조 및 그 제조 방법
KR101065744B1 (ko) * 2009-02-27 2011-09-19 주식회사 티지솔라 요철구조가 형성된 기판을 이용한 태양전지의 제조방법
US8609512B2 (en) 2009-03-27 2013-12-17 Electro Scientific Industries, Inc. Method for laser singulation of chip scale packages on glass substrates
US7955942B2 (en) 2009-05-18 2011-06-07 Stats Chippac, Ltd. Semiconductor device and method of forming a 3D inductor from prefabricated pillar frame
CN101898405A (zh) 2009-05-27 2010-12-01 鸿富锦精密工业(深圳)有限公司 模具流道组合
TWI523720B (zh) 2009-05-28 2016-03-01 伊雷克托科學工業股份有限公司 應用於雷射處理工件中的特徵的聲光偏轉器及相關雷射處理方法
US20100307798A1 (en) 2009-06-03 2010-12-09 Izadian Jamal S Unified scalable high speed interconnects technologies
EP2461350B1 (en) 2009-07-29 2018-02-28 Nissan Chemical Industries, Ltd. Use of a composition for forming resist underlayer film for nanoimprint lithography
US8383457B2 (en) 2010-09-03 2013-02-26 Stats Chippac, Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
TWI418272B (zh) 2009-08-25 2013-12-01 Samsung Electro Mech 處理核心基板之空腔的方法
TW201110285A (en) 2009-09-08 2011-03-16 Unimicron Technology Corp Package structure having embedded semiconductor element and method of forming the same
US8252665B2 (en) * 2009-09-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Protection layer for adhesive material at wafer edge
JP2011086654A (ja) * 2009-10-13 2011-04-28 Seiko Epson Corp 基板の加工方法及び基板
US8772087B2 (en) 2009-10-22 2014-07-08 Infineon Technologies Ag Method and apparatus for semiconductor device fabrication using a reconstituted wafer
KR101172647B1 (ko) 2009-10-22 2012-08-08 히다치 가세고교 가부시끼가이샤 연마제, 농축 1액식 연마제, 2액식 연마제 및 기판의 연마 방법
CN102230991B (zh) 2009-10-23 2013-01-09 鸿富锦精密工业(深圳)有限公司 光纤耦合连接器
JP5700241B2 (ja) 2009-11-09 2015-04-15 日立化成株式会社 多層配線基板及びその製造方法
WO2011060017A2 (en) 2009-11-11 2011-05-19 Amprius, Inc Intermediate layers for electrode fabrication
EP2339627A1 (en) 2009-12-24 2011-06-29 Imec Window interposed die packaging
US9196509B2 (en) 2010-02-16 2015-11-24 Deca Technologies Inc Semiconductor device and method of adaptive patterning for panelized packaging
US8822281B2 (en) 2010-02-23 2014-09-02 Stats Chippac, Ltd. Semiconductor device and method of forming TMV and TSV in WLCSP using same carrier
EP2543065A4 (en) 2010-03-03 2018-01-24 Georgia Tech Research Corporation Through-package-via (tpv) structures on inorganic interposer and methods for fabricating same
US8970006B2 (en) 2010-06-15 2015-03-03 Stmicroelectronics S.R.L. Vertical conductive connections in semiconductor substrates
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
JP5389266B2 (ja) * 2010-07-26 2014-01-15 浜松ホトニクス株式会社 基板加工方法
WO2012016932A1 (en) 2010-08-02 2012-02-09 Atotech Deutschland Gmbh Method to form solder deposits and non-melting bump structures on substrates
US9049808B2 (en) 2010-08-21 2015-06-02 Ibiden Co., Ltd. Printed wiring board and a method of manufacturing a printed wiring board
US8518746B2 (en) 2010-09-02 2013-08-27 Stats Chippac, Ltd. Semiconductor device and method of forming TSV semiconductor wafer with embedded semiconductor die
TWI434387B (zh) 2010-10-11 2014-04-11 Advanced Semiconductor Eng 具有穿導孔之半導體裝置及具有穿導孔之半導體裝置之封裝結構及其製造方法
KR101187913B1 (ko) * 2010-11-24 2012-10-05 삼성테크윈 주식회사 반도체 패키지용 리이드 프레임과, 이를 제조하는 방법
TWI418269B (zh) 2010-12-14 2013-12-01 Unimicron Technology Corp 嵌埋穿孔中介層之封裝基板及其製法
US8617990B2 (en) 2010-12-20 2013-12-31 Intel Corporation Reduced PTH pad for enabling core routing and substrate layer count reduction
US9704793B2 (en) * 2011-01-04 2017-07-11 Napra Co., Ltd. Substrate for electronic device and electronic device
JP5693977B2 (ja) 2011-01-11 2015-04-01 新光電気工業株式会社 配線基板及びその製造方法
US8536695B2 (en) 2011-03-08 2013-09-17 Georgia Tech Research Corporation Chip-last embedded interconnect structures
JP2012195514A (ja) 2011-03-17 2012-10-11 Seiko Epson Corp 素子付き基板、赤外線センサー、および貫通電極形成方法
US20120261805A1 (en) 2011-04-14 2012-10-18 Georgia Tech Research Corporation Through package via structures in panel-based silicon substrates and methods of making the same
US8912077B2 (en) 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
WO2013008415A1 (ja) 2011-07-08 2013-01-17 パナソニック株式会社 配線基板および立体配線基板の製造方法
TWI492680B (zh) 2011-08-05 2015-07-11 Unimicron Technology Corp 嵌埋有中介層之封裝基板及其製法
JP2013074178A (ja) 2011-09-28 2013-04-22 Ngk Spark Plug Co Ltd 部品内蔵配線基板の製造方法
WO2013089754A1 (en) 2011-12-15 2013-06-20 Intel Corporation Packaged semiconductor die with bumpless die-package interface for bumpless build-up layer (bbul) packages
KR20130083721A (ko) * 2012-01-13 2013-07-23 삼성전자주식회사 레이저 어블레이션을 이용한 관통 실리콘 비아 형성방법
US8772058B2 (en) 2012-02-02 2014-07-08 Harris Corporation Method for making a redistributed wafer using transferrable redistribution layers
US9214353B2 (en) 2012-02-26 2015-12-15 Solexel, Inc. Systems and methods for laser splitting and device layer transfer
JP2013207006A (ja) 2012-03-28 2013-10-07 Toppan Printing Co Ltd 貫通電極付き配線基板及びその製造方法
US8698293B2 (en) 2012-05-25 2014-04-15 Infineon Technologies Ag Multi-chip package and method of manufacturing thereof
JP5981232B2 (ja) 2012-06-06 2016-08-31 新光電気工業株式会社 半導体パッケージ、半導体装置及び半導体パッケージの製造方法
JP6029342B2 (ja) 2012-06-15 2016-11-24 新光電気工業株式会社 配線基板及びその製造方法
DE102012210472A1 (de) 2012-06-21 2013-12-24 Robert Bosch Gmbh Verfahren zum Herstellen eines Bauelements mit einer elektrischen Durchkontaktierung
EP2690383A1 (en) 2012-07-27 2014-01-29 Embl Heidelberg Cooling of a dewar vessel with ice free coolant and for short sample access
CN103635017B (zh) 2012-08-24 2016-12-28 碁鼎科技秦皇岛有限公司 电路板及其制作方法
US8890628B2 (en) 2012-08-31 2014-11-18 Intel Corporation Ultra slim RF package for ultrabooks and smart phones
US9385102B2 (en) 2012-09-28 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming supporting layer over semiconductor die in thin fan-out wafer level chip scale package
RU2015114097A (ru) 2012-09-28 2016-11-20 Сен-Гобен Серэмикс Энд Пластикс, Инк. Модифицированный процесс микрошлифования
CN102890591B (zh) 2012-09-28 2016-03-09 北京京东方光电科技有限公司 一种触摸屏、触控显示装置及触摸屏的制造方法
US20140103499A1 (en) * 2012-10-11 2014-04-17 International Business Machines Corporation Advanced handler wafer bonding and debonding
KR101301507B1 (ko) 2012-11-26 2013-09-04 (주)씨엠코리아 반도체 제조장치용 히터 제조방법 및 그에 따라 제조된 히터
KR102072846B1 (ko) 2012-12-18 2020-02-03 에스케이하이닉스 주식회사 임베디드 패키지 및 제조 방법
KR20140083657A (ko) 2012-12-26 2014-07-04 하나 마이크론(주) 인터포저가 임베디드 되는 전자 모듈 및 그 제조방법
JPWO2014106925A1 (ja) 2013-01-07 2017-01-19 株式会社アライドマテリアル セラミック配線基板、半導体装置、およびセラミック配線基板の製造方法
US9378982B2 (en) 2013-01-31 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Die package with openings surrounding end-portions of through package vias (TPVs) and package on package (PoP) using the die package
US9704809B2 (en) 2013-03-05 2017-07-11 Maxim Integrated Products, Inc. Fan-out and heterogeneous packaging of electronic components
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
JP5874675B2 (ja) 2013-04-08 2016-03-02 信越化学工業株式会社 テクスチャ形成方法及び太陽電池の製造方法
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
KR101494413B1 (ko) 2013-05-29 2015-02-17 주식회사 네패스 지지프레임 및 이를 이용한 반도체패키지 제조방법
US20140353019A1 (en) 2013-05-30 2014-12-04 Deepak ARORA Formation of dielectric with smooth surface
JP6214930B2 (ja) 2013-05-31 2017-10-18 スナップトラック・インコーポレーテッド 多層配線基板
US9685414B2 (en) 2013-06-26 2017-06-20 Intel Corporation Package assembly for embedded die and associated techniques and configurations
US8980691B2 (en) 2013-06-28 2015-03-17 Stats Chippac, Ltd. Semiconductor device and method of forming low profile 3D fan-out package
KR102101377B1 (ko) 2013-06-29 2020-04-16 인텔 코포레이션 비아들과 조합되는 미세 피치 후면측 금속 재분포 라인들을 포함하는 상호접속 구조
US8952544B2 (en) 2013-07-03 2015-02-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10446335B2 (en) 2013-08-08 2019-10-15 Zhuhai Access Semiconductor Co., Ltd. Polymer frame for a chip, such that the frame comprises at least one via in series with a capacitor
US9209151B2 (en) 2013-09-26 2015-12-08 General Electric Company Embedded semiconductor device package and method of manufacturing thereof
JP6286169B2 (ja) 2013-09-26 2018-02-28 新光電気工業株式会社 配線基板及びその製造方法
US9530752B2 (en) 2013-11-11 2016-12-27 Infineon Technologies Ag Method for forming electronic components
US20160270242A1 (en) 2013-11-14 2016-09-15 Amogreentech Co., Ltd. Flexible printed circuit board and method for manufacturing same
US9159678B2 (en) 2013-11-18 2015-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10014292B2 (en) 2015-03-09 2018-07-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US9355881B2 (en) 2014-02-18 2016-05-31 Infineon Technologies Ag Semiconductor device including a dielectric material
WO2015126438A1 (en) 2014-02-20 2015-08-27 Applied Materials, Inc. Laser ablation platform for solar cells
SG11201606359QA (en) 2014-03-12 2016-09-29 Intel Corp Microelectronic package having a passive microelectronic device disposed within a package body
US9735134B2 (en) 2014-03-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with through-vias having tapered ends
US9499397B2 (en) 2014-03-31 2016-11-22 Freescale Semiconductor, Inc. Microelectronic packages having axially-partitioned hermetic cavities and methods for the fabrication thereof
US9326373B2 (en) 2014-04-09 2016-04-26 Finisar Corporation Aluminum nitride substrate
US10074631B2 (en) 2014-04-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Packages and packaging methods for semiconductor devices, and packaged semiconductor devices
US9589786B2 (en) 2014-04-28 2017-03-07 National Center For Advanced Packaging Co., Ltd Method for polishing a polymer surface
US10128177B2 (en) 2014-05-06 2018-11-13 Intel Corporation Multi-layer package with integrated antenna
US8980727B1 (en) * 2014-05-07 2015-03-17 Applied Materials, Inc. Substrate patterning using hybrid laser scribing and plasma etching processing schemes
US10256180B2 (en) 2014-06-24 2019-04-09 Ibis Innotech Inc. Package structure and manufacturing method of package structure
US9396999B2 (en) 2014-07-01 2016-07-19 Freescale Semiconductor, Inc. Wafer level packaging method
CN105336670B (zh) 2014-07-14 2018-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP6394136B2 (ja) 2014-07-14 2018-09-26 凸版印刷株式会社 パッケージ基板およびその製造方法
JP6324876B2 (ja) 2014-07-16 2018-05-16 新光電気工業株式会社 配線基板、半導体装置及び配線基板の製造方法
KR20160013706A (ko) 2014-07-28 2016-02-05 삼성전기주식회사 인쇄회로기판 및 인쇄회로기판의 제조 방법
DE202014103794U1 (de) * 2014-08-14 2014-10-29 Intel Corporation Herstellung eines Substrates mit einer eingebetteten Chiplage unter Verwendung von Projektionsstrukturierung und damit verbundenen Paket-Konfigurationen
CN105436718A (zh) 2014-08-26 2016-03-30 安捷利电子科技(苏州)有限公司 一种uv激光钻孔制备具有可控锥度盲孔的方法
BR112017003175A2 (pt) 2014-09-18 2017-11-28 Intel Corp pacote de múltiplas matrizes e método para formar um pacote de múltiplas matrizes
KR102268386B1 (ko) 2014-09-30 2021-06-23 삼성전기주식회사 회로기판
KR20160048277A (ko) 2014-10-23 2016-05-04 에스케이하이닉스 주식회사 칩 내장 패키지 및 그 제조방법
JP6428164B2 (ja) 2014-10-31 2018-11-28 日立化成株式会社 半導体装置及びその製造方法
US9554469B2 (en) 2014-12-05 2017-01-24 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Method of fabricating a polymer frame with a rectangular array of cavities
US9318376B1 (en) 2014-12-15 2016-04-19 Freescale Semiconductor, Inc. Through substrate via with diffused conductive component
US10269722B2 (en) 2014-12-15 2019-04-23 Bridge Semiconductor Corp. Wiring board having component integrated with leadframe and method of making the same
WO2016099523A1 (en) 2014-12-19 2016-06-23 Intel IP Corporation Stacked semiconductor device package with improved interconnect bandwidth
US9754849B2 (en) 2014-12-23 2017-09-05 Intel Corporation Organic-inorganic hybrid structure for integrated circuit packages
US20160329299A1 (en) 2015-05-05 2016-11-10 Mediatek Inc. Fan-out package structure including antenna
US9842789B2 (en) 2015-05-11 2017-12-12 Samsung Electro-Mechanics Co., Ltd. Electronic component package and method of manufacturing the same
US10109588B2 (en) 2015-05-15 2018-10-23 Samsung Electro-Mechanics Co., Ltd. Electronic component package and package-on-package structure including the same
DE102015108071B4 (de) * 2015-05-21 2023-06-15 Pictiva Displays International Limited Optoelektronisches Bauelement und Verfahren zur Herstellung eines optoelektronischen Bauelements
US9837484B2 (en) 2015-05-27 2017-12-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming substrate including embedded component with symmetrical structure
US9978720B2 (en) 2015-07-06 2018-05-22 Infineon Technologies Ag Insulated die
US20190189561A1 (en) 2015-07-15 2019-06-20 Chip Solutions, LLC Semiconductor device and method with multiple redistribution layer and fine line capability
US10636753B2 (en) 2015-07-29 2020-04-28 STATS ChipPAC Pte. Ltd. Antenna in embedded wafer-level ball-grid array package
CN105023900A (zh) 2015-08-11 2015-11-04 华天科技(昆山)电子有限公司 埋入硅基板扇出型封装结构及其制造方法
US9601461B2 (en) 2015-08-12 2017-03-21 Semtech Corporation Semiconductor device and method of forming inverted pyramid cavity semiconductor package
JP6542616B2 (ja) 2015-08-27 2019-07-10 古河電気工業株式会社 部品内蔵配線基板の製造方法、部品内蔵配線基板および電子部品固定用テープ
JP2017050315A (ja) 2015-08-31 2017-03-09 イビデン株式会社 プリント配線板及びプリント配線板の製造方法
US9761571B2 (en) 2015-09-17 2017-09-12 Deca Technologies Inc. Thermally enhanced fully molded fan-out module
WO2017052633A1 (en) 2015-09-25 2017-03-30 Vivek Raghunathan Thin electronic package elements using laser spallation
US9837352B2 (en) 2015-10-07 2017-12-05 Advanced Semiconductor Engineering, Inc. Semiconductor device and method for manufacturing the same
DE112015007068T5 (de) 2015-10-29 2018-09-13 Intel Corporation Alternative oberflächen für leitende kontaktinselschichten von siliziumbrücken für halbleitergehäuse
TW201717343A (zh) 2015-11-04 2017-05-16 華亞科技股份有限公司 封裝上封裝構件及其製作方法
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
JP6626697B2 (ja) 2015-11-24 2019-12-25 京セラ株式会社 配線基板およびその製造方法
US10051742B2 (en) 2015-12-10 2018-08-14 Industrial Technology Research Institute Power module and manufacturing method thereof
US9660037B1 (en) * 2015-12-15 2017-05-23 Infineon Technologies Austria Ag Semiconductor wafer and method
WO2017111957A1 (en) 2015-12-22 2017-06-29 Intel Corporation Semiconductor package with through bridge die connections
CN105575913B (zh) 2016-02-23 2019-02-01 华天科技(昆山)电子有限公司 埋入硅基板扇出型3d封装结构
JP6618843B2 (ja) * 2016-03-24 2019-12-11 Hoya株式会社 フォトマスク用基板のリサイクル方法、フォトマスク用基板の製造方法、フォトマスクブランクの製造方法、フォトマスクの製造方法、及びパターン転写方法
US9875970B2 (en) 2016-04-25 2018-01-23 Samsung Electro-Mechanics Co., Ltd. Fan-out semiconductor package
DE112016006809T5 (de) 2016-04-28 2019-02-14 Intel Corporation Integrierte schaltungsstrukturen mit erweiterten leitungswegen
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
WO2017200705A1 (en) 2016-05-20 2017-11-23 ARES Materials, Inc. Polymer substrate for flexible electronics microfabrication and methods of use
US10043740B2 (en) 2016-07-12 2018-08-07 Intel Coporation Package with passivated interconnects
US11156788B2 (en) 2016-07-14 2021-10-26 Intel Corporation Semiconductor package with embedded optical die
US9748167B1 (en) 2016-07-25 2017-08-29 United Microelectronics Corp. Silicon interposer, semiconductor package using the same, and fabrication method thereof
US10269771B2 (en) 2016-08-31 2019-04-23 Advanced Semiconductor Engineering, Inc. Semiconductor device package and a method of manufacturing the same
KR102566996B1 (ko) 2016-09-09 2023-08-14 삼성전자주식회사 FOWLP 형태의 반도체 패키지 및 이를 가지는 PoP 형태의 반도체 패키지
US9887167B1 (en) 2016-09-19 2018-02-06 Advanced Semiconductor Engineering, Inc. Embedded component package structure and method of manufacturing the same
KR102012443B1 (ko) 2016-09-21 2019-08-20 삼성전자주식회사 팬-아웃 반도체 패키지
JP2018073890A (ja) 2016-10-25 2018-05-10 イビデン株式会社 プリント配線板およびプリント配線板の製造方法
CN106531647B (zh) 2016-12-29 2019-08-09 华进半导体封装先导技术研发中心有限公司 一种扇出型芯片的封装结构及其封装方法
KR102596788B1 (ko) 2016-12-30 2023-10-31 인텔 코포레이션 팬 아웃 스케일링을 위한 필러 및 비아 접속부를 구비한 고밀도 상호접속 층을 가진 패키지 기판
KR102561987B1 (ko) 2017-01-11 2023-07-31 삼성전기주식회사 반도체 패키지와 그 제조 방법
KR102019353B1 (ko) 2017-04-07 2019-09-09 삼성전자주식회사 팬-아웃 센서 패키지 및 이를 포함하는 광학방식 지문센서 모듈
JP6827663B2 (ja) 2017-04-24 2021-02-10 株式会社荏原製作所 基板の研磨装置
JP6909430B2 (ja) 2017-05-12 2021-07-28 大日本印刷株式会社 貫通電極基板、貫通電極基板を備える実装基板並びに貫通電極基板の製造方法
US11158540B2 (en) 2017-05-26 2021-10-26 Applied Materials, Inc. Light-absorbing mask for hybrid laser scribing and plasma etch wafer singulation process
TWI645519B (zh) 2017-06-02 2018-12-21 旭德科技股份有限公司 元件內埋式封裝載板及其製作方法
US10304765B2 (en) 2017-06-08 2019-05-28 Advanced Semiconductor Engineering, Inc. Semiconductor device package
US10163803B1 (en) 2017-06-20 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages and methods of forming the same
US10211072B2 (en) 2017-06-23 2019-02-19 Applied Materials, Inc. Method of reconstituted substrate formation for advanced packaging applications
JP6885800B2 (ja) 2017-06-26 2021-06-16 京セラ株式会社 配線基板およびその製造方法
US20190006331A1 (en) * 2017-06-30 2019-01-03 Intel Corporation Electronics package devices with through-substrate-vias having pitches independent of substrate thickness
TW201909245A (zh) 2017-07-24 2019-03-01 美商康寧公司 精密結構玻璃物件、積體電路封裝、光學元件、微流體元件及其製造方法
JP2019040937A (ja) * 2017-08-23 2019-03-14 住友電気工業株式会社 受発光デバイスの製造方法
US10410971B2 (en) 2017-08-29 2019-09-10 Qualcomm Incorporated Thermal and electromagnetic interference shielding for die embedded in package substrate
US10515912B2 (en) 2017-09-24 2019-12-24 Intel Corporation Integrated circuit packages
US10269773B1 (en) 2017-09-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
WO2019066988A1 (en) 2017-09-30 2019-04-04 Intel Corporation INTEGRATED PCB / HOUSING STACK FOR DOUBLE-SIDED INTERCONNECTION
KR101892869B1 (ko) 2017-10-20 2018-08-28 삼성전기주식회사 팬-아웃 반도체 패키지
KR101922884B1 (ko) 2017-10-26 2018-11-28 삼성전기 주식회사 팬-아웃 반도체 패키지
KR101963292B1 (ko) * 2017-10-31 2019-03-28 삼성전기주식회사 팬-아웃 반도체 패키지
US10515827B2 (en) 2017-10-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package with recessed interposer substrate
US10163798B1 (en) * 2017-12-22 2018-12-25 Intel Corporation Embedded multi-die interconnect bridge packages with lithotgraphically formed bumps and methods of assembling same
WO2019132924A1 (en) * 2017-12-28 2019-07-04 Intel Corporation Rf front end module including hybrid filter and active circuits in a single package
US10468339B2 (en) 2018-01-19 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Heterogeneous fan-out structure and method of manufacture
US10388631B1 (en) 2018-01-29 2019-08-20 Globalfoundries Inc. 3D IC package with RDL interposer and related method
TWI791769B (zh) 2018-02-27 2023-02-11 日商迪愛生股份有限公司 電子零件封裝及其製造方法
CN111868920A (zh) 2018-03-15 2020-10-30 应用材料公司 用于半导体器件封装制造工艺的平坦化
US10948818B2 (en) 2018-03-19 2021-03-16 Applied Materials, Inc. Methods and apparatus for creating a large area imprint without a seam
US11178772B2 (en) 2018-03-29 2021-11-16 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Component carrier connected with a separate tilted component carrier for short electric connection
JP6997670B2 (ja) * 2018-04-23 2022-01-17 新光電気工業株式会社 配線基板及びその製造方法
US11063007B2 (en) 2018-05-21 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10955606B2 (en) 2018-05-30 2021-03-23 Applied Materials, Inc. Method of imprinting tilt angle light gratings
US10424530B1 (en) 2018-06-21 2019-09-24 Intel Corporation Electrical interconnections with improved compliance due to stress relaxation and method of making
US10705268B2 (en) 2018-06-29 2020-07-07 Applied Materials, Inc. Gap fill of imprinted structure with spin coated high refractive index material for optical components
CN111293210B (zh) * 2018-12-07 2024-01-23 茂丞(郑州)超声科技有限公司 晶圆级超声波芯片模块及其制造方法
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
IT201900006740A1 (it) * 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020048715A1 (en) * 2000-08-09 2002-04-25 Bret Walczynski Photoresist adhesive and method
KR20130103316A (ko) * 2010-04-12 2013-09-23 아이코닉스 코포레이션 포토레지스트막, 및 연마 식각 및 절삭 방법
KR20140014119A (ko) * 2010-12-22 2014-02-05 어플라이드 머티어리얼스, 인코포레이티드 실리콘 웨이퍼들 상에서의 스루-실리콘 비아들의 제조
KR20140086375A (ko) * 2012-12-28 2014-07-08 (재)한국나노기술원 글라스 기반 프로브 카드용 스페이스 트랜스포머의 제조방법 및 이에 의해 제조된 글라스 기반 프로브 카드용 스페이스 트랜스포머

Also Published As

Publication number Publication date
US11063169B2 (en) 2021-07-13
JP2022533537A (ja) 2022-07-25
TW202107728A (zh) 2021-02-16
JP7259083B2 (ja) 2023-04-17
US11837680B2 (en) 2023-12-05
US20220278248A1 (en) 2022-09-01
JP2023100622A (ja) 2023-07-19
WO2020231544A1 (en) 2020-11-19
KR102619572B1 (ko) 2023-12-28
IT201900006740A1 (it) 2020-11-10
KR20240005994A (ko) 2024-01-12
US20200357947A1 (en) 2020-11-12
JP7490108B2 (ja) 2024-05-24
US20210234060A1 (en) 2021-07-29
US11362235B2 (en) 2022-06-14
CN113811982A (zh) 2021-12-17

Similar Documents

Publication Publication Date Title
KR102619572B1 (ko) 기판 구조화 방법들
US10886232B2 (en) Package structure and fabrication methods
JP7390996B2 (ja) 超小型又は超薄型ディスクリート部品の配置
TWI698954B (zh) 切割晶圓背側上具有焊料凸塊的晶圓
Marks et al. Ultrathin wafer pre-assembly and assembly process technologies: A review
US9412663B1 (en) Dies for RFID devices and sensor applications
KR20240089267A (ko) 기판 구조화 방법들
US20230378047A1 (en) Semiconductor device packages with enhanced thermo-mechanical reliability
US20230129405A1 (en) Semiconductor device packaging methods

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant