KR20040085153A - 원자층 침착 장치 및 이의 제조방법 - Google Patents
원자층 침착 장치 및 이의 제조방법 Download PDFInfo
- Publication number
- KR20040085153A KR20040085153A KR10-2004-7011192A KR20047011192A KR20040085153A KR 20040085153 A KR20040085153 A KR 20040085153A KR 20047011192 A KR20047011192 A KR 20047011192A KR 20040085153 A KR20040085153 A KR 20040085153A
- Authority
- KR
- South Korea
- Prior art keywords
- draw
- chamber
- gas
- purge
- chemical
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 227
- 239000000126 substance Substances 0.000 claims abstract description 379
- 238000010926 purge Methods 0.000 claims abstract description 269
- 238000000231 atomic layer deposition Methods 0.000 claims abstract description 250
- 238000000151 deposition Methods 0.000 claims abstract description 243
- 230000008021 deposition Effects 0.000 claims abstract description 239
- 239000007789 gas Substances 0.000 claims description 484
- 230000008569 process Effects 0.000 claims description 98
- 239000012530 fluid Substances 0.000 claims description 93
- 239000000376 reactant Substances 0.000 claims description 91
- 239000000758 substrate Substances 0.000 claims description 91
- 238000012545 processing Methods 0.000 claims description 88
- 238000009826 distribution Methods 0.000 claims description 65
- 238000007789 sealing Methods 0.000 claims description 53
- 238000002679 ablation Methods 0.000 claims description 43
- 230000001276 controlling effect Effects 0.000 claims description 40
- 230000007704 transition Effects 0.000 claims description 24
- 230000002093 peripheral effect Effects 0.000 claims description 23
- 230000033228 biological regulation Effects 0.000 claims description 20
- 238000011144 upstream manufacturing Methods 0.000 claims description 18
- 238000012546 transfer Methods 0.000 claims description 14
- 239000012495 reaction gas Substances 0.000 claims description 13
- 230000001105 regulatory effect Effects 0.000 claims description 13
- 230000000977 initiatory effect Effects 0.000 claims description 8
- 230000003750 conditioning effect Effects 0.000 claims description 2
- 238000002955 isolation Methods 0.000 abstract 1
- 239000002243 precursor Substances 0.000 description 77
- 238000006243 chemical reaction Methods 0.000 description 66
- 239000010408 film Substances 0.000 description 54
- 235000012431 wafers Nutrition 0.000 description 51
- 238000013461 design Methods 0.000 description 43
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 33
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 30
- 230000000694 effects Effects 0.000 description 21
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 18
- 230000035484 reaction time Effects 0.000 description 18
- 238000004891 communication Methods 0.000 description 17
- 229910052751 metal Inorganic materials 0.000 description 17
- 239000002184 metal Substances 0.000 description 17
- 239000011261 inert gas Substances 0.000 description 15
- 239000010409 thin film Substances 0.000 description 15
- 239000007787 solid Substances 0.000 description 13
- 238000004364 calculation method Methods 0.000 description 12
- 238000009792 diffusion process Methods 0.000 description 12
- 230000004907 flux Effects 0.000 description 11
- 239000000463 material Substances 0.000 description 11
- 238000012423 maintenance Methods 0.000 description 10
- 239000000203 mixture Substances 0.000 description 10
- 230000036961 partial effect Effects 0.000 description 10
- 230000004044 response Effects 0.000 description 10
- 239000004065 semiconductor Substances 0.000 description 10
- 230000001052 transient effect Effects 0.000 description 10
- 230000007423 decrease Effects 0.000 description 9
- 239000003446 ligand Substances 0.000 description 9
- 238000005086 pumping Methods 0.000 description 9
- 230000002829 reductive effect Effects 0.000 description 9
- 238000004088 simulation Methods 0.000 description 9
- 230000008901 benefit Effects 0.000 description 8
- 239000012707 chemical precursor Substances 0.000 description 8
- 230000002411 adverse Effects 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 239000010410 layer Substances 0.000 description 7
- 238000005457 optimization Methods 0.000 description 7
- 230000001360 synchronised effect Effects 0.000 description 7
- 238000009825 accumulation Methods 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 6
- 238000007872 degassing Methods 0.000 description 6
- 230000006870 function Effects 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 206010000210 abortion Diseases 0.000 description 5
- 230000008859 change Effects 0.000 description 5
- 238000004140 cleaning Methods 0.000 description 5
- 238000011068 loading method Methods 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 239000012528 membrane Substances 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 238000006722 reduction reaction Methods 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 239000000243 solution Substances 0.000 description 5
- 230000003068 static effect Effects 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 231100000176 abortion Toxicity 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 238000010790 dilution Methods 0.000 description 4
- 239000012895 dilution Substances 0.000 description 4
- 230000003446 memory effect Effects 0.000 description 4
- 230000009467 reduction Effects 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 230000009471 action Effects 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 230000015556 catabolic process Effects 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 238000006731 degradation reaction Methods 0.000 description 3
- 230000001419 dependent effect Effects 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 230000005764 inhibitory process Effects 0.000 description 3
- 238000002347 injection Methods 0.000 description 3
- 239000007924 injection Substances 0.000 description 3
- 230000000670 limiting effect Effects 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 239000012713 reactive precursor Substances 0.000 description 3
- 230000008929 regeneration Effects 0.000 description 3
- 238000011069 regeneration method Methods 0.000 description 3
- 238000006467 substitution reaction Methods 0.000 description 3
- 238000000427 thin-film deposition Methods 0.000 description 3
- 101000836529 Brevibacillus brevis Alpha-acetolactate decarboxylase Proteins 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 102100027269 Fructose-bisphosphate aldolase C Human genes 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 230000006399 behavior Effects 0.000 description 2
- 238000005234 chemical deposition Methods 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000005112 continuous flow technique Methods 0.000 description 2
- 238000001035 drying Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- 239000002244 precipitate Substances 0.000 description 2
- 238000000197 pyrolysis Methods 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 238000011084 recovery Methods 0.000 description 2
- 230000008439 repair process Effects 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 230000001629 suppression Effects 0.000 description 2
- 238000006557 surface reaction Methods 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910018512 Al—OH Inorganic materials 0.000 description 1
- 229920002449 FKM Polymers 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- OLBVUFHMDRJKTK-UHFFFAOYSA-N [N].[O] Chemical compound [N].[O] OLBVUFHMDRJKTK-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- -1 amine salts Chemical class 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 230000003197 catalytic effect Effects 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 238000002485 combustion reaction Methods 0.000 description 1
- 230000001010 compromised effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000008094 contradictory effect Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000007850 degeneration Effects 0.000 description 1
- 238000003795 desorption Methods 0.000 description 1
- RCJVRSBWZCNNQT-UHFFFAOYSA-N dichloridooxygen Chemical compound ClOCl RCJVRSBWZCNNQT-UHFFFAOYSA-N 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 239000012636 effector Substances 0.000 description 1
- 229920001971 elastomer Polymers 0.000 description 1
- 239000000806 elastomer Substances 0.000 description 1
- 238000011067 equilibration Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 238000005188 flotation Methods 0.000 description 1
- 239000000446 fuel Substances 0.000 description 1
- 238000007306 functionalization reaction Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000005339 levitation Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000013206 minimal dilution Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012806 monitoring device Methods 0.000 description 1
- 238000010943 off-gassing Methods 0.000 description 1
- 230000037361 pathway Effects 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000010992 reflux Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000000452 restraining effect Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 239000013049 sediment Substances 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 230000004083 survival effect Effects 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- 238000004448 titration Methods 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 230000007723 transport mechanism Effects 0.000 description 1
- 150000003658 tungsten compounds Chemical class 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45557—Pulsed pressure or control pressure
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45561—Gas plumbing upstream of the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/0318—Processes
- Y10T137/0396—Involving pressure control
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Description
Claims (86)
- 기판 홀더와 가열기를 포함하는 원자층 침착 챔버("침착 챔버");드로우 가스 도입 챔버("DGIC");침착 챔버와 DGIC 사이에 유체를 직렬 전달하는 침착 챔버 유동 제한 소자("FRE");드로우 가스 공급원;드로우 가스 공급원과 DGIC 사이에 유체를 직렬 전달하는 드로우 공급원 차단 밸브;드로우 가스 공급원과 DGIC 사이에 유체를 직렬 전달하는 드로우 공급원 FRE;드로우 조절 챔버;DGIC와 드로우 조절 챔버 사이에 유체를 직렬 전달하는 DGIC FRE;드로우 조절 챔버에 유체를 직렬 전달하는 드로우-컨트롤 출구;드로우-컨트롤 출구에 유체를 직렬 전달하는 진공 펌프;드로우-컨트롤 출구와 진공 펌프 사이에 유체를 직렬 전달하는 드로우-컨트롤 FRE;다수의 화학적 가스 공급원;각각이 화학적 가스 공급원들중 하나에 유체를 직렬 전달하는 다수의 부스터 챔버;각각이 화학적 가스 공급원중 하나와 부스터 챔버중 하나 사이에 유체를 직렬 전달하는 다수의 화학물 공급원 FRE;각각이 부스터 챔버와 침착 챔버 사이에 유체를 직렬 전달하는 다수의 화학물 투여 차단 밸브;각각이 부스터 챔버중 하나와 침착 챔버 사이에 유체를 직렬 전달하는 다수의 부스터 FRE;퍼지 가스 공급원;퍼지 가스 공급원과 침착 챔버 사이에 유체를 직렬 전달하는 퍼지 공급원 차단 밸브; 및퍼지 가스 공급원과 침착 챔버 사이에 유체를 직렬 전달하는 퍼지 공급원 FRE를 포함하는 원자층 침착 시스템.
- 제 1 항에 있어서,부스터 챔버와 침착 챔버 사이에 유체를 직렬 전달하고, 퍼지 가스 차단 밸브와 침착 챔버 사이에 유체를 직렬 전달하는 가스 분배 챔버; 및가스 분배 챔버와 침착 챔버 사이에 유체를 직렬 전달하는 가스 분배 FRE를 추가로 포함하는 시스템.
- 제 2 항에 있어서,가스 분배 FRE가 노즐 어레이를 포함하는 시스템.
- 제 3 항에 있어서,노즐 어레이가 1.5이상의 종횡비를 갖는 다수의 노즐을 포함하는 시스템.
- 제 2 항에 있어서,가스 분배 챔버에 유체를 직렬 전달하는 퍼지 배기 라인; 및가스 분배 챔버와 퍼지 배기 라인 사이에 유체를 직렬 전달하는 퍼지-배기 차단 밸브를 추가로 포함하는 시스템.
- 제 2 항에 있어서,화학적 차단 밸브가 다수의 비-공통 포트와 2개의 공통 포트를 갖는 다중식 밸브이고, 비-공통 포트 각각은 부스터 챔버중 하나에 유체를 직렬 전달하고, 제 1 의 공통 포트는 가스 분배 챔버에 유체를 직렬 전달하고, 제 2의 공통 포트는 퍼지 공급원 차단 밸브에 유체를 직렬 전달하는 시스템.
- 제 1 항에 있어서,드로우 조절 챔버에 위치한 어베이트먼트(abatement) 표면을 추가로 포함하는 시스템.
- 제 7 항에 있어서,어베이트먼트를 개선시키기 위해 반응 가스를 드로우 조절 챔버로 도입하기 위한 반응 가스 입구를 추가로 포함하는 시스템.
- 제 7 항에 있어서,반응 가스 입구가 드로우 조절 챔버에 가까운 반응 가스 플리넘(plenum)을 추가로 포함하는 시스템.
- 제 1 항에 있어서,드로우-컨트롤 출구와 진공 펌프 사이에 유체를 직렬 전달하는 드로우 조절 챔버로부터 다운스트림에 위치한 어베이트먼트 챔버를 추가로 포함하는 시스템.
- 제 1 항에 있어서,드로우 컨트롤 출구와 진공 펌프 사이에 유체를 직렬 전달하는 압력 조절 챔버를 추가로 포함하는 시스템.
- 제 1 항에 있어서,반응기 용기 벽 및 용기 내부를 갖는 반응기 용기를 추가로 포함하고, 여기서 침착실, DGIC 및 드로우 조절 챔버가 용기 내부에 들어있는 시스템.
- 제 12 항에 있어서,DGIC에 가까운 반응기 용기에 위치한 드로우 가스 플리넘을 추가로 포함하는 시스템.
- 제 12 항에 있어서,드로우 조절 챔버에 위치한 어베이트먼트 표면을 추가로 포함하는 시스템.
- 제 12 항에 있어서,어베이트먼트를 개선시키기 위해 반응 가스를 드로우 조절 챔버로 도입하기 위한 반응 가스 입구를 추가로 포함하는 장치.
- 제 12 항에 있어서,드로우 조절 챔버에 가까운 반응기 용기에 위치한 반응 가스 플리넘을 추가로 포함하는 장치.
- 제 12 항에 있어서,가스 분배 챔버; 및가스 분배 챔버와 침착 챔버 사이에 유체를 직렬 전달하는 가스 분배 FRE를 추가로 포함하고, 여기서 가스 분배 챔버가 용기 내부에 들어있는 시스템.
- 제 12 항에 있어서,반응기 용기의 주변 슬롯 밸브를 추가로 포함하고,상기 주변 슬롯 밸브가 반응기 용기 벽을 통한 기판 수송 슬롯; 반응기 용기 벽 이내의 연속적인 주변 공간; 연속적인 주변-밀봉 포핏(poppet); 및 밀봉 포핏을 열린 위치와 닫힌 위치 사이로 이동시키기 위한 작동기를 포함하고,여기서, 밀봉 포핏이 닫힌 위치에서 주변 공간으로 이동되고, 밀봉 포핏이 열린 위치에서 주변 공간 외부로 이동되고, 기판 수송 슬롯이 기판 홀더의 기판-지지 표면과 실질적으로 동일 평면상에 위치하고, 상기 주변 공간이 기판 수송 슬롯과 실질적으로 동일 평면상에 위치하고, 밀봉 포핏이 열린 위치일 때에는 기판 수송 슬롯이 반응기 용기 벽을 통해 기판 홀더까지 기판 수송 채널을 한정하고, 밀봉 포핏이 닫힌 위치일 때에는 밀봉 포핏이 기판 수송 슬롯을 용기 내부로부터 분리시키는 시스템.
- 제 18 항에 있어서,반응기 용기 벽이 용기 주변을 상기 반응기 용기 벽 이내로 한정하고, 밀봉 포핏이 닫힌 위치일 때 용기 주변과 합치되는 시스템.
- 제 19 항에 있어서,반응기 용기 벽이 실질적으로 방사상 대칭 형태이고, 밀봉 포핏이 실질적으로 방사상 대칭 형태를 포함하는 시스템.
- 제 18 항에 있어서,닫힌 위치에서의 밀봉 포핏이 용기 내부에서 가공 가스 유동 경로의 내부 밀봉 벽을 형성하는 시스템.
- 제 21 항에 있어서,내부 밀봉 벽이 방사상 대칭 형태를 포함하는 시스템.
- 제 21 항에 있어서,내부 밀봉 벽의 일부가 DGIC의 일부를 한정하는 시스템.
- 제 18 항에 있어서,주변 슬롯 밸브가 고정된 상부 주변-밀봉 표면; 고정된 상부 주변-밀봉 표면에 상응하는 상부 포핏 주변-밀봉 표면; 상부 주변 밀봉; 고정된 하부 주변-밀봉 표면; 상기 고정된 하부 주변-밀봉 표면에 상응하는 하부 포핏 주변-밀봉 표면; 및 하부 주변 밀봉을 포함하며, 여기서, 밀봉 포핏이 닫힌 위치일 때 상기 상부 밀봉 표면, 하부 밀봉 표면 및 주변 밀봉이 용기 내부를 밀봉하는 배열인 시스템.
- 가공 챔버;가공 챔버로의 가스의 유속을 제어하기 위해 배열되어 있는, 가공 챔버에 연결된 가공 가스 도관;드로우 가스의 유동을 위해 배열된 드로우 조절 챔버;가공 챔버와 드로우 조절 챔버 사이에 유체를 직렬 전달하는 가공 챔버 FRE;드로우 조절 챔버에 유체를 직렬 연결하는 드로우 배기 라인; 및드로우 조절 챔버와 드로우 배기 라인 사이에 유체를 직렬 전달하는 드로우-컨트롤FRE를 포함하는,가공 챔버에서 가스의 유동, 드로우 및 압력을 조절하기 위한 장치.
- 제 25 항에 있어서,드로우 조절 챔버를 통해 드로우 가스의 유동을 제어하기 위한 드로우 공급원 차단 밸브를 추가로 포함하는 장치.
- 제 26 항에 있어서,드로우 공급원 차단 밸브와 드로우 조절 챔버 사이에 유체를 직렬 연결하는 드로우 공급원 FRE를 추가로 포함하는 장치.
- 제 25 항에 있어서,가공 가스 도관에 유체를 직렬 전달하는 다수의 가공 가스 차단 밸브를 추가로 포함하고, 여기서 각각의 차단 밸브가 가공 가스의 가공 챔버로의 유입 유동을 제어하기 위해 배열되어 있는 장치.
- 제 28 항에 있어서,가공 가스 차단 밸브중 하나가 퍼지 가스의 가공 챔버로의 유동을 제어하기 위한 가공 챔버에 유체를 직렬 전달하는 퍼지 공급원 차단 밸브를 포함하는 장치.
- 제 29 항에 있어서,퍼지 공급원 차단 밸브에 유체를 직렬 전달하는 퍼지 공급원 FRE를 추가로 포함하는 장치.
- 제 28 항에 있어서,각각이 가공 가스 차단 밸브중 하나에 유체를 직렬 전달하는 다수의 가공 가스 FRE를 추가로 포함하는 장치.
- 제 31 항에 있어서,다수의 부스터 챔버를 추가로 포함하고, 각각의 부스터 챔버가 가공 가스 도관에 유체를 직렬 전달하고, 각각의 부스터 챔버가 가공 가스 차단 밸브중 하나의 업스트림이고 가공 가스 FRE중 하나의 다운스트림에 위치하는 장치.
- 제 32 항에 있어서,각각의 부스터 FRE가 부스터 챔버중 하나의 다운스트림에 있는 다수의 부스터 FRE를 추가로 포함하는 장치.
- 제 28 항에 있어서,가공 가스 차단 밸브와 가공 챔버 사이에 유체를 직렬 전달하는 가스 분배 챔버; 및가스 분배 챔버와 가공 챔버 사이에 유체를 직렬 전달하는 가스 분배 FRE를 추가로 포함하는 장치.
- 제 34 항에 있어서,가스 분배 챔버에 유체를 직렬 전달하는 퍼지-배기 라인; 및가스 분배 챔버와 퍼지-배기 라인 사이에 유체를 직렬 전달하는 퍼스-배기 차단 밸브를 추가로 포함하는 장치.
- 제 35 항에 있어서,퍼지-배기 차단 밸브에 유체를 직렬 전달하는 퍼지-배기 FRE를 추가로 포함하는 장치.
- 제 28 항에 있어서,가공 가스 차단 밸브가 비-공통 포트 및 2개의 공통 포트를 갖는 다중 경로이고, 여기서 비-공통 포트가 가공 가스 공급원에 유체를 직렬 전달하고, 제 1의 공통 포트는 가공 챔버에 유체를 직렬 전달하고, 제 2의 공통 포트는 퍼지 공급원 차단 밸브에 유체를 직렬 전달하는 장치.
- 제 28 항에 있어서,가공 가스 차단 밸브중 2 이상이 다수의 비-공통 포트 및 다수의 공통 포트를 갖는다중 경로이고, 여기서 비-공통 포트 각각이 가공 가스 공급원에 유체를 직렬 전달하고, 다수의 공통 포트가 가공 챔버에 유체를 직렬 전달하고, 하나 이상의 공통 포트가 퍼지 공급원 차단 밸브에 유체를 직렬 전달하는 장치.
- 제 25 항에 있어서,가공 챔버와 드로우 조절 챔버 사이에 유체를 직렬 전달하는 DGIC;드로우 가스의 DGIC로의 유동을 제어하기 위한 드로우 공급원 차단 밸브;가공 챔버와 DGIC 사이에 위치한 가공 챔버 FRE; 및DGIC와 드로우 조절 챔버 사이에 위치한 DGIC FRE를 추가로 포함하는 장치.
- 제 39 항에 있어서,드로우 공급원 차단 밸브와 DGIC 사이에 유체를 직렬 전달하도록 위치하는 드로우 공급원 FRE를 추가로 포함하는 장치.
- 제 40 항에 있어서,DGIC에 가까운 드로우 가스 플리넘을 추가로 포함하는 장치.
- 제 25 항에 있어서,드로우 조절 챔버에 위치한 어베이트먼트 표면을 추가로 포함하는 장치.
- 제 42 항에 있어서,어베이트먼트를 개선시키기 위해 드로우 조절 챔버로 반응 가스를 도입시키기 위한 반응 가스 입구를 추가로 포함하는 장치.
- 제 43 항에 있어서,반응 가스 입구가 드로우 조절 챔버에 가까운 반응 가스 플리넘을 포함하는 장치.
- 제 25 항에 있어서,드로우 조절 챔버의 다운스트림에 위치한 어베이트먼트 챔버를 추가로 포함하는 장치.
- 제 25 항에 있어서,드로우 조절 챔버의 다운스트림에 위치하고, 드로우 조절 챔버와 드로우 배기 라인 사이에 유체를 직렬 전달하는 압력 조절 챔버를 추가로 포함하는 장치.
- 제 25 항에 있어서,가공 챔버가 원자층 침착 챔버를 포함하는 장치.
- 선택된 제 1 투여 유속 및 독립적으로 선택된 제 1 투여 압력에서 침착 챔버를 통해 제 1 화학적 반응 가스를 유동시킴을 포함하는 제 1 화학물 투여 단계를 수행하는 단계;선택된 제 1 퍼지 유속 및 독립적으로 선택된 제 1 퍼지 압력에서 침착 챔버를 통해 제 1 퍼지 가스를 흘려보냄으로써 제 1 퍼지 단계를 수행하는 단계;선택된 제 2 투여 유속 및 독립적으로 선택된 제 2 투여 압력에서 침착 챔버를 통해 제 2 화학적 반응 가스를 유동시킴을 포함하는 제 2 화학물 투여 단계를 수행하는 단계; 및선택된 제 2 퍼지 유속 및 독립적으로 선택된 제 2 퍼지 압력에서 침착 챔버를 통해 제 2 퍼지 가스를 유동시킴으로써 제 2 퍼지 단계를 수행하는 단계를 상기 순서대로 포함하는, 원자층을 침착시키는 방법.
- 제 48 항에 있어서,단일 퍼지 가스 공급원이 제 1 퍼지 가스 및 제 2 퍼지 가스를 공급하는 방법.
- 제 48 항에 있어서,제 1 퍼지 유속이 제 1 투여 유속보다 더 큰 방법.
- 제 50 항에 있어서,제 1 퍼지 유속 대 제 1 투여 유속의 비가 1.5를 초과하는 방법.
- 제 50 항에 있어서,제 1 퍼지 유속 대 제 1 투여 유속의 비가 20을 초과하는 방법.
- 제 50 항에 있어서,제 1 퍼지 유속 대 제 1 투여 유속의 비가 100을 초과하는 방법.
- 제 48 항에 있어서,제 2 퍼지 유속이 제 2 투여 유속보다 더 큰 방법.
- 제 54 항에 있어서,제 2 퍼지 유속 대 제 2 투여 유속의 비가 1.5를 초과하는 방법.
- 제 54 항에 있어서,제 2 퍼지 유속 대 제 2 투여 유속의 비가 20을 초과하는 방법.
- 제 54 항에 있어서,제 2 퍼지 유속 대 제 2 투여 유속의 비가 100을 초과하는 방법.
- 제 48 항에 있어서,제 1 퍼지 유속이 제 1 투여 유속보다 더 크고, 제 2 퍼지 유속이 제 2 투여 유속보다 더 큰 방법.
- 제 48 항에 있어서,제 1 화학물 투여 단계, 제 1 퍼지 단계, 제 2 화학물 투여 단계 및 제 2 퍼지 단계를 이 순서대로 3초 미만으로 수행함을 포함하는 방법.
- 제 48 항에 있어서,제 1 화학물 투여 단계, 제 1 퍼지 단계, 제 2 화학물 투여 단계 및 제 2 퍼지 단계를 이 순서대로 1초 미만으로 수행함을 포함하는 방법.
- 제 48 항에 있어서,제 1 화학물 투여 단계, 제 1 퍼지 단계, 제 2 화학물 투여 단계 및 제 2 퍼지 단계를 이 순서대로 0.5초 미만으로 수행함을 포함하는 방법.
- 제 48 항에 있어서,처음에는 제 1 투여 유속보다 실질적으로 더 큰 제 1 일시적 유속에서 제 1 화학적 반응 가스를 처음 유동시킴으로써 제 1 화학물 투여 단계를 개시하는 단계를 추가로 포함하는 방법.
- 제 48 항에 있어서,처음에는 제 2 투여 유속보다 실질적으로 더 큰 제 2 일시적 유속에서 제 2 화학적반응 가스를 처음 유동시킴으로써 제 2 화학물 투여 단계를 개시하는 단계를 추가로 포함하는 방법.
- 제 48 항에 있어서,제 1 화학적 반응 가스를 선택된 제 1 투여 유속 및 독립적으로 선택된 제 1 투여 압력에서 유동시키는 단계가 침착 챔버로의 제 1 화학적 반응 가스의 제 1 투여 유속을 제어하는 단계; 및독립적으로 침착 챔버 외부의 제 1 화학적 반응 가스의 제 1 화학적 드로우를 제 1 투여 유속과 실질적으로 일치시키는 단계를 포함하고,여기서, 제 1 퍼지 가스를 선택된 제 1 퍼지 유속 및 독립적으로 선택된 제 1 퍼지 압력에서 침착 챔버를 통해 유동시키는 단계가 침착 챔버로 가는 제 1 퍼지 가스의 제 1 퍼지 유속을 제어하는 단계, 및 독립적으로 침착 챔버 외부의 제 1 퍼지의 제 1 퍼지-드로우를 제 1 퍼지 유속과 실질적으로 일치시키는 단계를 포함하는 방법.
- 제 64 항에 있어서,독립적으로 침착 챔버 외부의 제 1 화학적 반응 가스의 제 1 화학적 드로우를 실질적으로 일치시키는 단계가 침착 챔버의 다운스트림의 제 1 투여 드로우 압력을 제어하는 단계를 포함하고,여기서 독립적으로 침착 챔버 외부의 제 1 퍼지 가스의 제 1 퍼지-드로우를 실질적으로 일치시키는 단계가 침착 챔버의 다운스트림의 제 1 퍼지-드로우 압력을 제어하는 단계를 포함하는 방법.
- 제 65 항에 있어서,제 1 투여 드로우 압력을 제어하는 단계가 드로우 가스를 제 1 투여 드로우 가스 유속으로 드로우 조절 챔버를 통해서 유동시키는 단계 및 제 1 투여 드로우 압력을 달성하도록 제 1 투여 드로우 가스 유속을 제어하는 단계를 포함하고,제 1 퍼지-드로우 압력을 제어하는 단계가 드로우 가스를 제 1 퍼지 드로우 가스 유속으로 드로우 조절 챔버를 통해 유동시키는 단계 및 제 1 퍼지 드로우 압력을 달성하도록 제 1 퍼지 드로우 가스 유속을 제어하는 단계를 포함하며, 상기 드로우 조절 챔버가 침착 챔버의 다운스트림에 위치하는 방법.
- 제 48 항에 있어서,제 1 화학적 반응 가스를 선택된 제 1 투여 유속 및 독립적으로 선택된 제 1 투여 유속으로 유동시키는 단계가 제 1 화학적 반응 가스의 침착 챔버로의 제 1 투여 유속을 제어하는 단계; 및독립적으로 침착 챔버의 다운스트림의 드로우 압력을 제어함으로써 침착 챔버 외부의 제 1 화학적 반응 가스의 제 1 투여 유속과 제 1 화학적 드로우 사이의 불일치를 의도적으로 생성시켜서 침착 챔버에서의 제 1 투여 압력을 압력-전환 기간동안 실질적으로 변화시켜 상기 불일치를 실질적으로 감소시키고, 이에 의해 제 1 화학적 드로우와 제 1 투여 유속을 실질적으로 일치시키는 단계를 포함하는 방법.
- 제 48 항에 있어서,제 2의 화학적 반응 가스를 선택된 제 2 투여 유속 및 독립적으로 선택되는 제 2 투여 압력에서 유동시키는 단계가 침착 챔버로 들어가는 제 2 화학적 반응 가스의 제 2 투여 유속을 제어하는 단계; 및독립적으로 침착 챔버 외부의 제 2 화학적 반응 가스의 제 2 화학적 드로우를 제 2 투여 유속과 실질적으로 일치시키는 단계를 포함하며,여기서, 제 2 퍼지 가스를 선택된 제 2 퍼지 유속 및 독립적으로 선택된 제 2 퍼지 압력으로 침착 챔버를 통과시켜 유동시키는 단계가 침착 챔버로 들어가는 제 2 퍼지 가스의 제 2 퍼지 유속을 제어하는 단계, 및 독립적으로 침착 챔버 외부의 제 2 퍼지 가스의 제 2 퍼지-드로우를 제 2 퍼지 유속에 실질적으로 일치시키는 단계를 포함하는 방법.
- 제 68 항에 있어서,독립적으로 침착 챔버 외부의 제 2 화학적 반응 가스의 제 2 화학적 드로우를 실질적으로 일치시키는 단계가 침착 챔버의 다운스트림의 제 2 투여 드로우 압력을 제어하는 단계를 포함하고,여기서 독립적으로 침착 챔버 외부의 제 2 퍼지 가스의 제 2 퍼지-드로우를 실질적으로 일치시키는 단계가 침착 챔버의 다운스트림의 제 2 퍼지-드로우 압력을 제어하는 단계를 포함하는 방법.
- 제 69 항에 있어서,제 2 투여 드로우 압력을 제어하는 단계가 드로우 가스를 드로우 조절 챔버를 통해 제 2 투여 드로우 가스 유속으로 유동시키는 단계, 및 제 2 투여 드로우 압력이 달성되도록 제 2 투여 드로우 가스 유속을 제어하는 단계를 포함하고,제 2 퍼지-드로우 압력을 제어하는 단계가 드로우 가스를 드로우 조절 챔버를 통해 제 2 퍼지 드로우 가스 유속으로 유동시키는 단계, 및 제 2 퍼지 드로우 압력을 달성하도록 제 2 퍼지 드로우 가스 유속을 제어하는 단계를 포함하고, 상기 드로우 조절 챔버가 침착 챔버의 다운스트림에 위치하는 방법.
- 제 48 항에 있어서,제 2 화학적 반응 가스를 선택된 제 2 투여 유속 및 독립적으로 선택된 제 2 투여 압력으로 유동시키는 단계가 제 2 화학적 반응 가스의 침착 챔버로의 제 2 투여 유속을 제어하는 단계; 및독립적으로 침착 챔버의 다운스트림의 드로우 압력을 제어함으로써 침착 챔버 외부의 제 2 화학적 반응 가스의 제 2 투여 유속과 제 2 화학적 드로우 사이의 불일치를 의도적으로 생성시켜서 침착 챔버에서의 제 2 투여 압력을 압력-전환 기간동안 실질적으로 변화시켜 상기 불일치를 실질적으로 감소시키고, 이에 의해 제 2 화학적 드로우와 제 2 투여 유속을 실질적으로 일치시키는 단계를 포함하는 방법.
- 제 48 항에 있어서,제 1 화학적 반응 가스를 침착 챔버를 통해 유동시키는 단계가 공지된 제 1 공급원 압력을 갖는 제 1 반응 가스 공급원을 제공하는 단계 및 상기 제 1 반응 가스 공급원으로부터의 제 1 화학적 반응 가스를 제 1 공급원 FRE를 통해 침착 챔버로 유동시키는 단계를 포함하는 방법.
- 제 72 항에 있어서,제 1 화학물 투여 단계를 포함하지 않는 기간동안 공지된 제 1 공급원 압력의 제 1 화학적 반응 가스를 제 1 부스터 챔버(여기서 제 1 부스터 챔버는 제 1 공급원 FRE의 다운스트림, 침착 챔버의 업스트림에 위치한다)에 충전시키는 단계; 및제 1 부스터 챔버를 충전시킨 다음, 제 1 화학적 차단 밸브(여기서 제 1 화학적 차단 밸브는 제 1 부스터 챔버와 침착 챔버 사이에 유체를 직렬 전달시킨다)를 열어서 제 1 화학물 투여 단계를 개시함으로써, 제 1 화학적 반응 가스를 제 1 일시적 유속(여기서 제 1 일시적 유속은 처음에는 제 1 투여 유속보다 실질적으로 더 크다)으로 유동시키는 것을 개시하는 단계를 추가로 포함하는 방법.
- 제 48 항에 있어서,제 2 화학적 반응 가스를 침착 챔버를 통해 유동시키는 단계가 공지된 제 2 공급원 압력을 갖는 제 2 반응 가스 공급원을 제공하는 단계, 및 상기 제 2 반응 가스 공급원으로부터의 제 2 화학적 반응 가스를 제 2 공급원 FRE를 통해 침착 챔버로 유동시키는 단계를 포함하는 방법.
- 제 74 항에 있어서,제 2 화학물 투여 단계를 포함하지 않는 기간동안 공지된 제 2 공급원 압력의 제 2 화학적 반응 가스를 제 2 부스터 챔버(여기서 제 2 부스터 챔버는 제 2 공급원 FRE의 다운스트림, 침착 챔버의 업스트림에 위치한다)에 충전시키는 단계; 및제 2 부스터 챔버를 충전시킨 다음, 제 2 화학적 차단 밸브(여기서 제 2 화학적 차단 밸브는 제 2 부스터 챔버와 침착 챔버 사이에 유체를 직렬 전달시킨다)를 열어서 제 2 화학물 투여 단계를 개시함으로써, 제 2 화학적 반응 가스를 제 2 일시적 유속(여기서 제 2 일시적 유속은 처음에는 제 2 투여 유속보다 실질적으로 더 크다)으로 유동시키는 것을 개시하는 단계를 추가로 포함하는 방법.
- 가공-용기 벽을 통한 기판 수송 슬롯; 가공-용기 벽 내부의 연속적인 주변 공간; 연속적인 주변-밀봉 포핏; 및 열린 위치와 닫힌 위치 사이에 밀봉 포핏을 이동시키기 위한 작동기를 포함하며,여기서, 밀봉 포핏이 닫힌 위치에서 주변 공간으로 이동하고, 밀봉 포핏이 열린 위치에서 주변 공간 외부로 이동하며, 기판 수송 슬롯이 기판 홀더의 기판-지지 표면과 실질적으로 동일 평면상에 위치하고, 상기 주변 공간이 기판 수송 슬롯과 실질적으로 동일 평면상에 위치하고, 밀봉 포핏이 열린 위치일 때에는 기판 수송 슬롯이 가공-용기 벽을 통해 기판 홀더까지 기판 수송 채널을 한정하고, 밀봉 포핏이닫힌 위치일 때에는 밀봉 포핏이 기판 수송 슬롯을 용기 내부로부터 분리시키는가공-용기 벽을 갖는 가공 용기중의 주변 슬롯 밸브.
- 제 76 항에 있어서,가공-용기 벽이 용기 주변을 상기 가공-용기 벽 내부로 한정하고, 밀봉 포핏이 닫힌 위치일 때 용기 주변과 합치되는 시스템.
- 제 76 항에 있어서,가공-용기 벽이 실질적으로 방사상 대칭 형태이고, 밀봉 포핏이 실질적으로 방사상 대칭 형태를 포함하는 시스템.
- 제 76 항에 있어서,닫힌 위치에서의 밀봉 포핏이 가공 용기 내부에서 가공 가스 유동 경로의 내부 밀봉 벽을 형성하는 시스템.
- 제 79 항에 있어서,내부 밀봉 벽이 방사상 대칭 형태를 포함하는 시스템.
- 제 76 항에 있어서,주변 슬롯 밸브가 고정된 상부 주변-밀봉 표면; 고정된 상부 주변-밀봉 표면에 상응하는 상부 포핏 주변-밀동 표면; 상부 주변 밀봉; 고정된 하부 주변-밀봉 표면; 상기 고정된 하부 주변-밀봉 표면에 상응하는 하부 포핏 주변-밀봉 표면; 및 하부 주변 밀봉을 포함하며, 여기서, 밀봉 포핏이 닫힌 위치일 때 상기 상부 밀봉 표면, 하부 밀봉 표면 및 주변 밀봉이 가공 용기 내부를 밀봉하도록 배열되는 시스템.
- 가공 가스를 가공 챔버로 유동시키는 단계; 및드로우-컨트롤 가스를 드로우 조절 챔버로 유동시키는 단계를 포함하며,상기 드로우 조절 챔버가 가공 챔버에 유체를 직렬 전달하여, 가공 챔버의 다운스트림에서 드로우 압력을 제어하는,가공 챔버에서 압력을 제어하기 위한 방법.
- 제 82 항에 있어서,드로우-컨트롤 가스가 반응 가스를 유동시켜 드로우 조절 챔버에서의 화학적 어베이트먼트를 증진시키는 것을 포함하는 방법.
- 제 83 항에 있어서,드로우 압력을 제어하는 단계가 드로우 압력을 1atm 압력 미만으로 제어함을 포함하는 방법.
- 제 83 항에 있어서,드로우 압력을 제어하는 단계가 5Torr 미만에서 드로우 압력을 제어하는 단계를 포함하는 방법.
- 1.5 이상의 종횡비를 갖는 다수의 노즐을 포함하는 노즐 어레이를 포함하는 가스 분배 장치.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US34963402P | 2002-01-17 | 2002-01-17 | |
US60/349,634 | 2002-01-17 | ||
PCT/US2003/001548 WO2003062490A2 (en) | 2002-01-17 | 2003-01-17 | Ald apparatus and method |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20040085153A true KR20040085153A (ko) | 2004-10-07 |
KR100979575B1 KR100979575B1 (ko) | 2010-09-01 |
Family
ID=27613302
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020047011192A KR100979575B1 (ko) | 2002-01-17 | 2003-01-17 | 원자층 침착 장치 및 이의 제조방법 |
Country Status (6)
Country | Link |
---|---|
US (3) | US6911092B2 (ko) |
EP (1) | EP1466034A1 (ko) |
JP (1) | JP4908738B2 (ko) |
KR (1) | KR100979575B1 (ko) |
CN (2) | CN1643179B (ko) |
WO (1) | WO2003062490A2 (ko) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20170040603A (ko) * | 2015-10-05 | 2017-04-13 | 주성엔지니어링(주) | 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법 |
WO2022025970A1 (en) * | 2020-07-29 | 2022-02-03 | Lam Research Corporation | Low resistance gate oxide metallization liner |
US11972952B2 (en) | 2018-12-14 | 2024-04-30 | Lam Research Corporation | Atomic layer deposition on 3D NAND structures |
US12002679B2 (en) | 2020-04-07 | 2024-06-04 | Lam Research Corporation | High step coverage tungsten deposition |
Families Citing this family (469)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1127957A1 (en) * | 2000-02-24 | 2001-08-29 | Asm Japan K.K. | A film forming apparatus having cleaning function |
US7563328B2 (en) * | 2001-01-19 | 2009-07-21 | Tokyo Electron Limited | Method and apparatus for gas injection system with minimum particulate contamination |
EP1466034A1 (en) * | 2002-01-17 | 2004-10-13 | Sundew Technologies, LLC | Ald apparatus and method |
EP1485513A2 (en) * | 2002-03-08 | 2004-12-15 | Sundew Technologies, LLC | Ald method and apparatus |
JP3828821B2 (ja) * | 2002-03-13 | 2006-10-04 | 株式会社堀場エステック | 液体材料気化供給装置 |
JP3985899B2 (ja) * | 2002-03-28 | 2007-10-03 | 株式会社日立国際電気 | 基板処理装置 |
US20050084610A1 (en) * | 2002-08-13 | 2005-04-21 | Selitser Simon I. | Atmospheric pressure molecular layer CVD |
KR100473806B1 (ko) * | 2002-09-28 | 2005-03-10 | 한국전자통신연구원 | 유기물 박막 및 유기물 소자를 위한 대면적 유기물 기상증착 장치 및 제조 방법 |
US6844260B2 (en) * | 2003-01-30 | 2005-01-18 | Micron Technology, Inc. | Insitu post atomic layer deposition destruction of active species |
CN1777696B (zh) * | 2003-03-14 | 2011-04-20 | 杰努斯公司 | 用于原子层沉积的方法和设备 |
US7037376B2 (en) * | 2003-04-11 | 2006-05-02 | Applied Materials Inc. | Backflush chamber clean |
JP4965247B2 (ja) * | 2003-04-23 | 2012-07-04 | アイクストロン・インコーポレーテッド | 促進されたaldプロセス |
US9725805B2 (en) * | 2003-06-27 | 2017-08-08 | Spts Technologies Limited | Apparatus and method for controlled application of reactive vapors to produce thin films and coatings |
ATE468421T1 (de) * | 2003-06-27 | 2010-06-15 | Sundew Technologies Llc | Vorrichtung und verfahren zur steuerung des dampfdrucks einer chemikalienquelle |
US20050271893A1 (en) * | 2004-06-04 | 2005-12-08 | Applied Microstructures, Inc. | Controlled vapor deposition of multilayered coatings adhered by an oxide layer |
US20040261703A1 (en) * | 2003-06-27 | 2004-12-30 | Jeffrey D. Chinn | Apparatus and method for controlled application of reactive vapors to produce thin films and coatings |
US7638167B2 (en) * | 2004-06-04 | 2009-12-29 | Applied Microstructures, Inc. | Controlled deposition of silicon-containing coatings adhered by an oxide layer |
US20100129548A1 (en) * | 2003-06-27 | 2010-05-27 | Sundew Technologies, Llc | Ald apparatus and method |
WO2005007283A2 (en) * | 2003-07-08 | 2005-01-27 | Sundew Technologies, Llc | Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement |
WO2005015613A2 (en) * | 2003-08-07 | 2005-02-17 | Sundew Technologies, Llc | Perimeter partition-valve with protected seals |
KR100589053B1 (ko) * | 2003-10-15 | 2006-06-12 | 삼성전자주식회사 | 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법 |
JP4280603B2 (ja) * | 2003-11-04 | 2009-06-17 | キヤノン株式会社 | 処理方法 |
US20050103264A1 (en) * | 2003-11-13 | 2005-05-19 | Frank Jansen | Atomic layer deposition process and apparatus |
US20050103265A1 (en) * | 2003-11-19 | 2005-05-19 | Applied Materials, Inc., A Delaware Corporation | Gas distribution showerhead featuring exhaust apertures |
US20050221004A1 (en) * | 2004-01-20 | 2005-10-06 | Kilpela Olli V | Vapor reactant source system with choked-flow elements |
US7435926B2 (en) * | 2004-03-31 | 2008-10-14 | Lam Research Corporation | Methods and array for creating a mathematical model of a plasma processing system |
US7628861B2 (en) * | 2004-12-17 | 2009-12-08 | Mks Instruments, Inc. | Pulsed mass flow delivery system and method |
US7628860B2 (en) * | 2004-04-12 | 2009-12-08 | Mks Instruments, Inc. | Pulsed mass flow delivery system and method |
US7098082B2 (en) * | 2004-04-13 | 2006-08-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Microelectronics package assembly tool and method of manufacture therewith |
KR100590554B1 (ko) * | 2004-05-28 | 2006-06-19 | 삼성전자주식회사 | 반응용기 및 시편홀더의 구조가 개선된 단원자층 증착장치 |
US7879396B2 (en) * | 2004-06-04 | 2011-02-01 | Applied Microstructures, Inc. | High aspect ratio performance coatings for biological microfluidics |
DE602005016933D1 (de) | 2004-06-28 | 2009-11-12 | Cambridge Nanotech Inc | Atomlagenabscheidungssystem und -verfahren |
WO2006019923A2 (en) * | 2004-07-15 | 2006-02-23 | Pdc Facilities, Inc. | Liner for a flow meter |
US20060073276A1 (en) * | 2004-10-04 | 2006-04-06 | Eric Antonissen | Multi-zone atomic layer deposition apparatus and method |
US20060093746A1 (en) * | 2004-11-04 | 2006-05-04 | Tokyo Electron Limited | Method and apparatus for atomic layer deposition |
US7846499B2 (en) | 2004-12-30 | 2010-12-07 | Asm International N.V. | Method of pulsing vapor precursors in an ALD reactor |
TWI304241B (en) | 2005-02-04 | 2008-12-11 | Advanced Display Proc Eng Co | Vacuum processing apparatus |
US8211235B2 (en) * | 2005-03-04 | 2012-07-03 | Picosun Oy | Apparatuses and methods for deposition of material on surfaces |
US7608549B2 (en) * | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
US8129290B2 (en) * | 2005-05-26 | 2012-03-06 | Applied Materials, Inc. | Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure |
US8138104B2 (en) * | 2005-05-26 | 2012-03-20 | Applied Materials, Inc. | Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure |
US7819139B2 (en) * | 2005-07-14 | 2010-10-26 | Pdc Facilities, Inc. | Liner for a flow meter |
US8349726B2 (en) * | 2005-09-23 | 2013-01-08 | Nxp B.V. | Method for fabricating a structure for a semiconductor device using a halogen based precursor |
JP2007158230A (ja) * | 2005-12-08 | 2007-06-21 | Nec Electronics Corp | プラズマエッチング装置のクリーニング方法、およびプラズマエッチング装置 |
TW200722732A (en) * | 2005-12-09 | 2007-06-16 | Li Bing Huan | Semi-enclosed observation space for electron microscopy |
US20070190670A1 (en) * | 2006-02-10 | 2007-08-16 | Forest Carl A | Method of making ferroelectric and dielectric layered superlattice materials and memories utilizing same |
JP6030278B2 (ja) * | 2006-03-16 | 2016-11-24 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 電子デバイス製造システムの操作を改善する方法及び装置 |
JP4943047B2 (ja) | 2006-04-07 | 2012-05-30 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
GB0607616D0 (en) * | 2006-04-18 | 2006-05-31 | Boc Group Plc | Vacuum pumping system |
EP2022872A4 (en) * | 2006-05-09 | 2010-07-28 | Ulvac Inc | THIN FILM PRODUCTION EQUIPMENT AND INTERIOR BLOCK CORRESPONDING |
US7789319B2 (en) * | 2006-05-17 | 2010-09-07 | Micron Technology, Inc. | System and method for recirculating fluid supply for an injector for a semiconductor fabrication chamber |
US20110017140A1 (en) * | 2006-07-21 | 2011-01-27 | Christopher Mark Bailey | Method of treating a gas stream |
KR100791334B1 (ko) * | 2006-07-26 | 2008-01-07 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속 산화막 형성 방법 |
JP5179739B2 (ja) * | 2006-09-27 | 2013-04-10 | 東京エレクトロン株式会社 | 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法 |
KR100807216B1 (ko) * | 2006-09-29 | 2008-02-28 | 삼성전자주식회사 | 두께 균일성을 향상할 수 있는 박막 형성 장치 및 방법 |
CN101536154B (zh) * | 2006-11-09 | 2010-08-11 | 株式会社爱发科 | 遮蔽膜的形成方法 |
DE102007037527B4 (de) * | 2006-11-10 | 2013-05-08 | Schott Ag | Verfahren zum Beschichten von Gegenständen mit Wechselschichten |
US8900695B2 (en) * | 2007-02-23 | 2014-12-02 | Applied Microstructures, Inc. | Durable conformal wear-resistant carbon-doped metal oxide-comprising coating |
US20080248263A1 (en) * | 2007-04-02 | 2008-10-09 | Applied Microstructures, Inc. | Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby |
US8236379B2 (en) * | 2007-04-02 | 2012-08-07 | Applied Microstructures, Inc. | Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation |
KR101560705B1 (ko) * | 2007-05-25 | 2015-10-16 | 어플라이드 머티어리얼스, 인코포레이티드 | 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치 |
CN101678407A (zh) * | 2007-05-25 | 2010-03-24 | 应用材料股份有限公司 | 用于减量系统的有效操作的方法与装置 |
US20090018688A1 (en) * | 2007-06-15 | 2009-01-15 | Applied Materials, Inc. | Methods and systems for designing and validating operation of abatement systems |
JP5347294B2 (ja) * | 2007-09-12 | 2013-11-20 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5372353B2 (ja) * | 2007-09-25 | 2013-12-18 | 株式会社フジキン | 半導体製造装置用ガス供給装置 |
CN101835521A (zh) * | 2007-10-26 | 2010-09-15 | 应用材料公司 | 利用改进燃料线路的用于智能减废的方法与设备 |
US7905133B2 (en) * | 2007-12-28 | 2011-03-15 | Thar Instruments, Inc. | Variable ratio flow splitter for a flowstream |
JP5264231B2 (ja) | 2008-03-21 | 2013-08-14 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8673394B2 (en) * | 2008-05-20 | 2014-03-18 | Sundew Technologies Llc | Deposition method and apparatus |
WO2009148913A2 (en) * | 2008-06-02 | 2009-12-10 | Mattson Technology, Inc. | Process and system for varying the exposure to a chemical ambient in a process chamber |
JP5616591B2 (ja) * | 2008-06-20 | 2014-10-29 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
US9997325B2 (en) | 2008-07-17 | 2018-06-12 | Verity Instruments, Inc. | Electron beam exciter for use in chemical analysis in processing systems |
US8234012B1 (en) * | 2008-09-26 | 2012-07-31 | Intermolecular, Inc. | Preparing a chemical delivery line of a chemical dispense system for delivery |
JP5544697B2 (ja) * | 2008-09-30 | 2014-07-09 | 東京エレクトロン株式会社 | 成膜装置 |
US9328417B2 (en) * | 2008-11-01 | 2016-05-03 | Ultratech, Inc. | System and method for thin film deposition |
US9175388B2 (en) * | 2008-11-01 | 2015-11-03 | Ultratech, Inc. | Reaction chamber with removable liner |
US20100116206A1 (en) * | 2008-11-13 | 2010-05-13 | Applied Materials, Inc. | Gas delivery system having reduced pressure variation |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US20100183825A1 (en) * | 2008-12-31 | 2010-07-22 | Cambridge Nanotech Inc. | Plasma atomic layer deposition system and method |
US8216376B1 (en) * | 2009-01-15 | 2012-07-10 | Intermolecular, Inc. | Method and apparatus for variable conductance |
US9181097B2 (en) | 2009-02-19 | 2015-11-10 | Sundew Technologies, Llc | Apparatus and methods for safely providing hazardous reactants |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8657959B2 (en) * | 2009-07-31 | 2014-02-25 | E I Du Pont De Nemours And Company | Apparatus for atomic layer deposition on a moving substrate |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5257328B2 (ja) * | 2009-11-04 | 2013-08-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
CN102597312B (zh) * | 2009-11-16 | 2015-08-05 | Fei公司 | 用于束处理系统的气体传输 |
US20110120544A1 (en) | 2009-11-20 | 2011-05-26 | Levy David H | Deposition inhibitor composition and method of use |
WO2011062779A1 (en) | 2009-11-20 | 2011-05-26 | Eastman Kodak Company | Method for selective deposition and devices |
WO2011088024A1 (en) * | 2010-01-12 | 2011-07-21 | Sundew Technologies, Llc | Methods and apparatus for atomic layer deposition on large area substrates |
US8997686B2 (en) * | 2010-09-29 | 2015-04-07 | Mks Instruments, Inc. | System for and method of fast pulse gas delivery |
US9348339B2 (en) | 2010-09-29 | 2016-05-24 | Mks Instruments, Inc. | Method and apparatus for multiple-channel pulse gas delivery system |
US8133349B1 (en) | 2010-11-03 | 2012-03-13 | Lam Research Corporation | Rapid and uniform gas switching for a plasma etch process |
US10126760B2 (en) | 2011-02-25 | 2018-11-13 | Mks Instruments, Inc. | System for and method of fast pulse gas delivery |
US10031531B2 (en) | 2011-02-25 | 2018-07-24 | Mks Instruments, Inc. | System for and method of multiple channel fast pulse gas delivery |
US10353408B2 (en) | 2011-02-25 | 2019-07-16 | Mks Instruments, Inc. | System for and method of fast pulse gas delivery |
KR101311983B1 (ko) * | 2011-03-31 | 2013-09-30 | 엘아이지에이디피 주식회사 | 가스 주입 장치, 원자층 증착장치 및 이 장치를 이용한 원자층 증착방법 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8728239B2 (en) * | 2011-07-29 | 2014-05-20 | Asm America, Inc. | Methods and apparatus for a gas panel with constant gas flow |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US8618003B2 (en) | 2011-12-05 | 2013-12-31 | Eastman Kodak Company | Method of making electronic devices using selective deposition |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
DE102012210332A1 (de) * | 2012-06-19 | 2013-12-19 | Osram Opto Semiconductors Gmbh | Ald-beschichtungsanlage |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
KR101541154B1 (ko) * | 2012-12-13 | 2015-08-03 | 엘아이지인베니아 주식회사 | 원자층 증착장치 |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
JP6258657B2 (ja) * | 2013-10-18 | 2018-01-10 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
JP5859586B2 (ja) * | 2013-12-27 | 2016-02-10 | 株式会社日立国際電気 | 基板処理システム、半導体装置の製造方法および記録媒体 |
US9909682B2 (en) | 2014-01-07 | 2018-03-06 | Sundew Technologies Llc | Fluid-actuated flow control valves |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9663857B2 (en) | 2014-04-07 | 2017-05-30 | Asm Ip Holding B.V. | Method for stabilizing reaction chamber pressure |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US20160068961A1 (en) * | 2014-09-05 | 2016-03-10 | Aixtron Se | Method and Apparatus For Growing Binary, Ternary and Quaternary Materials on a Substrate |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
JP6354539B2 (ja) * | 2014-11-25 | 2018-07-11 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法、記憶媒体 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
TW201634738A (zh) * | 2015-01-22 | 2016-10-01 | 應用材料股份有限公司 | 用於在空間上分離之原子層沉積腔室的經改良注射器 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6539482B2 (ja) * | 2015-04-15 | 2019-07-03 | 株式会社フジキン | 遮断開放器 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
TWI723024B (zh) | 2015-06-26 | 2021-04-01 | 美商應用材料股份有限公司 | 用於改良的氣體分配的遞迴注入設備 |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
KR102514043B1 (ko) * | 2016-07-18 | 2023-03-24 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
KR102344996B1 (ko) * | 2017-08-18 | 2021-12-30 | 삼성전자주식회사 | 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법 |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
TWI791689B (zh) | 2017-11-27 | 2023-02-11 | 荷蘭商Asm智慧財產控股私人有限公司 | 包括潔淨迷你環境之裝置 |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US11056285B2 (en) | 2018-04-13 | 2021-07-06 | Avx Corporation | Solid electrolytic capacitor containing an adhesive film |
CN111971767B (zh) | 2018-04-13 | 2022-03-22 | 京瓷Avx元器件公司 | 含有顺序气相沉积的内部导电聚合物膜的固体电解电容器 |
WO2019199484A1 (en) | 2018-04-13 | 2019-10-17 | Avx Corporation | Solid electrolytic capacitor containing a vapor-deposited barrier film |
CN112074624A (zh) * | 2018-05-04 | 2020-12-11 | 应用材料公司 | 用于控制中心到边缘压力改变的压力歪斜系统 |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US10774422B2 (en) | 2018-06-01 | 2020-09-15 | Asm Ip Holding B.V. | Systems and methods for controlling vapor phase processing |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020002995A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
JP7167344B2 (ja) | 2018-11-29 | 2022-11-08 | キョーセラ・エイブイエックス・コンポーネンツ・コーポレーション | 順次蒸着誘電体膜を含む固体電解キャパシタ |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (ja) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
US11788190B2 (en) | 2019-07-05 | 2023-10-17 | Asm Ip Holding B.V. | Liquid vaporizer |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
JP2021019198A (ja) | 2019-07-19 | 2021-02-15 | エーエスエム・アイピー・ホールディング・ベー・フェー | トポロジー制御されたアモルファスカーボンポリマー膜の形成方法 |
CN110318040B (zh) * | 2019-07-29 | 2021-11-30 | 陕西煤业化工技术研究院有限责任公司 | 一种原子层沉积系统 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11946136B2 (en) | 2019-09-20 | 2024-04-02 | Asm Ip Holding B.V. | Semiconductor processing device |
US20210088402A1 (en) * | 2019-09-23 | 2021-03-25 | Arradiance, Llc | Vacuum Gauge Protector for Deposition Systems |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
TW202115273A (zh) | 2019-10-10 | 2021-04-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
FI129610B (en) * | 2020-01-10 | 2022-05-31 | Picosun Oy | SUBSTRATE PROCESSING EQUIPMENT AND METHOD |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202200830A (zh) * | 2020-02-26 | 2022-01-01 | 美商應用材料股份有限公司 | 用於ald 處理的循序脈衝和淨化 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
US11359286B2 (en) * | 2020-05-01 | 2022-06-14 | Applied Materials, Inc. | Quartz crystal microbalance concentration monitor |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145080A (ko) | 2020-05-22 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
US11396703B2 (en) | 2020-12-21 | 2022-07-26 | Applied Materials, Inc. | Apparatus and methods for improving chemical utilization rate in deposition process |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US20220285133A1 (en) * | 2021-03-02 | 2022-09-08 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
KR20230025563A (ko) * | 2021-08-12 | 2023-02-22 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN115389096A (zh) * | 2022-08-26 | 2022-11-25 | 江苏微导纳米科技股份有限公司 | 气体压力探测装置及沉积设备 |
CN115595559A (zh) * | 2022-10-27 | 2023-01-13 | 拓荆科技股份有限公司(Cn) | 多腔室半导体设备 |
CN116103640B (zh) * | 2023-04-07 | 2023-06-27 | 上海陛通半导体能源科技股份有限公司 | 一种ald反应腔装置及ald镀膜设备 |
CN116926504A (zh) * | 2023-09-19 | 2023-10-24 | 上海星原驰半导体有限公司 | 前驱体输出装置和原子层沉积设备 |
Family Cites Families (71)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US187084A (en) * | 1877-02-06 | Improvement in trace-detaching devices | ||
US76508A (en) * | 1868-04-07 | Improved kiln foe drying malt | ||
FI117944B (fi) * | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
FI57975C (fi) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | Foerfarande och anordning vid uppbyggande av tunna foereningshinnor |
US4389973A (en) | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
US4960488A (en) * | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
DE3717724A1 (de) | 1987-05-26 | 1988-12-08 | Schertler Siegfried | Ventilschieber mit einem schiebergehaeuse |
US4854263B1 (en) * | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
DE3743938C2 (de) | 1987-12-23 | 1995-08-31 | Cs Halbleiter Solartech | Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht |
EP0382984A1 (en) | 1989-02-13 | 1990-08-22 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Thermal decomposition trap |
US5225366A (en) | 1990-06-22 | 1993-07-06 | The United States Of America As Represented By The Secretary Of The Navy | Apparatus for and a method of growing thin films of elemental semiconductors |
US5483919A (en) | 1990-08-31 | 1996-01-16 | Nippon Telegraph And Telephone Corporation | Atomic layer epitaxy method and apparatus |
JP3052269B2 (ja) * | 1991-01-07 | 2000-06-12 | 日本電信電話株式会社 | 気相成長装置およびその成長方法 |
CA2060917A1 (en) * | 1991-03-12 | 1992-09-13 | Milam Pender | Plasma enhanced chemical vapor deposition device |
US5356476A (en) * | 1992-06-15 | 1994-10-18 | Materials Research Corporation | Semiconductor wafer processing method and apparatus with heat and gas flow control |
JP2662365B2 (ja) * | 1993-01-28 | 1997-10-08 | アプライド マテリアルズ インコーポレイテッド | 改良された排出システムを有する単一基板式の真空処理装置 |
US5330610A (en) * | 1993-05-28 | 1994-07-19 | Martin Marietta Energy Systems, Inc. | Method of digital epilaxy by externally controlled closed-loop feedback |
US5386798A (en) * | 1993-10-06 | 1995-02-07 | Martin Marietta Energy Systems, Inc. | Method for continuous control of composition and doping of pulsed laser deposited films |
US5685914A (en) * | 1994-04-05 | 1997-11-11 | Applied Materials, Inc. | Focus ring for semiconductor wafer processing in a plasma reactor |
JP3181171B2 (ja) * | 1994-05-20 | 2001-07-03 | シャープ株式会社 | 気相成長装置および気相成長方法 |
FI97730C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Laitteisto ohutkalvojen valmistamiseksi |
FI97731C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
FI100409B (fi) | 1994-11-28 | 1997-11-28 | Asm Int | Menetelmä ja laitteisto ohutkalvojen valmistamiseksi |
US5772770A (en) | 1995-01-27 | 1998-06-30 | Kokusai Electric Co, Ltd. | Substrate processing apparatus |
TW323387B (ko) * | 1995-06-07 | 1997-12-21 | Tokyo Electron Co Ltd | |
US5758680A (en) | 1996-03-29 | 1998-06-02 | Lam Research Corporation | Method and apparatus for pressure control in vacuum processors |
FR2751733B1 (fr) * | 1996-07-23 | 1998-09-04 | Gec Alsthom Transport Sa | Dispositif et procede de regulation de la pression interne d'un espace clos ventile soumis a des variations de pression exterieure |
US5928426A (en) | 1996-08-08 | 1999-07-27 | Novellus Systems, Inc. | Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors |
US5914278A (en) * | 1997-01-23 | 1999-06-22 | Gasonics International | Backside etch process chamber and method |
US5834371A (en) * | 1997-01-31 | 1998-11-10 | Tokyo Electron Limited | Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof |
US5904800A (en) * | 1997-02-03 | 1999-05-18 | Motorola, Inc. | Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer |
US5849092A (en) * | 1997-02-25 | 1998-12-15 | Applied Materials, Inc. | Process for chlorine trifluoride chamber cleaning |
US6174377B1 (en) * | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
JPH1180964A (ja) * | 1997-07-07 | 1999-03-26 | Canon Inc | プラズマcvd法による堆積膜形成装置 |
US6056824A (en) * | 1998-01-16 | 2000-05-02 | Silicon Valley Group Thermal Systems | Free floating shield and semiconductor processing system |
US6063198A (en) * | 1998-01-21 | 2000-05-16 | Applied Materials, Inc. | High pressure release device for semiconductor fabricating equipment |
US6192827B1 (en) * | 1998-07-03 | 2001-02-27 | Applied Materials, Inc. | Double slit-valve doors for plasma processing |
KR100275738B1 (ko) * | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
US6190732B1 (en) * | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
US6197119B1 (en) | 1999-02-18 | 2001-03-06 | Mks Instruments, Inc. | Method and apparatus for controlling polymerized teos build-up in vacuum pump lines |
US6432256B1 (en) * | 1999-02-25 | 2002-08-13 | Applied Materials, Inc. | Implanatation process for improving ceramic resistance to corrosion |
US6305314B1 (en) | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US20040149214A1 (en) * | 1999-06-02 | 2004-08-05 | Tokyo Electron Limited | Vacuum processing apparatus |
WO2000079019A1 (en) * | 1999-06-24 | 2000-12-28 | Prasad Narhar Gadgil | Apparatus for atomic layer chemical vapor deposition |
FI110311B (fi) | 1999-07-20 | 2002-12-31 | Asm Microchemistry Oy | Menetelmä ja laitteisto aineiden poistamiseksi kaasuista |
TW515032B (en) * | 1999-10-06 | 2002-12-21 | Samsung Electronics Co Ltd | Method of forming thin film using atomic layer deposition method |
US6998152B2 (en) * | 1999-12-20 | 2006-02-14 | Micron Technology, Inc. | Chemical vapor deposition methods utilizing ionic liquids |
JP4809562B2 (ja) * | 1999-12-22 | 2011-11-09 | アイクストロン、アーゲー | 化学気相成膜反応室 |
FI118343B (fi) | 1999-12-28 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
KR100444149B1 (ko) * | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | Ald 박막증착설비용 클리닝방법 |
JP4727085B2 (ja) * | 2000-08-11 | 2011-07-20 | 東京エレクトロン株式会社 | 基板処理装置および処理方法 |
US6355561B1 (en) * | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
US6800173B2 (en) * | 2000-12-15 | 2004-10-05 | Novellus Systems, Inc. | Variable gas conductance control for a process chamber |
US6630201B2 (en) * | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US20020127336A1 (en) * | 2001-01-16 | 2002-09-12 | Applied Materials, Inc. | Method for growing thin films by catalytic enhancement |
US20020129768A1 (en) * | 2001-03-15 | 2002-09-19 | Carpenter Craig M. | Chemical vapor deposition apparatuses and deposition methods |
US20020144786A1 (en) * | 2001-04-05 | 2002-10-10 | Angstron Systems, Inc. | Substrate temperature control in an ALD reactor |
US6761796B2 (en) * | 2001-04-06 | 2004-07-13 | Axcelis Technologies, Inc. | Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing |
US6902623B2 (en) * | 2001-06-07 | 2005-06-07 | Veeco Instruments Inc. | Reactor having a movable shutter |
US6527911B1 (en) * | 2001-06-29 | 2003-03-04 | Lam Research Corporation | Configurable plasma volume etch chamber |
EP1466034A1 (en) * | 2002-01-17 | 2004-10-13 | Sundew Technologies, LLC | Ald apparatus and method |
EP1485513A2 (en) * | 2002-03-08 | 2004-12-15 | Sundew Technologies, LLC | Ald method and apparatus |
KR100505367B1 (ko) * | 2003-03-27 | 2005-08-04 | 주식회사 아이피에스 | 박막증착용 반응용기 |
KR100522727B1 (ko) * | 2003-03-31 | 2005-10-20 | 주식회사 아이피에스 | 박막증착용 반응용기 |
US20100129548A1 (en) * | 2003-06-27 | 2010-05-27 | Sundew Technologies, Llc | Ald apparatus and method |
ATE468421T1 (de) * | 2003-06-27 | 2010-06-15 | Sundew Technologies Llc | Vorrichtung und verfahren zur steuerung des dampfdrucks einer chemikalienquelle |
WO2005015613A2 (en) * | 2003-08-07 | 2005-02-17 | Sundew Technologies, Llc | Perimeter partition-valve with protected seals |
US7335277B2 (en) * | 2003-09-08 | 2008-02-26 | Hitachi High-Technologies Corporation | Vacuum processing apparatus |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
-
2003
- 2003-01-17 EP EP03731983A patent/EP1466034A1/en not_active Withdrawn
- 2003-01-17 WO PCT/US2003/001548 patent/WO2003062490A2/en active Application Filing
- 2003-01-17 US US10/347,575 patent/US6911092B2/en not_active Expired - Lifetime
- 2003-01-17 CN CN038062348A patent/CN1643179B/zh not_active Expired - Fee Related
- 2003-01-17 JP JP2003562353A patent/JP4908738B2/ja not_active Expired - Lifetime
- 2003-01-17 CN CN2010101543789A patent/CN101818334B/zh not_active Expired - Fee Related
- 2003-01-17 KR KR1020047011192A patent/KR100979575B1/ko active IP Right Grant
-
2005
- 2005-03-10 US US11/076,772 patent/US7635502B2/en active Active
-
2009
- 2009-11-06 US US12/613,722 patent/US8012261B2/en not_active Expired - Fee Related
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20170040603A (ko) * | 2015-10-05 | 2017-04-13 | 주성엔지니어링(주) | 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법 |
KR20210093825A (ko) * | 2015-10-05 | 2021-07-28 | 주성엔지니어링(주) | 기판처리장치 및 배기가스 처리방법 |
US11371142B2 (en) | 2015-10-05 | 2022-06-28 | Jusung Engineering Co., Ltd. | Substrate processing apparatus having exhaust gas decomposer, and exhaust gas processing method therefor |
US11970770B2 (en) | 2015-10-05 | 2024-04-30 | Jusung Engineering Co., Ltd. | Substrate processing apparatus having exhaust gas decomposer, and exhaust gas processing method therefor |
US11972952B2 (en) | 2018-12-14 | 2024-04-30 | Lam Research Corporation | Atomic layer deposition on 3D NAND structures |
US12002679B2 (en) | 2020-04-07 | 2024-06-04 | Lam Research Corporation | High step coverage tungsten deposition |
WO2022025970A1 (en) * | 2020-07-29 | 2022-02-03 | Lam Research Corporation | Low resistance gate oxide metallization liner |
Also Published As
Publication number | Publication date |
---|---|
JP4908738B2 (ja) | 2012-04-04 |
CN1643179B (zh) | 2010-05-26 |
EP1466034A1 (en) | 2004-10-13 |
CN1643179A (zh) | 2005-07-20 |
US8012261B2 (en) | 2011-09-06 |
US20100043888A1 (en) | 2010-02-25 |
CN101818334A (zh) | 2010-09-01 |
JP2005515647A (ja) | 2005-05-26 |
US7635502B2 (en) | 2009-12-22 |
US20050160983A1 (en) | 2005-07-28 |
CN101818334B (zh) | 2012-12-12 |
US6911092B2 (en) | 2005-06-28 |
KR100979575B1 (ko) | 2010-09-01 |
US20030180458A1 (en) | 2003-09-25 |
WO2003062490A2 (en) | 2003-07-31 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100979575B1 (ko) | 원자층 침착 장치 및 이의 제조방법 | |
US10998187B2 (en) | Selective deposition with atomic layer etch reset | |
US11479856B2 (en) | Multi-cycle ALD process for film uniformity and thickness profile modulation | |
US11814727B2 (en) | Systems and methods for atomic layer deposition | |
JP6752555B2 (ja) | 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置 | |
EP1649076B1 (en) | Apparatus and method for chemical source vapor pressure control | |
KR101701024B1 (ko) | 컨포멀한 알루미늄 질화물을 위한 고 성장 레이트 프로세스 | |
TW202138607A (zh) | 形成含氮碳膜之方法及用於執行該方法之系統 | |
KR100914354B1 (ko) | Pecvd막에 대한 1차 웨이퍼 효과 제거 | |
US20030198754A1 (en) | Aluminum oxide chamber and process | |
JP2006516304A (ja) | 薄膜を層状堆積させるための方法及び装置 | |
KR20090013111A (ko) | 시클로펜타디에닐 금속 전구체들을 이용한 상이한금속-함유막들의 인 시투 증착 방법 | |
JP2021019201A (ja) | 半導体処理システム用シャワーヘッドデバイス | |
US20130087093A1 (en) | Apparatus and method for hvpe processing using a plasma | |
JP4356943B2 (ja) | 基板処理装置及び半導体装置の製造方法 | |
KR20240007601A (ko) | 기판 표면 상에 응축 가능한 재료를 증착하는 방법 | |
JP2023098683A (ja) | ガス供給ユニットおよびガス供給ユニットを含む基材プロセッシング装置 | |
KR20090109058A (ko) | 기판 처리 장치 및 반도체 장치의 제조 방법 | |
JP2006216597A (ja) | 基板処理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20130801 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20150729 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20160727 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20170818 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20180730 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20190729 Year of fee payment: 10 |