KR20040085153A - 원자층 침착 장치 및 이의 제조방법 - Google Patents

원자층 침착 장치 및 이의 제조방법 Download PDF

Info

Publication number
KR20040085153A
KR20040085153A KR10-2004-7011192A KR20047011192A KR20040085153A KR 20040085153 A KR20040085153 A KR 20040085153A KR 20047011192 A KR20047011192 A KR 20047011192A KR 20040085153 A KR20040085153 A KR 20040085153A
Authority
KR
South Korea
Prior art keywords
draw
chamber
gas
purge
chemical
Prior art date
Application number
KR10-2004-7011192A
Other languages
English (en)
Other versions
KR100979575B1 (ko
Inventor
스네오퍼
Original Assignee
썬듀 테크놀로지스 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 썬듀 테크놀로지스 엘엘씨 filed Critical 썬듀 테크놀로지스 엘엘씨
Publication of KR20040085153A publication Critical patent/KR20040085153A/ko
Application granted granted Critical
Publication of KR100979575B1 publication Critical patent/KR100979575B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은, 원자층 침착 챔버("침착 챔버"); 드로우 가스 도입 챔버("DGIC"); 침착 챔버 유동 제한 소자("FRE"); 드로우 가스 공급원; 드로우 공급원 차단 밸브; 드로우 공급원 FRE; 드로우 조절 챔버; DGIC FRE; 드로우-컨트롤 출구; 진공 펌프; 드로우-컨트롤 FRE; 다수의 화학적 가스 공급원; 다수의 부스터 챔버; 다수의 화학물 공급원 FRE; 다수의 화학물 투여 차단 밸브; 다수의 부스터 FRE; 퍼지 가스 공급원; 퍼지 공급원 차단 밸브; 및 퍼지 공급원 FRE를 포함하는, 원자층 침착 시스템에 관한 것이다.

Description

원자층 침착 장치 및 이의 제조방법{ALD APPARATUS AND METHOD}
통상, 박막 침착은 반도체 장치 및 기타 유용한 다수의 장치의 제작에 있어서 실시된다. 공지의 화학침착("CVD") 기술은 반응실에서 반응하여 기판에 원하는 막을 침착시키는 화학적으로 반응성인 분자를 이용한다. CVD 적용에 유용한 분자 전구체는 침착되는 필름의 필수적 (원자적) 구성성분 및, 전형적으로, 추가적 원소를 포함한다. CVD전구체는 실제로는 기상으로 분배되어 기판에서 반응할 수 있는 휘발성 분자이다.
종래의 CVD는 당해 분야에서는 각종 기법으로 실시되어 진다. 원하는 박막 특성 및 비용효과적 조작변수는 장비의 선택, 전구체 조성, 압력범위, 온도 및 기타 변수에 영향을 준다. 다수의 상이한 장치 및 방법이 성공적으로 충족될 수 있다. 대부분의 CVD 기법에 통상적인 것은 CVD 반응기에 하나 이상의 분자적 전구체의 잘 조절된 플럭스를 적용시키는 것이다. 기판은 잘 조절된 압력조건하의 잘 조절된 온도로 유지시켜 부산물의 효율적인 탈착을 수반하는 분자적 전구체들 사이의 화학반응을 촉진시킨다. 상기 화학반응을 진행시키면 원하는 필름 두께를 갖는 원하는 박막을 침착시키는 것을 허용한다.
최적의 CVD 성능은 공정 전반에 걸친 플럭스, 온도 및 압력의 정상상태조건을 달성하고 유지시키는 능력과 직접적으로 관계된다. CVD는 균일하고 순응적인 피복물에 재현할 수 있는 두께 획기적인 품질을 제공한다.
그럼에도 장치 밀도가 증가하고 집적회로장치에 있어서의 장치의 기하학적 구조가 보다 복잡해짐에 따라 탁월한 순응성의 피복특성을 갖는 보다 얇은 막이 필요하게 됨으로써 종래 CVD 기법은 그 한계점에 다다르게 되었으며, 때문에 새로운 기법이 요구되게 되었다. CVD, 원자층 침착("ALD")의 출현하는 변형태는 발전된 박막침착을 위한 탁월한 두께 조절능 및 순응성을 제공한다.
ALD는 종래의 박막 침착공정을 자기종결적이고 자기종결노출시간까지 또는 그 시간을 초과하여 실행되는 경우 하나의 원자층을 침착시키는 단일 원자층 침착단계들로 나누어서 실시된다. 원자층은 전형적으로 약 0.1 분자 단일층 내지 0.5 분자 단일층이다. 원자층의 침착은 반응성 분자 전구체와 기판사이의 화학반응의 결과물이다. 각각의 별도의 ALD 반응침착단계에 있어서 넷(net) 반응은 원하는 원자층을 침착시키고 원래 분자 전구체 내에 포함된 여분의 원자를 제거시킨다.
ALD 적용에 있어서 전형적으로 2개의 분자 전구체는 별도의 단계들에서 ALD 반응기로 도입된다. 예를 들어 화학식 MLx의 금속 전구체 분자는 원자 또는 분자리간드 L과 결합되는 금속 M(여기서, M은 Al, W, Ta 및 Si 등이다)을 포함한다. 금속 전구체는 기판과 반응한다. 이러한 ALD 반응은 기판 표면이 분자 전구체와 직접적 반응하도록 제조되는 경우에만 일어난다. 예를 들어, 기판표면은 전형적으로 금속 전구체와 반응성인 수소 함유 리간드 AH를 포함하도록 제조된다. 기상 전구체 분자는 기판표면상의 모든 리간드와 효과적으로 반응하여 금속 : 기판의 원자층 침착물을 생성하며, 여기서 침착은 반응식 AH + MLx→기판-AMLx-1+ HL(여기서, HL은 반응 부산물이다)에 따라 이루어집니다. 반응도중 초기 표면 리간드 AH가 소비되며 표면은 금속 전구체 MLx와 추가적으로 반응할 수 없는 리간드 L로 커버링된다. 따라서 표면 상의 초기 리간드 AH가 AMLx-1종으로 대체되는 경우 반응이 자기 종결된다.
반응단계에 이어서 전형적으로는 반응실로부터 금속 전구체를 제거시키는 불활성 가스 퍼지 단계가 다른 전구체의 별도의 도입단계 이전에 실시된다.
이어서 제 2의 분자 전구체를 사용하여 금속 전구체에 대한 기판의 표면 반응성을 복원시킨다. 이것은 예를 들어 리간드 L을 제거하고 리간드 AH를 재침착시킴으로써 실행된다. 이 경우에 있어서 제 2 전구체는 전형적으로는 원하는 (통상 비금속성) 원소 A(즉, O, N, S) 및 수소(즉, H2O, NH3, H2S)를 포함한다. 반응식 기판-ML + AHy→기판-M-AH + HL의 반응(여기서, 화학반응은 단순화시키기 위해서 밸런싱되지 않았다)은 표면을 AH-커버되는 것으로 역으로 전환시킨다. 원하는 부가적인 원소 A는 막으로 도입되며 원치 않는 리간드 L은 휘발성 부산물로서 제거된다. 기판상의 반응성 부위가 완전히 배기되는 경우 반응은 다시 한번 반응성 부위(이러한 시간, L 종결된 부위)를 소비한다. 이어서 제 2의 분자 전구체는 제 2 퍼지 단계에서 불활성 퍼지 가스를 유동시킴으로써 침착 챔버로부터 제거된다.
기판 표면을 초기 반응성 상태로 복원시키는 표면 반응 및 전구체 제거의 순서가 전형적인 ALD 사이클이다. 초기 조건으로의 기판의 복원은 ALD의 핵심적인 양상이다. 이것은 막이 화학적 동력학, 사이클 당 침착, 조성 및 두께의 모든 면에서 동일한 대등한 계량된 순서로 적층될 수 있음을 의미한다. 자기 포화 표면반응은 AlD로 하여금 수송 불균일성(transport nonuniformity)을 둔감하게 한다. 이러한 수송 불균일성은 유동 시스템의 한계 혹은 엔지니어링에 속하거나 표면 형상(topography)(즉, 3차원의 높은 종횡비 구조로의 침착)과 관련될 수 있다. 화학물의 불균일한 플럭스는 오로지 상이한 영역에서 상이한 완결시간을 초래할 수 있다. 그러나, 각 반응은 전체적인 기판 표면상에서 완결되는 경우 상이한 완결 동력학은 불리함을 수반하지 않는다. 이것은 반응을 완결하는 첫 번째 영역이 자기 종결하는 반면, 표면상의 나머지 영역은 반응을 완결하고 자기 종결하며 본질적으로 캐치 업(catch up)할 수 있기 때문이다.
ALD의 효율적인 실시를 위해서는 MLx내지 AHy로부터의 화학물의 유동을 갑자기 그리고 신속하게 변화시킬 수 있는 장치를 필요로 한다. 또한 장치는 다수 기판의 비용효과적 피복을 촉진시키는 다수 사이클에 효율적이고 신뢰할 수 있는상기 순서를 실행할 수 있어야 한다. 전형적으로, ALD 공정은 ALD 사이클 당 약 0.1nm의 필름을 침착시킨다. 유용하고 경제적 실행이 가능한 사이클 시간은 대부분의 반도체 적용에 있어서 약 3 내지 30nm의 두께 범위, 다른 적용에 있어서는 그 보다 더 두꺼운 필름를 수용하여야 한다. 공업처리표준은 기판이 2 내지 3분내에 처리되어야 함을 규정하고 있으며, 이것은 ALD 사이클 시간이 약 0.6 내지 6초의 범위이어야 함을 의미한다. 여태까지는 다양한 기술적 난점들이 ALD 시스템 및 반도체 장치 및 기타 장치의 제조방법의 비용효과적 실시를 방해했었다.
일반적으로, ALD 공정은 기판에 대한 화학물의 플럭스를 순서대로 교대시키는 것을 요구한다. 위에서 논의한 대표적인 ALD 공정은 4개의 상이한 조작단계를 필요로 한다:
1. MLx반응;
2. MLx퍼지;
3. AHy반응; 및
4. AHy퍼지
짧은 사이클 시간에 대한 필요성이 있는 경우 ALD에 사용하기 적합한 화학적 분배 시스템은 분자 전구체 유동 및 퍼지를 도입하는 것을 보조적인 제 2의 응답시간으로 교대시킬 수 있어야 한다. 또한, 중요한 유동 불균일성이 존재하는 경우 이것들은 반응 단계 시간을 가장 작은 플럭스에 노출되는 영역에 의해 규정된 시간으로 증가시킴으로써 화학반응의 자기종결적 성질을 통해 극복될 수 있다. 그럼에도 불구하고 이것은 사이클 시간이 상응하게 증가하므로 부득이하게 열화된다.
ALD 반응이 주어진 반응온도에서 자기 종결에 도달하는데 필요한 시간을 최소화하기 위해서 ALD로의 화학물의 유동은 최대화되어야 한다. ALD 반응기로의 화학물의 유동을 최대화시키기 위해서는 분자적 전구체를 불활성 가스의 최소 희석 및 높은 압력으로 ALD 반응기 내로 도입시키는 것이 유리하다. 한편, 짧은 사이클 시간을 달성할 필요성으로 인하여 ALD 반응기로부터의 상기 분자적 전구체의 신속한 제거가 요구된다. 신속한 제거는 번갈아서 ALD 반응기에서의 가스 체류시간이 최소화되는 것을 규정한다. 가스 체류시간(τ)은 반응기의 용적(V) 및 압력(P)에 비례하고 유동(Q)에 반비례하며, 수학식 τ= VP/Q로 나타낼 수 있다. 따라서, ALD 반응기에서의 압력(P) 강하는 낮은 가스 체류시간이 되기 쉽게 하고 ALD 반응기로부터의 화학물 전구체의 제거(퍼지) 속도를 증가시킨다. 대조적으로, ALD 반응시간을 최소화하는 경우 ALD 반응기 내부에서 높은 압력을 사용하여 ALD 반응기로의 화학물 전구체의 유동을 최대로 하는 것이 요구된다. 또한, 가스 체류시간 및 화학물 용량 효율은 유동에 대해 반비례한다. 유동을 낮추면 효율이 증가하는 반면, 가스 체류시간을 증가시키기도 한다.
ALD 장치가 존속시키는 것은 반응시간을 단축시키고 화학물 이용효율을 개선시키는 것의 필요성과, 한편으로는 퍼지 가스 체류시간과 화학물 제거시간을 최소화시킬 필요성 사이에서 다투게 된다. 종래 기술의 특정한 ALD 시스템은 다수의 밸브의 동조 작동을 이용하는 화학물 분배 매니폴드를 함유한다. 이러한 시스템에 있어서, 완전한 동조성을 갖는 밸브 작동 자체가 실제로 불가능하기 때문에 만족스러운 유동 일탈의 제거가 불가능하다. 결과적으로 화학물 혼합을 불리하게 하는 가스의 역류를 발생시키는 부득이한 유동일탈이 현저하다.
따라서, 짧은 반응시간 및 양호한 화학물 이용효율을 달성하고, 역류를 방지하면서 퍼지 가스 체류시간 및 화학물 제거시간을 최소화시킬 수 있는 ALD 장치에 대한 필요성이 존재한다.
종래의 ALD 장치가 이용되므로, "메모리" 효과는 ALD 반응기의 효율를 감소시키는 경향이 있다. 이러한 메모리 효과는 흡수 에너지 및 벽 온도에 의해 규정되어 지는 시간 규모에 대해 ALD 반응기의 벽에서 흡수하고, 결과적으로 ALD 반응기 벽으로부터 방출하는 화학물의 경향성에 의해 유발된다. 이러한 현상은 ALD 반응기에서의 화학물의 트레이스 량의 체류시간을 증가시키는 경향이 있다. 결과적으로, 메모리 효과는 화학물의 제거에 필요한 퍼지시간을 증가시키는 경향이 있다. 따라서, 메모리 효과를 최소화시키는 ALD 장치에 대한 필요성이 존재하게 된다.
막은 화학물에 노출되는 종래의 ALD 장치의 모든 영역에서 성장한다. 특히, 막성장은 노출된 챔버 벽 뿐만아니라 기판에서도 일어난다. 챔버 벽에서의 막 성장은 막의 성장이 ALD 챔버의 벽에서의 증가된 표면적을 생성하는 정도로 ALD 장치의 성능을 변형시킨다. 챔버 벽에서의 막 성장 경향은 챔버 벽의 표면적으로 스케일링한다. 마찬가지로, 증가된 표면적은 챔버 메모리 효과를 추가적으로 연장시킨다. 표면적에서의 증가는 열등한 다공성 막 침착물의 성장에 기인한다. 다공성 침착물을 생성하는 막 성장은 기공 안쪽에서의 화학물 분자의 포집에 의해 챔버 메모리를 연장시킬 수 있다. 따라서, 막 및 침착물의 성장이 최소로 유지되고 발생하는 막 성장이 표면적의 증가나 공극의 성장없이 벽을 효과적으로 커버링하는 고품질 막을 침착시키도록 조절되는 것이 ALD 장치의 기능화에 있어서 필수적이다. 따라서, 막성장을 최소화하고 발생되는 막성장의 조절능을 제공하는 ALD 장치에 대한 추가적인 필요성이 존재한다.
양호하게 최적화된 ALD 장치와 방법은 기판 상의 ALD 침착이 일어나는 반응공간에서 ALD 전구체의 적절한 최소 공존을 유지하도록 설계된다. 대조적으로, ALD 전구체의 역의 공존은 실제로는 ALD 반응공간으로부터의 시스템 공간 다운스트림에서 불가피하기는 하지만, 처리량이 현저하게 손상되지는 않는다. 역의 공존은 오로지 상당히 다량을 퍼지함으로써 방지할 수 있으며, 이로써 ALD 시스템의 처리량을 상당히 희생시키게 된다. 전형적으로 챔버 공간내에 공존하는 ALD 전구체는 열등한 막을 생성하는 경향이 있다. 결과적으로, 처리량 최적화된 ALD 시스템은 ALD 공간 바로 밑의 다운스트림인 공간에 열등한 고체 침착물을 성장시키는 경향을 감수해야 한다. 열등한 막 성장은, 열등한 막이 증가된 표면적을 존재하게 하여 전구체의 공존을 증강시킴으로써 문제를 악화시키므로 더욱 나쁘게 증가한다. 화학물의 일부는 ALD 공간과 가까운 다운스트림인 화학물의 일부가 ALD 반응단계로 되먹임되므로(예: 확산에 의해) ALD 성능이 열화한다. 또한, 기판상에서의 입자들의 열등한 침착물을 생성한다. 따라서, 피크 처리량으로 조작된 종래의 ALD 시스템은 오염의 신속한 증강 및 ALD 성능의 신속한 저하로 귀결된다.
처리량 최적화된 ALD 시스템은 ALD 반응공간으로부터 중간인 다운스트림인 전구체 공존에 의해 특징지워지며, 이것은 이러한 시스템을, 막의 불가피한 다운스트림 침착물이 적합한 품질 및 바람직한 위치에 대해 능동적으로 조절되는 것을 규정하는 길고 비용효과적인 유지에 대해 피크 성능으로 유지시키게 된다. ALD 공간으로부터의 다운스트림인 국부화된 전구체 어베이트먼트(abatement)는 또한 펌프, 밸브 및 게이지와 같은 다운스트림 성분들의 마모를 실질적으로 감소시킨다.
냉각 및 고온 트랩은 다운스트림 유출물로부터 바람직하지 않은 성분들을 대기압 이하에서 어베이트먼트에 광범위하게 사용되며 이것은 당해 기술분야에 공지되어 있다. 플라즈마 어베이트먼트 장치 및 체류시간 연장 트랩과 같은 다른 기법이 상기 목적에 효과적이다. 다수의 어베이트먼트 용액을 각종 상이한 시스템에서의 효과적인 사용에 적합할 수 있는 "턴-키" 장비로서 시장에서 구입할 수 있다. 전형적으로, 이러한 어베이트먼트 장치는 영구적으로 (예를 들어 고체 막을 침착시키는 화학반응에 의해) 또는 일시적으로 반응성 성분들을 효과적으로 포집하기 위한 희생적 어베이트먼트 표면을 제공한다. 이러한 트랩 대다수는 원칙적으로는 ALD 시스템의 다운스트림으로 적용될 수 있다. 그러나, 안전상의 고려 및 어베이트먼트를 최적화된 ALD 시스템으로 솔기없이 일체화시킬 필요성은 최선의 어베이트먼트 기법의 실행가능성 및 비용효과성을 현저히 제한한다.
원칙적으로, 안전에 대한 관심은 냉각 트랩에 의한 ALD 전구체의 화학적 어베이트먼트를 방해한다. ALD 전구체들 사이의 반응을 용이하게 하는 고온 트랩의 실행은 열등한 막의 성장을 방지하는 조건들의 신중한 설계 및 조절을 요구한다. 전형적인 ALD 전구체 조합들, 예를 들어 Al2O3ALD 막을 침착시키는데 사용되는 전구체 TMA 및 H2O의 특정한 특성은 조절에 대해 특이적이고 어려운 고온 트랩 공정 조건들로 설계한다. ALD 조건하에서의 어베이트먼트는 허용불가능한 처리량 페널티를 수반하며, 어베이트먼트공간에서의 반응물들의 공존은 주어진 대로이다. 따라서, 열등한 Al(OH)3침착을 방지하는 것이 곤란하다. 고품질의 Al2O3침착물의 성장을 촉진시키는 Al(OH)3성장의 억제는 H2O 수준이 매우 낮은 수준으로 유지되는 것을 요구한다. 이러한 작업은 H2O의 낮은 반응성이 고 처리량 공정에서 과량의 용량을 규정하므로 사소한 일은 아니다. 온도상승은 TMA 열분해를 피하는 350℃ 미만으로 제한된다. TMA 열분해는 탄소화되고 약간 열등한 알루미나 침착물의 성장을 촉진시킨다.
마찬가지로, 다른 ALD 전구체 시스템을 정밀하게 검사하면 전형적으로 AHy유형인 전구체가 과잉으로 사용됨므로써 문제있는 옥시클로라이드 및 아민 염과 같은 열등한 침착물을 생성하는 것으로 나타난다. 따라서, 불행하게도 ALD 전구체 조합들은 예외적인 품질의 ALD 막을 침착시킬 수 있지만, CVD 조건하에서, AHy전구체의 농도가 높은 전형적인 배기 조건하에서 반응시키는 경우 열등한 막을 생성한다는 것이 전형적인 관측이다. 일반적으로, CVD 침착물의 품질은 온도를 상승시키고 AHy전구체의 농도를 매우 낮은 수준으로 유지시킴으로써 개선시킬 수 있다.
일반화된 ALD 어베이트먼트 용액은 많은 상이한 유형의 ALD 공정에 적합해야 한다. 미국특허 출원 공보 제 2002/0187084 호에는 기판에서와 실질적으로 동일한반응 조건으로 유지된 희생 물질에 과량의 반응물을 도입하는 것을 포함하는, ALD 반응 공정으로부터 배출된 가스상 물질을 제거하는 방법을 기술하고 있다. 그러나, 최적의 ALD 생산량이 손상되지 않게 된다면, 어베이트먼트 공간내의 조건은 ALD 공간내의 조건으로부터 한정적으로 벗어나야 한다. 특히, ALD 공간이 고품질의 ALD 막을 성장시키도록 최적화되어도 어베이트먼트 공간내에 ALD 전구체가 공존하면 저품질 막의 침착이 촉진될 수 있다. 어베이트먼트 표면의 실제 용량은 어베이트먼트 표면이 매우 고다공성의 소자로 제조되거나 어베이트먼트 용적이 매우 큰 용적으로 제조되도록 한다. 어느 쪽이든, 생성되는 어베이트먼트 공간은 비-고체 생성 ALD 전구체를 축적시키는 경향이 있는데, 이는 이들 전구체가 생산량 최적화 ALD 공정에서 항상 과다량으로 사용되기 때문이다. 예를 들어, TMA 및 H2O로부터 Al2O3를 침착시키는 ALD 공정에서 사용되는 H2O 전구체는 실질적으로 높은 분압까지 어베이트먼트 공간내에 축적되어 저품질 막의 침착을 촉진시킬 수 있다. 저품질 막의 침착이 과다하게 되면 이러한 H2O의 잠재적인 축적이 증대될 것이며, 축적된 H2O가 반응 공간내로 다시 확산되면 ALD 성능이 저하될 수 있다. 따라서, 미국특허 출원 공보 제 2002/0187084 호에 기술된 것과 같은 고온 트랩은, ALD 전구체, 전형적으로는 과량으로 사용되어야 하는 ALD 전구체의 축적을 제어하기 위한 수단이 제공되지 않는 한, ALD 어베이트먼트를 위한 좋은 선택이 아니다. 또한, 일반적인 어베이트먼트 용액에 있어서는 다양한 조건하에 고품질 막의 침착을 발생시킬 수 있는 일반적인 어베이트먼트 수단을 제공하는 것이 필수적이다.
기존의 CVD, PECVD 및 ALD 시스템에서는, 반응 챔버내에서의 가스 포획 및 가스 유동 장애와 이로 인한 기판 표면에서의 가스 유동 및 가스 압력 불균일이, 침착된 박막의 두께 불균일 및 기타 불리한 특성을 초래한다. ALD에서는, 적절히 긴 투여 시간이 제공되면 화학물 투여 동안의 가스 유동 및 가스 압력 불균일이 반드시 막 불균일을 초래하지는 않는다. 그러나, 가스 포획 및 가스 유동 장애는 종종 퍼지 단계의 효율성에 심각한 악영향을 미친다. 예를 들어, 단일 웨이퍼 가공 챔버의 벽에서 웨이퍼 운송 채널과 결합된 "데드-레그(dead-leg)" 공간은 CVD, 에치, ALD 및 PVD와 같은 웨이퍼 가공의 기술분야에서 공지된 문제이다. 특히, 이러한 공간의 효과적인 ALD 퍼지는 전형적으로 불가능하다. 단일 웨이퍼 침착의 기술분야에서는 상기 문제에 대한 다양한 효과적 개선법이 제시되어 왔다. 예를 들어, 자오(Zhao) 등에게 1996년 9월 24일자로 허여된 미국특허 제 5,558,717 호는 환상 유동 오리피스 및 환상 펌핑 채널의 유리한 수단을 교시하고 있다. 이러한 환상 설계는 비교적 넓은 가공 챔버의 설계를 필요로 한다. 또 다른 예로, 도어링(Doering) 등에게 2001년 1월 16일자로 허여된 미국특허 제 6,174,377 호는 웨이퍼 가공을 높은 척 위치에서 수행하여 웨이퍼 운송 채널 및 이와 관련된 유동 장애를 웨이퍼 높이보다 실질적으로 아래에 남기면서 낮은 척 위치에서 웨이퍼를 적재하기 위해 설계된 ALD 챔버를 기술하고 있다. 이러한 종래의 해결책 및 다른 종래의 해결책은 모두 ALD 시스템에서 기판 운송 기구와 관련된 문제를 해결하는데 충분히 적합하지 않다.
따라서, 화학 침착 공정, 특히 ALD 기술에서는 기판 표면으로의 화학물의 균일하고도 대칭적인 유입을 제공하고 데드 레그 웨이퍼 적재 공간이 없는 원활한 유동 경로 구조를 제공하는 장치가 요구되고 있다.
본 발명은 원자층 침착("ALD") 분야, 보다 특히 고처리량 및 저단가로 ALD를 실행하기 위한 시스템 및 방법에 관한 것이다.
도면을 참조로 하여 본 발명의 보다 완전한 이해가 가능하다:
도 1은 본 발명에 따른 동기식으로 조정되는 유동 드로우("SMFD") ALD 시스템의 기본적 양태의 유동도를 나타낸다.
도 2는 본 발명에 따른 SMFD ALD 반응기 관의 횡단면을 개략적으로 나타낸다.
도 3은 샤워헤드내의 개구-유형 및 바람직한 튜브형인 노즐 어레이 디자인에 대하여 샤워헤드 압력의 계산치를 화학물 투여 유속의 함수로서 플롯팅한 그래프를 나타낸다.
도 4는 샤워헤드 및 침착 챔버에 대하여, 화학물 투여 단계의 개시시에 화학물의 부스터-생성 일시적 펄스가 있을 때와 없을 때의 부분적인 화학물 투여(내부 배출 가스를 화학적 반응물 가스로 치환)를 화학물 투여 시간의 함수로서 플롯팅한 그래프를 기술한 것으로, 이는 화학물 투여 효율에 대한 부스터 챔버의 효과를 나타내고 있다.
도 5는 본 발명에 따른 ALD의 양태에 대한 실제적인 화학적 용도를 당해 기술분야에서 현재 사용되는 전통적인 연속적 유동 공정과 비교한 그래프이다.
도 6은 본 발명에 따른 SMFD ALD 시스템을 통한 가스의 여러 유동 기류를 개략적인 형태로 나타낸다.
도 7은 Q3이 1100sccm인 경우 유속 Q1, Q2 및 Q4의 계산된 시간 의존성을 그래프 형태로 나타낸다(도 6 참조).
도 8은 (도 6의)Q3이 1100sccm인 경우, 침착-챔버 압력, 드로우 챔버 압력 및 그 압력차 ΔP의 시간 의존성을 나타낸다.
도 9는 본 발명에 따른 대표적인 화학물 제거 소자의 디자인을 개략적인 형태로 나타낸다.
도 10은 드로우 조절 챔버의 다운스트림에 분리된 제거 챔버를 포함하는 본 발명에 따른 ALD 시스템의 유동도를 나타낸다.
도 11은 활성 압력 조절 챔버를 포함하는 본 발명에 따른 ALD 시스템의 유동도를 나타낸다.
도 12는 본 발명에 따른 DGIC를 포함하는 대표적인 SMFD ALD 시스템을 개략적인 형태로 나타낸다.
도 13은 본 발명에 따라 사용되는 다중식 포트 가스 수송 밸브를 나타낸다.
도 14는 본 발명에 따른 SMFD ALD 장치 및 방법을 사용하여 Al2O3가 침착된 200mm 회로판 기판상에서 박막 두께를 회로판-위치의 함수로서 플롯팅한 3차원 그래프를 나타낸다.
도 15는 본 발명에 따른 DGIC 및 PSV(닫힘 위치)를 함유하는 ALD 반응기 관의 바람직한 양태의 횡단면을 개략적인 형태로 나타낸다.
도 16은 PSV가 열림 위치에 있는 도 15의 반응기 관을 나타낸다.
도 17은 가공 챔버에서 비-중앙대칭적인 유동에 대하여 고안된 본 발명에 따른 SMFD 시스템을 개략적인 형태로 나타낸다.
본 발명에 따른 실시태양은 상기 문제의 일부를 해결하는데 도움이 된다. 본 발명에 따른 시스템, 장치 및 방법은 화학 공정, 특히 원자층 침착 공정 및 시스템에서 플로우 및 드로우의 동기식 조절("SMFD"; Synchronous Modulation of Flow and Draw)을 제공한다.
원자층 침착("ALD")은 퍼지 동안에 최고의 가능한 침착 챔버 통과 유속을 사용하고 화학물 투여 동안에 최저의 가능한 유속을 사용하여 실시되는 것이 바람직하다. 따라서, 본 발명에 따른 ALD 시스템은 ALD 사이클 동안에 유의적인 유속 조절을 발생시키고 조정한다. 가공 챔버(또는 ALD 챔버 또는 침착 챔버)내로의 가공 가스(불활성 퍼지 가스 또는 화학 반응 가스)의 유동을 본원에서는 "플로우"으로 지칭하고, 가공 챔버로부터의 가스의 유동을 본원에서는 "드로우"로 지칭한다. 정상 상태의 조건하에서는 드로우가 일반적으로 플로우와 일치된다. 순간적인 유동 조건 동안에는 플로우와 드로우가 "부일치"된다.
본 발명에 따른 실시태양의 중요한 양태는 침착 챔버 퍼지 동안의 높은 유속과 화학물 투여 동안의 낮은 유속의 상반되는 요구조건 사이의 통상적인 ALD 시스템의 모순을 해결하는 것이다. 본 발명에 따른 SMFD는 낮은 압력 및 높은 퍼지 가스 유속에서 가공 챔버를 퍼지하며, 순차적으로 화학 반응 가스의 낮은 유속 및 높은 압력에서 가공 챔버에서 화학물 투여를 수행하고 빠른 반응 시간으로 압력 및 가스 유속을 조절하는 능력을 제공한다.
한 양태에서, 본 발명에 따른 방법은 선택된 제 1 투여 유속 및 독립적으로 선택된 제 1 투여 압력에서 침착 챔버를 통해 제 1 화학 반응 가스를 유동시키는 것을 포함하는 제 1 화학물 투여 단계를 수행하고; 둘째로, 선택된 제 1 퍼지 유속 및 독립적으로 선택된 제 1 퍼지 압력에서 침착 챔버를 통해 제 1 퍼지 가스를 유동시킴으로써 제 1 퍼지 단계를 수행하고; 셋째로, 선택된 제 2 투여 유속 및 독립적으로 선택된 제 2 투여 압력에서 침착 챔버를 통해 제 2 화학 반응 가스를 유동시키는 것을 포함하는 제 2 화학물 투여 단계를 수행하고; 넷째로, 선택된 제 2 퍼지 유속 및 독립적으로 선택된 제 2 퍼지 압력에서 침착 챔버를 통해 제 2 퍼지 가스를 유동시킴으로써 제 2 퍼지 단계를 수행하는 사이클을 포함한다. 전형적으로, 제 1 퍼지 가스와 제 2 퍼지 가스는 동일하고, 공통의 퍼지 가스 공급원에 의해 공급된다. 본 발명에 따른 방법의 고유의 특징은 제 1 화학물 투여 단계, 제 1 퍼지 단계, 제 2 투여 단계 및 제 2 퍼지 단계가 사이클의 각각의 반복시 동일하게 유지되는 선택된 제어된 시간 동안 각각 수행된다는 것이다. 전형적인 4단계 사이클은 ALD 공정에서 단일의 박막을 침착시키기 위해 통상적으로 반복되는 많은 또는 수백의 시간이다. 본 발명에 따른 실시태양의 중요한 이점은 사이클의 각 단계의 지속시간 및 결과적으로 사이클의 총 지속시간이 통상적인 ALD 공정 및 시스템에서 실제로 실현가능한 시간보다 전형적으로 훨씬 더 짧다는 것이다. 따라서, 제 1 화학물 투여 단계, 제 1 퍼지 단계, 제 2 화학물 투여 단계 및 제 2 퍼지 단계를 순차적으로 수행하는 것은 전형적으로 이 순서를 3초 미만, 바람직하게는 1초 미만, 더욱 바람직하게는 0.5초 미만 이내에 수행하는 것을 포함한다. 예를 들어, 사이클 시간이 단지 450msec인 본 발명에 따른 방법에 의해 Al2O3의 우수한 ALD 박막이 생성되었다. 양호한 박막 품질을 유지하면서 생산량을 최대화하기 위해, 4단계 각각의 지속시간은 전형적으로 다른 단계의 지속시간과 상이하다. 또한, 각 단계의 유속은 전형적으로 사이클의 다른 단계의 유속과 상이하다. 일반적으로, 제 1 퍼지 유속은 제 1 투여 유속보다 크고, 제 1 퍼지 유속 대 제 1 투여 유속의 비는 전형적으로 1.5를 초과하며, 통상적으로는 20을 초과하고, 바람직하게는 100을 초과한다. 유사하게, 제 2 퍼지 유속은 일반적으로 제 2 투여 유속보다 크고, 제 2 퍼지 유속 대 제 2 투여 유속의 비는 전형적으로 1.5를 초과하며, 통상적으로는 20을 초과하고, 바람직하게는 100을 초과한다.
또 다른 양태에서, 제 1 화학물 투여 단계의 개시는 초기에 제 1 순간 유속에서 제 1 화학 반응 가스를 유동시키는 것을 포함하고, 이 때 제 1 순간 유속은 초기에는 제 1 투여 유속보다 실질적으로 크다. 또 다른 양태에서, 제 2 화학물 투여 단계의 개시는 초기에 제 2 순간 유속에서 제 1 화학 반응 가스를 유동시키는 것을 포함하고, 이 때 제 2 순간 유속은 제 2 투여 유속보다 실질적으로 크다.
또 다른 양태에서, 선택된 제 1 투여 유속 및 독립적으로 선택된 제 1 투여 압력에서 제 1 화학 반응 가스를 유동시키는 것은 침착 챔버내로의 제 1 화학 반응가스의 제 1 투여 유속을 제어하고, 침착 챔버로부터의 제 1 화학 반응 가스의 제 1 화학물 드로우를 제 1 투여 유속과 독립적으로 실질적으로 일치시키는 것을 포함한다. 또 다른 양태에서, 침착 챔버로부터의 제 1 화학 반응 가스의 제 1 화학물 드로우를 독립적으로 실질적으로 일치시키는 것은 침착 챔버의 다운스트림에서 제 1 투여 드로우 압력을 제어하는 것을 포함한다. 또 다른 양태에서, 제 1 투여 드로우 압력을 제어하는 것은 드로우 조절 챔버를 통해 제 1 투여 드로우 가스 유속에서 드로우 가스를 유동시키고, 제 1 투여 드로우 가스 유속을 제어하여 제 1 투여 드로우 압력을 달성하는 것을 포함하고, 이 때 드로우 조절 챔버는 침착 챔버의 다운스트림에 위치한다.
또 다른 양태에서, 선택된 제 1 퍼지 유속 및 독립적으로 선택된 제 1 퍼지 압력에서 침착 챔버를 통해 제 1 퍼지 가스를 유동시키는 것은 침착 챔버내로의 제 1 퍼지 가스의 제 1 퍼지 유속을 제어하고, 침착 챔버로부터의 제 1 퍼지 가스의 제 1 퍼지 드로우를 제 1 퍼지 유속과 독립적으로 실질적으로 일치시키는 것을 포함한다. 또 다른 양태에서, 침착 챔버로부터의 제 1 퍼지 가스의 제 1 퍼지 드로우를 독립적으로 실질적으로 일치시키는 것은 침착 챔버의 다운스트림에서 제 1 퍼지 드로우 압력을 제어하는 것을 포함한다. 또 다른 양태에서, 제 1 퍼지 드로우 압력을 제어하는 것은 드로우 조절 챔버를 통해 제 1 퍼지 드로우 가스 유속에서 드로우 가스를 유동시키고, 제 1 퍼지 드로우 가스 유속을 제어하여 제 1 퍼지 드로우 압력을 달성하는 것을 포함한다.
또 다른 양태에서, 선택된 제 2 투여 유속 및 독립적으로 선택된 제 2 투여압력에서 제 2 화학 반응 가스를 유동시키는 것은 침착 챔버내로의 제 2 화학 반응 가스의 제 2 투여 유속을 제어하고, 침착 챔버로부터의 제 2 화학 반응 가스의 제 2 화학물 드로우를 제 2 투여 유속과 독립적으로 실질적으로 일치시키는 것을 포함한다. 또 다른 양태에서, 침착 챔버로부터의 제 2 화학 반응 가스의 제 2 화학물 드로우를 독립적으로 실질적으로 일치시키는 것은 침착 챔버의 다운스트림에서 제 2 투여 드로우 압력을 제어하는 것을 포함한다. 또 다른 양태에서, 제 2 투여 드로우 압력을 제어하는 것은 드로우 조절 챔버를 통해 제 2 투여 드로우 가스 유속에서 드로우 가스를 유동시키고, 제 2 투여 드로우 가스 유속을 제어하여 제 2 투여 드로우 압력을 달성하는 것을 포함한다.
또 다른 양태에서, 선택된 제 2 퍼지 유속 및 독립적으로 선택된 제 2 퍼지 압력에서 침착 챔버를 통해 제 2 퍼지 가스를 유동시키는 것은 침착 챔버내로의 제 2 퍼지 가스의 제 2 퍼지 유속을 제어하고, 침착 챔버로부터의 제 2 퍼지 가스의 제 2 퍼지 드로우를 제 2 퍼지 유속과 독립적으로 실질적으로 일치시키는 것을 포함한다. 또 다른 양태에서, 침착 챔버로부터의 제 2 퍼지 가스의 제 2 퍼지 드로우를 독립적으로 실질적으로 일치시키는 것은 침착 챔버의 다운스트림에서 제 2 퍼지 드로우 압력을 제어하는 것을 포함한다. 또 다른 양태에서, 제 2 퍼지 드로우 압력을 제어하는 것은 드로우 조절 챔버를 통해 제 2 퍼지 드로우 가스 유속에서 드로우 가스를 유동시키고, 제 2 퍼지 드로우 가스 유속을 제어하여 제 2 퍼지 드로우 압력을 달성하는 것을 포함하고, 이 때 드로우 조절 챔버는 침착 챔버의 다운스트림에 위치한다.
또 다른 양태에서, 선택된 제 1 투여 유속 및 독립적으로 선택된 제 1 투여 압력에서 제 1 화학 반응 가스를 유동시키는 것은 침착 챔버로의 제 1 화학 반응 가스의 제 1 투여 유속을 제어하고, 침착 챔버의 다운스트림에서 드로우 압력을 제어함으로써 침착 챔버로부터의 제 1 화학 반응 가스의 제 1 화학물 드로우와 제 1 투여 유속 사이의 부일치를 독립적으로 의도적으로 발생시켜, 침착 챔버내의 제 1 투여 압력이 압력 전이 기간 동안에 실질적으로 변화되어 부일치를 실질적으로 감소시킴으로써 제 1 화학물 드로우와 제 1 투여 유속을 실질적으로 일치시키는 것을 포함한다.
또 다른 양태에서, 선택된 제 2 투여 유속 및 독립적으로 선택된 제 2 투여 압력에서 제 1 화학 반응 가스를 유동시키는 것은 침착 챔버내로의 제 2 화학 반응 가스의 제 2 투여 유속을 제어하고, 침착 챔버의 다운스트림에서 드로우 압력을 제어함으로써 침착 챔버로부터의 제 2 화학 반응 가스의 제 2 화학물 드로우와 제 2 투여 유속 사이의 부일치를 독립적으로 의도적으로 발생시켜, 침착 챔버내의 제 2 투여 압력이 압력 전이 기간 동안에 실질적으로 변화되어 부일치를 실질적으로 감소시킴으로써 제 2 화학물 드로우와 제 1 투여 유속을 실질적으로 일치시키는 것을 포함한다.
또 다른 양태에서, 침착 챔버를 통해 제 1 화학 반응 가스를 유동시키는 것은 기지의 제 1 공급원 압력을 갖는 제 1 반응 가스 공급원을 제공하고, 제 1 공급원 유동 제한 소자("FRE"; first-source flow restriction element)를 통해 제 1 반응 가스 공급원으로부터 침착 챔버내로 제 1 화학 반응 가스를 유동시키는 것을포함한다.
또 다른 양태에서, 침착 챔버를 통해 제 2 화학 반응 가스를 유동시키는 것은 기지의 제 2 공급원 압력을 갖는 제 2 반응 가스 공급원을 제공하고, 제 2 공급원 유동 제한 소자(FRE)를 통해 제 2 반응 가스 공급원으로부터 침착 챔버내로 제 2 화학 반응 가스를 유동시키는 것을 포함한다.
또 다른 양태에서, 본 발명에 따른 방법은 제 1 화학물 투여 단계를 포함하지 않는 기간 동안에 실질적으로 기지의 제 1 공급원 압력에서 제 1 화학 반응 가스로 제 1 부스터 챔버를 충전하고(이 때, 제 1 부스터 챔버는 제 1 공급원 FRE의 다운스트림 및 침착 챔버의 업스트림에 위치한다), 계속해서 제 1 부스터 챔버와 침착 챔버 사이에서 직렬로 유체 연통되어 있는 제 1 화학물 차단 밸브를 개방함으로써 제 1 화학물 투여 단계를 개시하여 초기에 제 1 순간 유속(제 1 순간 유속은 초기에는 제 1 투여 유속보다 실질적으로 크다)에서 제 1 화학 반응 가스를 유동시키는 것을 또한 포함하는 것이 바람직하다.
또 다른 양태에서, 본 발명에 따른 방법은 제 2 화학물 투여 단계를 포함하지 않는 기간 동안에 실질적으로 기지의 제 2 공급원 압력에서 제 2 화학 반응 가스로 제 2 부스터 챔버를 충전하고(이 때, 제 2 부스터 챔버는 제 2 공급원 FRE의 다운스트림 및 침착 챔버의 업스트림에 위치한다), 계속해서 제 2 부스터 챔버와 침착 챔버 사이에서 직렬로 유체 연통되어 있는 제 2 화학물 차단 밸브를 개방함으로써 제 2 화학물 투여 단계를 개시하여 초기에 제 2 순간 유속(제 2 순간 유속은 초기에는 제 2 투여 유속보다 실질적으로 크다)에서 제 2 화학 반응 가스를 유동시키는 것을 또한 포함하는 것이 바람직하다.
한 양태에서, 본 발명에 따른 장치는 가공 챔버에서의 가스의 플로우, 드로우 및 압력을 동기식으로 조절할 수 있다. 본원에서 "동기식으로"라는 용어는 신속하게 제어되어 연속적으로, 빠른 반응 시간으로, 및 압력 또는 가스 유속의 제어되지 않은 급속한 증대를 최소로 함을 의미한다. 본 발명에 따른 시스템은 가공 챔버("PC")로의 가스의 플로우를 조절하고, 실질적으로 동시에 및 독립적으로 가공 챔버로부터의 가스의 드로우를 상기 플로우와 일치시켜 침착 동안 내내 실질적으로 정상 상태의 압력을 지속시키면서 PC에서의 가스의 유동 및 체류 시간을 실질적으로 조절할 수 있는 장치를 포함한다. 큰 유동 조절을 조정하는 것은 퍼지 및 투여 단계의 독립적인 최적화를 가능하게 하고, SMFD 실시의 중요한 이점이다. 그럼에도 불구하고, 특정한 실시태양에서는 유동 조절 이외에 약간의 제한된 의도적인 압력 조절, 특히 화학물 투여 동안의 목적하는 압력 증가가 실시된다. 이러한 압력 조절은 드로우 조절이 플로우 조절과 동기식으로 약간의 소정의 부일치를 갖는 경우에 달성된다. 잘 설계된 SMFD 장치 및 방법에서는 역플로우를 발생시키지 않는 이러한 부일치은, 압력 전이 기간 동안에 PC내의 압력을 변화시켜 플로우-드로우 일치에 이르게 함으로써 동기식 압력 조절을 수행한다.
다른 양태에서, 장치는 가공 챔버, 가공 챔버로의 가스 유속을 조절하기 위한 가공 챔버에 연결된 가공 가스 도관, 드로우 가스(draw gas)의 유동을 위하여 형성된 드로우 조절 챔버("DC"), 가공 챔버와 드로우 조절 챔버 사이에 직렬 유체 수송이 가능한 가공-챔버 유동 제한 소자("FRE"), 드로우 조절 챔버와 직렬 유체수송이 가능한 드로우 배기 라인 및 드로우 배기 라인과 직렬 유체 수송이 가능한 드로우 조절 FRE를 포함한다. 일반적으로, FRE는 가스 유동 경로에서 특정 전도성(또는 역으로, 저항성)을 제공하도록 고안된다. 다른 양태에서, 시스템은 드로우 조절 챔버를 통하여 드로우 가스의 유동을 조절하기 위한 드로우 공급원 차단 밸브를 포함한다. 또 다른 양태에서, 시스템은 드로우 공급원 차단 밸브 및 드로우 조절 챔버와 직렬 유체 수송이 가능한 드로우 공급원 FRE를 포함한다. 다른 양태에서, 시스템은 가공 가스 도관과 직렬 유체 수송이 가능한 다수의 가공 가스 차단 밸브를 추가로 포함하는데, 각 차단 밸브는 가공 챔버로 가공 가스의 유입을 조절하기 위하여 형성된다. 다른 양태에서, 가공 가스 차단 밸브중 하나는 가공 챔버로의 배출 가스의 유동을 조절하기 위한 가공 챔버와 직렬 유체 수송이 가능한 배기 공급원 차단 밸브를 포함한다. 다른 양태에서, 시스템은 배기 공급원 차단 밸브와 직렬 유체 수송이 가능한 배기 공급원 FRE를 추가로 포함한다. 다른 양태에서, 시스템은 다수의 가공 가스 FRE를 추가로 포함하는데, 각 가공 가스 FRE는 가공 가스 차단 밸브중 하나와 직렬 유체 수송이 가능하다. 다른 양태에서, 시스템은 다수의 부스터 챔버를 추가로 포함하는데, 각 부스터 챔버는 가공 가스 도관과 직렬 유체 수송이 가능하고, 각 부스터 챔버는 가공 가스 차단 밸브중 하나의 업스트림 및 가공 가스 FRE중 하나의 다운스트림에 위치한다. 다른 양태에서, 시스템은 다수의 부스터 FRE를 추가로 포함하는데, 각 부스터 FRE는 부스터 챔버중 하나의 다운스트림에 위치한다. 다른 양태에서, 시스템은 가공 가스 차단 밸브와 가공 챔버 사이에서 직렬 유체 수송이 가능하고 배기 공급원 차단 밸브 및 가공 챔버사이에서 직렬 유체 수송이 가능한 가스 분배 챔버 및 가스 분배 챔버와 가공 챔버 사이에서 직렬 유체 수송이 가능한 가스 분배 FRE를 추가로 포함한다. 또 다른 양태에서, 가스 분배 FRE는 노즐 어레이를 포함한다. 다른 양태에서, 노즐 어레이는 1.5 이상의 외관 비율을 갖는 다수의 노즐을 포함한다.
다른 양태에서, 시스템은 가스 분배 챔버와 직렬 유체 수송이 가능한 드로우 배기 라인 및 드로우 배기 차단 밸브를 추가로 포함하는데, 드로우 배기 차단 밸브는 가스 분배 챔버와 드로우 배기 라인 사이에서 직렬 유체 수송이 가능하다. 다른 양태에서, 시스템은 드로우 배기 차단 밸브와 직렬 유체 수송이 가능한 드로우 배기 FRE를 추가로 포함한다. 다른 양태에서, 몇몇 가공 가스 차단 밸브는 다수의 비-공통 포트 및 다수의 공통 포트를 갖는 다중식 밸브를 포함하고, 각 비-공통 포트는 가공 가스 공급원과 직렬 유체 수송이 가능하고, 다수의 공통 포트는 가공 챔버와 직렬 유체 수송이 가능하고, 하나 이상의 공통 포트는 배기 공급원 차단 밸브와 직렬 유체 수송이 가능하다.
몇몇 바람직한 양태에서, 장치는 가공 챔버와 드로우 조절 챔버 사이에서 직렬 유체 수송이 가능한 드로우 가스 도입 챔버("DGIC"), DGIC로의 이출 가스의 유동을 조절하는 드로우 공급원 차단 밸브, 가공 챔버와 DGIC 사이에 위치한 가공-챔버 FRE 및 DGIC와 드로우 조절 챔버 사이에 위치한 DGIC FRE를 추가로 포함한다. 다른 양태에서, 시스템은 드로우 공급원 차단 밸브 및 DGIC와 직렬 유체 수송이 가능하도록 위치한 드로우 공급원 FRE를 추가로 포함한다.
다른 양태에서, 시스템은 드로우 조절 챔버에 위치한 제거 표면을 포함한다.또 다른 양태에서, 시스템은 화학물 제거를 증진하기 위하여 드로우 조절 챔버로 반응성 가스를 도입하기 위한 반응성 가스 입구를 추가로 포함한다 다른 양태에서, 반응성 가스 입구는 드로우 조절 챔버 가까이에 반응성 가스 공간을 포함한다. 다른 양태에서, 시스템은 제거 챔버를 포함하는데, 제거 챔버는 드로우 조절 챔버의 다운스트림에 위치한다.
다른 양태에서, 시스템은 드로우 조절 챔버의 다운스트림에 위치하고 드로우 조절 챔버 및 드로우 배기 라인과 직렬 유체 수송이 가능한 압력 조절 챔버를 추가로 포함한다. 다른 양태에서, 가공 챔버는 원자층 침착 챔버("ALDC")이다.
다른 양태에서, 본 발명에 따른 시스템은 반응기 용기 벽 및 관 내부를 갖는 반응기 관을 포함하는데, 이때 관 내부에는 침착 챔버, DGIC 및 드로우 조절 챔버가 있다.
다른 양태에서, 본 발명에 따른 시스템은 주변 슬롯 밸브("PSV")를 함유하는 반응기 관을 포함하는데, 이때 주변 슬롯 밸브는 반응기 용기 벽을 통한 기판 수송 슬롯, 반응기 용기 벽내 연속 한계 공동, 연속 한계-밀폐 마개 및 열림 위치와 닫힘 위치 사이에서 밀폐 마개를 움직이기 위한 작동장치를 포함하고, 이때 밀폐 마개는 담힘 위치에서 한계 공동을 향하여 움직이고, 밀폐 마개는 열림 위치에서 한계 공동으로부터 움직이고, 기판 수송 슬롯은 기판 홀더의 기판-지지 표면과 실질적으로 동일 평면상에 있고, 한계 공동은 기판 수송 슬롯과 실질적으로 동일 평면상에 있고, 밀폐 마개가 열림 위치에 있을 때 기판 수송 슬롯은 반응기 용기 벽을 통한 기판 수송 채널을 기판 홀더로 한정하고, 밀폐 마개가 닫힘 위치에 있을 때밀폐 마개는 기판 수송 슬롯을 관 내부로부터 분리한다.
몇몇 양태에서, 가공 챔버(또는 ALD 챔버)내 압력은 동기식 유동-드로우 조정동안 실질적으로 일정하게 유지된다. 본 발명에 따른 다른 양태에서, 고압에서 하나 이상의 화학물 투여 단계를 수행함으로써 작업 처리량 및 물질 활용도가 더욱 향상된다. 예컨대, 몇몇 양태에서, 배출중 압력은 약 30mTorr 내지 약 100mTorr의 범위에서 유지되는 반면, 화학물 투여중 ALD-압력은 200mTorr 내지 1000mTorr의 범위에서 유지된다.
다른 양태에서, 본 발명에 따른 양태는 가공 가스를 가공 챔버로 유동시키고 드로우 조절 가스를, 이 가공 챔버와 직렬 유체 수송이 가능하고 가공 챔버의 다운스트림에 위치하여 가공 챔버의 다운스트림에서 드로우 압력을 조절하는, 드로우 조절 챔버로 유동시킴으로써 가공 챔버내 압력을 조절한다. 다른 양태에서, 드로우 조절 가스의 드로우 조절 챔버로의 유동은 드로우 조절 챔버내 화학물 제거를 촉진하기 위하여 반응성 가스를 유동시키는 것을 포함한다. 다른 양태에서, 드로우 압력은 1기압 미만에서 조절되고, 전형적으로 5Torr 미만에서 조절된다.
본 발명을 도 1 내지 17을 참고로 하여 본원에서 기술하고 있다. 분명하게 하기 위하여, 여러 도면에서 유사하거나 동일한 구성요소에 대하여 동일한 참조 번호를 사용한다. 도 1 내지 17에서 개략적인 형태로 나타낸 구조 및 시스템은 설명적 목적으로만 사용되고 본 발명에 따른 실제적인 구조 및 시스템을 정확히 기술하는 것은 아니다. 더욱이, 본원에서 기술한 양태는 예시적인 것이고 본 발명의 영역을 한정할 의도는 아니며, 본 발명의 범위는 하기 청구항에서 한정된다. 본 발명에 따른 양태는 단일 200mm 회로판 기판상의 ALD 침착 시스템 및 방법을 주로 참고로 하여 기술된다. 본 발명은 보다 크거나 보다 작은 규모에서도 유용하고, 하기에서 논의되는 차원 및 작동 변수는 적당히 샹향 또는 하향 조정될 수 있다.
바람직하게는 원자층 침착("ALD")은 배출중에는 침착 챔버를 통해 가장 높은 가능 유속으로, 화학물의 투여중에는 가장 낮은 가능 유속으로 실시된다. 따라서, 효율적인 ALD 시스템은 유속의 유의한 조정을 일으키고 도모할 수 있다. 정상-상태 조건하에서, 가공 가스(비활성 배출 가스 또는 화학적 반응물 가스)의 챔버로의 유동(본원에서 "유동"으로 칭함)은 가스의 챔버로부터의 유동(본원에서 "드로우"로 칭함)과 일치한다.
유의한 유동 조정을 도모하기 위하여, 본 발명에 따른 시스템은 실질적으로 유동 및 드로우를 일치시킬 수 있다. 예컨대, 대표적인 ALD 순환은 화학물 A 투여, A 배출, 화학물 B 투여 및 B 배출의 과정을 각각 10sccm, 1000sccm, 5sccm 및 1000sccm의 가스 유속으로 포함한다. 드로우가 실질적으로 동일한 유속에서 동기식으로 조정되도록 조절되는 경우, 가공 압력은 실질적으로 일정하게 유지된다.
드로우의 조정이 실질적으로 유동 조정과 일치하지 않는 경우, 시스템은 가공 압력을 유지하지 않는다. 대신, 시스템은 불가피하게 일시적으로 실질적으로 다른 압력으로 되어 유동 및 드로우를 일치되도록 한다.
일반적으로 침착또는 다른 유형의 가공 챔버으로의 유동은, 실질적으로 가공 압력과 독립적으로, 업스트림 매니폴드를 사용하여 조절된다. 드로우는 일반적으로 가공 챔버 출구의 전도성 CPC와 출구를 가로지르는 압력차 ΔP = PPC-PDraw에 의하여 결정된다. 일반적으로, 드로우 조정은 전도성 CPC또는 압력차 ΔP 중의 하나, 또는 둘다를 조정함으로써 이루어질 수 있다. 일반적으로 가공 챔버로부터의 전도성의 조정은, 조절판 밸브라 불리는 기계 장치를 사용하여, CVD, PVD 및 에칭 시스템과 같은 가공 유동 시스템의 분야에서 통상적으로 사용된다. 조절판 밸브는 이러한 시스템에서 정상-상태 압력을 조절하는데 적절히 사용되어 왔으나, 이들은 일반적으로 너무 느려서 SMFD 시스템에서 요구되는 신속한 반응 시간을 도모할 수 없다. 더욱이, 조절판 밸브는 바람직하지 못한 유동 교란을 일으키고 입자를 생성한다. 유동 교란 및 입자 발생 문제를 피하기 위하여, 전형적으로 조절판 밸브는 가공 영역으로부터 상당히 멀리 있는 다운스트림 위치에서 통상적으로 이용된다. 그러나, 바람직한 ALD 시스템 디자인은, 드로우 조절의 위치를 기판 가까이로 하여, ALD 침착 챔버 용적을 최소화한다. 가공 출구의 온도의 변화와 같은, 전도성을 조정하는 다른 수단은 범위에 있어서 상당히 제한적이고 매우 느리다. 최종적으로, 드로우 조정은 진공 펌프의 펌프 속도를 조정함으로써 이루어질 수 있다. 그러나, 바람직한 ALD 스위치 속도에서 사용하는 경우, 유의한 펌프 속도 조정은 느리게 반응하고 또한 상당히 펌프를 마모시킨다.
그러므로, 본 발명에 따른 드로우의 조정에 대하여 가장 적당한 것은 ΔP 조정이다. 가공 챔버 압력 PPC를 실질적으로 일정하게 유지하는 동안의 ΔP의 조정은 PDraw를 조정함으로써 본 발명에 따라 실시된다. 예컨대, 드로우 조절 챔버(DC)는가공 챔버의 다운스트림에 위치하고, 드로우 조절 챔버는 전도성 CDraw를 갖는 출구를 갖는다. 조절 가스를 드로우 조절 챔버로 및 드로우 조절 챔버를 통하여 유동시킴으로써, 가공내 PDraw를 압력 PPC또는 침착과 독립적으로 챔버 업스트림에서 조절할 수 있다. 가스의 드로우 조절 챔버로의 유동은 가공 챔버로부터의 드로우 및 직접 삽입된 드로우 가스 유동의 총합이다. 드로우는 ΔP의 함수이므로, ΔP의 독립적인 조절은 유동과 독립적으로 드로우의 유동으로의 실질적인 일치를 제공한다. 원칙적으로 드로우 챔버는 매우 작게 만들어질 수 있으므로, 특정 양태에서 ΔP의 조정은 msec 이하의 속도로 실시된다. 본 발명의 특정 양태는 소용적 DC 챔버로 유리하게 수행되어 매우 짧은 시간으로 가공 상태를 용이하게 한다. 다른 양태는 드로우 조절 속도를 유리하게 하여 실질적으로 더 큰 드로우 조절 챔버를 제공한다. 수 리터의 용적을 갖는 드로우 조절 챔버는 화학물의 트랩핑 및 제거에 유용하다. 결과적으로, 드로우 조절 반응 시간은 전형적인 200mm 회로판 침착 시스템에서 10msec 내지 20msec 범위에 있으나, 약 3리터의 사용가능한 드로우 조절 챔버 공간의 잇점을 갖는다. 이 "더 느린" 드로우 반응 시간은 전형적으로 화학물 투여 단계의 마지막에 침착 챔버에 약 5 내지 15%의 압력 변동을 일으키나, 모의 시험 및 실제 작동 결과는 이러한 압력 변동이 ALD 실행에 무시해도 좋은 영향임을 나타낸다. 불가피한 가공-압력 변동은 높은 배출 유동의 개시시에 ALD 챔버의 전형적으로 짧은(예: 2msec 내지 4msec) 반응 시간과 드로우 챔버의 더 긴(에: 10msec 내지 20msec) 반응 시간 사이의 차이와 관련이 있다.
특정 실시양태에서, 신속 반응 드로우(draw) 챔버 및 대용적 어베이트먼트 챔버 둘 모두는 작은 드로우 조절 챔버(예컨대 40ml)를 상기 드로우 조절 챔버의 다운스트림에 위치한 분리된 추가의 어베이트먼트 챔버와 함께 사용함으로써 제공된다. 이 경우, 드로우 챔버 FRE상에서의 압력 하락이 바람직하게 크기 때문에, 추가의 어베이트먼트 챔버 내 체류 시간은 대용적 어베이트먼트 챔버를 사용하여 적절하게 길게 유지된다. 예를 들어, 드로우 조절 FRE보다 10의 인자로 압력이 하락하는 경우, 어베이트먼트 챔버는 유사한 효과적인 어베이트먼트를 제공하기 위해 10배 더 커야 하며, 1리터 내지 5리터 대신 전형적으로 10리터 내지 50리터여야 한다. 이러한 크기 비교는 드로우 조절 챔버내의 화학물의 트래핑 또는 어베이트먼트 예상을 위해 대부분의 경우에 적당한 것으로 생각되는 이상적인 SMFD 성능을 보상하는 이점을 설명한다. 추가로, 드로우 챔버가 드로우 제어만을 위해 사용될 경우(상기 챔버가 매우 작다는 것을 의미), 드로우 조절 챔버에서 열등한 막 성장이 일어날 수 없도록 보장하기 위해 ALD 퍼지 단계가 드로우 조절 챔버를 포함하도록 확장하는데 주의를 기울여야 한다. 이는 무시할 수 있는 스루풋 페널티(penalty)를 갖는데(드로우 챔버 유동 경로가 잘 설계되고 드로우 챔버 벽이 적당한 온도로 제어될 경우), 이는 상기 챔버가 전형적인 200mm 웨이퍼 시스템에 대해, 30cc 내지 70cc의 용적을 갖도록 제조될 수 있고, 또한 높은 드로우 유량이 필수적으로 화학물 투여(chemical dosage) 동안 화학물을 희석하는 역할을 하기 때문에 화학물 투여 동안 매우 작은 화학물의 분압에 처해지기 때문이다.
ΔP-조정의 반응 시간은 PDC 조정의 반응 시간과 직접 관련되고, DC 용적,DC 출구의 컨덕턴스 및 유동에 의존한다.
도 1은 본 발명에 따른 동기식 조정된 유동 드로우(SMFD) ALD 시스템(100)의 기본 실시양태의 유동도를 도시한다.
시스템(100)은 압력-안정화된 불활성 퍼지 가스 공급원(101)을 포함한다. 퍼지 가스는 퍼지 공급원 차단 밸브(102) 및 퍼지 공급원 유동 제한 소자(FRE)(103)를 통해 가스 분배 챔버(104)로 공급되고, 상기 챔버는 보통 통상적인 샤워헤드이다. 도 1에 도시된 바와 같이, 퍼지 공급원 차단 밸브(102) 및 퍼지 공급원 FRE(103)는 퍼지 가스 공급원(101)과 가스 분배 챔버(104) 사이에 직렬 유체 연통을 제공한다. 본 명세서에서, 유동 제한 소자(FRE)는 가스가 그를 통해 유동할 때 압력의 감소(step-down)를 야기한다. 순수 화학물 가스, 액체 또는 고체 화학물로부터의 증기, 또는 증기 또는 가스 화학물과 불활성 가스의 혼합물의 형태의 화학물 반응물 전구체가 잘 제어된 압력으로 다수의 화학물 가스 공급원(105,105')에서 제공된다. 화학물 가스 공급원(105)은 화학물원 FRE(106)을 통해 부스터(booster) 챔버(107)와 직렬 유체 연통되게 연결된다. 부스터 챔버(107)는 화학물 투여 차단 밸브(110) 및 부스터 FRE(109)를 통해 가스 분배 챔버(샤워헤드)(104)와 직렬 유체 연통되게 연결된다. 가스 분배 챔버(104)는 부스터 FRE(109), 퍼지 배기(exhaust) 차단 밸브(108) 및 퍼지 배기 FRE(111)를 통해 퍼지 배기 라인(112)에 직렬 유체 연통되게 연결된다. 도 1에 도시된 바와 같이, 화학물 투여 차단 밸브(110) 및 퍼지 배기 차단 밸브(108)는 각각 접합부(123)로부터 분기되는 시스템(100)의 가스 매니폴드 시스템의 평행 분기에 단순 2로 밸브를 포함한다.
도 1에 도시된 바와 같이, 제 2 화학물 가스 공급원(105')이 화학물 가스 공급원(105)과 관련하여 기술된 것에 상응하는 장치로 샤워헤드(104) 및 퍼지 배기 라인(112)에 연결된다.
가스 분배 FRE(113)는 가스 분배 챔버(104) 및 원자층 침착 챔버("침착 챔버")(114) 사이에 직렬 유체 연통을 제공한다. 가스 분배 챔버(104)가 샤워헤드 장치인 바람직한 실시양태에서, 가스 분배 FRE(113)는 통상적으로 노즐 어레이(113)이다. 노즐 어레이는 가스 분배 챔버(104)로부터 침착 챔버(114)(처리될 기판 함유)로의 제한되고 균일한 유동을 제공한다. 침착 챔버(114)는 침착-챔버 FRE(115)를 통해 드로우 조절 챔버(116)로 직렬 유체 연통되게 연결된다. 드로우 조절 챔버(116)는 드로우 조절 FRE(117) 및 진공 라인(118)을 통해 진공 펌프(125)에 직렬 유체 연통되게 연결된 드로우 조절 출구(124)를 포함한다. 드로우 가스 공급원(101)은 드로우 가스 라인(119), 드로우 공급원 차단 밸브(120) 및 드로우 공급원 FRE(121)를 통해 드로우 조절 챔버(116)에 직렬 유체 연통되게 연결된다.
전형적인 ALD 작동 동안, 장치(100)는 본질적으로 두 정적(static) 모드, 즉 퍼지 모드 및 화학물 투여 모드 사이에서 변환(switching)된다. 작동의 두 기본 모드의 대표적인 밸브-설정이 표 1에 제공된다.
명료화를 위해, 정상(steady) 압력 가공의 경우에 대해 SMFD 시스템을 작동시키는 방법을 기술한다. 이전 단락에서 설명한 바와 같이, 이 모드는 통상적으로 임계적 용도에서 양호한 결과를 얻는다. 또한, 전술한 바와 같이, ALD 가공이 전형적으로 적어도 4개의 개별 단계를 갖는 사이클을 포함하고, 상기 사이클이 목적하는 박막을 침착시키기 위해 순서대로 다수회 반복된다는 것을 이해한다. 표 1 및 도 1을 참조하여 기술된 두 모드는 전형적인 4단계 사이클의 화학물 투여 단계 및 퍼지 단계를 나타낸다. 퍼지 모드에서, 퍼지 가스 공급원(101)의 알려진 퍼지 공급원 압력에 대해, FRE(103,113)는 퍼지 가스의 목적하는 유동(Q퍼지)을 퍼지 가스 공급원(101)으로부터 가스 분배 챔버(104)로 전달하고; 이후 가스 분배 챔버(104), 침착 챔버(114) 및 드로우 조절 챔버(116)를 통해 드로우 조절 출구(124)로 전달하고; 이후 FRE(109) 및 퍼지 배기 차단 밸브(108)를 통해 퍼지 배기 라인(112)으로 전달하고, 샤워헤드 압력(PSH 퍼지) 및 ALD 침착-챔버 압력(P114)은 유지되도록 설계된다. 화학물 투여 모드에서, 화학물 가스 공급원(105)의 알려진 압력에 대해, FRE(106,109)는 화학물 반응물 가스를 전형적으로, 바람직하게는 실질적으로 Q퍼지미만인 화학물 투여 유량(QCD)으로 가스 분배 챔버(샤워헤드)(10)에 전달하도록 설계된다. 이러한 더 낮은 유량은 더 낮은 샤워헤드 압력(PSH CD)과 동반한다. 침착 챔버(114)와 가스 분배 챔버(104)의 압력(P114, P104)(전형적으로 mTorr 단위로 측정)이 각각 화학물 가스 공급원(105)의 압력(전형적으로 Torr 단위로 측정)보다 훨씬 낮으므로, FRE(106,109)는 전형적으로 화학물 투여 유량(QCD)이 실제적으로 압력(P114,P104)에 독립적이도록 설계된다. 화학물 투여 모드에서, 알려진 드로우 가스 공급원 압력에서 드로우 가스 공급원(101)은 드로우 가스를 목적하는 드로우 가스 유량으로 FRE(121)를 통해 드로우 조절 챔버(116)로 공급한다. 본 발명에 따르면, 퍼지 가스 공급원(101) 및 FRE(121)에서의 드로우 가스 공급원 압력은 드로우 조절 챔버(116)를 통한 드로우 가스 유량을 결정한다. 이 드로우 가스 유량 및 FRE(117)는 드로우 조절 챔버(116)중의 화학물 투여 드로우 압력을 확립한다. 드로우 압력은 드로우 가스 유량이 증가함에 따라 증가한다. 침착 챔버(114)와 드로우 조절 챔버(116) 사이의 압력차는, FRE(115)의 컨덕턴스와 함께, 미반응 화학물 전구체를 포함하는 처리 가스의 침착 챔버(114) 밖으로의 드로우를 결정한다. 침착 챔버(114)로부터 드로우 조절 챔버(116)로의 및 그를 통한 처리 가스의 드로우는 또한 드로우 조절 챔버(116)를 통한 가스의 전체 유량에, 따라서 드로우 압력에 약간의 기여를 한다. 드로우 조절 챔버(116)중의 화학물 투여 드로우 압력의 증가는 침착 챔버(114)로부터 드로우 조절 챔버(116)로의 및 그를 통한 드로우를 감소시킨다. 역으로, 드로우 조절 챔버(116)중의 화학물 투여 드로우 압력의 감소는 침착 챔버(114)로부터의 드로우를 증가시킨다.
본 발명에 따른 바람직한 실시양태에서, 두 정적 모드, 즉 퍼지 모드 및 정상상태 화학물 투여 모드 이외에, 중요한 전이(transient) 모드를 화학물 투여의초기화로 설계한다. 초기 전이 유량은 부스터 챔버(107)로부터의 화학물 가스 유동에 의해 생성된다. 밸브(110)가 폐쇄될 때 평형화 시간을 주면, 부스터 챔버(107)의 압력은 화학물 가스 공급원(105)의 압력과 같다(P정적 107=P105). 밸브(110)가 개방될 때, 정상상태 조건하에서, (107)에서의 정상상태 압력(PSS 107)은 FRE(106)에 걸친 압력 구배로 인해 P105보다 작다. 차단 밸브(110)가 가동되어 개방될 때, 부스터 챔버(107)에서의 초기 압력은 P105로부터 정상상태 압력(PSS 107)로 하강 전이된다. 화학물 투여 동안 화학물 반응물 가스의 가스 분배 챔버(104)로의 유동은 부스터 챔버(107)에서의 압력 및 FRE(109)의 컨덕턴스에 의해 결정된다. 부스터 챔버(107)에서의 압력 전이는 가스 분배 챔버(104)에서의 압력 전이를 초래한다. 그 결과, 부스터 챔버(107)에서의 압력 전이 동안, 화학적 반응성 가스의 침착 챔버(114)로의 상응하는 유동은 정상상태 유량으로 점진적으로 감소하는 초기 펄스에 합치된다. 동시에, 드로우 조절 챔버(116)중의 드로우 압력은 하향 전이되는 초기 펄스에 합치된다. 전이 시간은 드로우 조절 챔버(116)의 용적, 드로우 유량 및 FRE(117)의 컨덕턴스에 의해 결정된다. (116)에서의 압력 전이 동안, 침착 챔버(114) 밖으로의 드로우는 하향 전이를 따른다. 전이 유동 및 전이 드로우는 각각의 FRE(106,109,117)의 컨덕턴스, 드로우 조절 유량, 및 부스터 챔버(107) 및 드로우 조절 챔버(116)의 용적의 선택적인 설계를 통해 침착 챔버(114)중의 압력-편위(excursion)를 최소화하도록 부합된다. 그러나, 전이-시간 상수가 완벽하게 부합되지 않고 다양한 밸브 가동이 완벽하게 동조화되지 않았을 때에도 압력 편위가 SMFD 시스템(특히 정상-압력에서 작동될 경우)에 의해 내부적으로 억제된다는 것이 본 발명의 중요한 측면이다. 사실, 본 발명의 실시양태중 일부에서 교시되는 바와 같이 SMFD 실행의 주된 이점은 장치 및 공정 불완전성에 대한 편리하고 비용효과적인 불감성이다.
바람직하게는, 투여 단계는 최대화된 화학물 유동률(flux) 및 최소화된 화학물 사용을 위해 설계된다. 극단적인 예로서, 200mm 웨이퍼를 처리하기 위한 전형적인 300cc-400cc ALD 챔버는 200mTorr로부터의 화학물 투여 압력에서 실제적으로 화학물 배기 효과가 없는 채로 유지된다. 따라서, 100% 화학물 투여를 200mTorr에서 수행하는 것은 전형적으로 부스터의 효과를 넘어선 투여 화학물의 무시가능한 추가의 유동을 요구한다. 사실, 본 발명자들은 Al2O3의 ALD를 위해 사용되는 트라이메틸알루미늄("TMA")의 부스터 투여량 너머로의 300℃에서의 추가의 투여의 충격이 200mTorr에서의 미희석된 전구체의 투여 조건하에 2% 미만이라는 것을 실험적으로 밝혀냈다. 따라서, 전형적으로 10sccm 미만의 TMA 정상상태 유동의 실행이 최적화된 ALD 성능을 성취하는데 충분하였다.
화학물 투여 단계 동안의 정상상태 유량은 전형적으로 퍼지 단계 동안 퍼지 가스 유량의 1/10 내지 1/100이다. 따라서, 화학물 투여의 정상상태를 수립하기 위한 시간 규모는 허용될 수 없을 정도로 길다. 이러한 스루풋 페널티를 피하기 위해, 본 발명의 실시양태에 따른 효과적인 화학물 투여 단계들이, 화학물 투여 단계들의 초기 단계에서 화학물의 초기의 빠른 유동을 제공하도록 맞추어진다. 따라서, 초기 유동 전이는 화학물 투여 단계의 반응 시간을 상당히 감소시키는 역할을 한다. 이러한 감소된 반응 시간은 ALD 공정의 효율 및 스루풋을 개선하는데 중요하다. 화학물 투여 단계의 초기 전이 부분 동안, 가스 분배 챔버(104) 및 침착 챔버(114)의 용적은 초기의 고압 및 상응하는 초기의 높은 전이 유량에서 화학물 가스의 펄스에 의해 신속하게 채워진다. 화학물 투여 동안의 초기 전이 유량은 전형적으로 선행하는 퍼지 단계 동안 불활성 퍼지 가스 유동의 퍼지 유량과 부합되도록 조정된다. 따라서, 유동의 연속성이 보존된다. 가스 분배 챔버(104) 및 침착 챔버(114)는 상기 챔버를 통과해 유동하는 화학물 가스의 체류 시간이 짧기 때문에(초기의 높은 화학물 투여 유량에 상응함) 화학물 가스로 신속하게 채워진다. 부스터 챔버 용적 및 부스터 FRE는 화학물 투여의 초기 단계에서 드로우 챔버 압력 증가에 실질적으로 부합되도록 선택적으로 설계된다. 또한 부스터 챔버 용적은 투여 동안 ALD 챔버의 1 압력×용적 내지 2 압력×용적 당량의 규모로 통합된 부스터 노출을 제공하도록 설계된다. 예를 들어, 침착 챔버 용적이 400cc이고 투여 압력이 200mTorr인 경우, 부스터 용적은 0.08 리터×Torr 내지 0.16 리터×Torr의 부스터 노출을 제공하도록 설계된다. ALD 시스템(100)이 화학물 투여 모드로부터 퍼지 모드로 변환될 때, 전이는 보통 훨씬 덜 중요하다. 상대적으로 작은 용적의 가스 분배 챔버(104)는 FRE(103)의 상대적으로 높은 컨덕턴스를 통해 PSH 퍼지으로 신속하게 적재된다. 소-용적 드로우 조절 챔버가 실행될 때, 화학물 투여 드로우 압력으로부터 퍼지-드로우 압력으로의 드로우 조절 챔버(116)중의 압력 강하는 퍼지 체류 시간보다 훨씬 빠르고, 투여로부터 퍼지로의 변환은 수 msec의 시간규모로 일어난다. 그러나, 드로우 챔버의 용적이 큰 경우, 드로우 조절 챔버 압력 강하에 대한 반응 시간은 처리 챔버중의 퍼지 체류 시간보다 길다. 예를 들어, 200mm 웨이퍼상의 ALD에 사용되는 전형적인 공정에서, 처리 챔버중의 체류 시간은 3msec이다. 화학물 투여와 퍼지 사이의 드로우 압력 조정은 전체 드로우 압력의 10% 내지 20% 규모이다. 전형적으로, 1리터 내지 5리터의 드로우 조절 챔버 용적이 어베이트먼트 능력을 시스템에 통합시키는데 편리하다(하기 설명 참조). 따라서, 드로우 챔버 압력 조정은 10msec 내지 20msec의 전형적인 시간 상수를 따른다. 그 결과, 투여 단계의 종말에 일부 가공 챔버 편위를 피할 수 없다. 그럼에도 불구하고, 상기 압력 조정은 측정가능한 성능 페널티를 초래하지 않는다. 또한, 화학물 투여 단계의 초기 펄스의 경우에서와 같이 전이를 의도적으로 생성시킬 이유는 없다. 따라서, 화학물 투여 단계를 종결시키는 것과 관련된 전이 효과는 적다.
전술한 바와 같이, 본 발명에 따른 SMFD ALD 시스템은 퍼지 동안의 높은 유동(및 낮은 압력)(효율적인 단시간의 퍼지를 가능하게 하기 위해), 및 화학물 투여 동안의 낮은 유동(및 높은 압력)(빠른 반응 및 높은 화학물 활용을 가능하게 하기 위해)의 요구 사이의 통상적인 트레이드-오프(trade-off) 문제를 해결한다. 정상-압력 실시양태에서, 시스템은 실질적으로 일정한 처리 압력을 유지할 수 있는 반면 유량은 10의 인자보다 더 크게 조정된다. 사실, 본 발명에 따른 공정 기계설비 및 방법은 정상 압력에서 100의 인자를 초과하는 유동 및 드로우에서의 제어된 조정을성공적으로 생성하는데 사용되어 왔다. 다르게는, 퍼지 및 화학물 투여 단계에 대해 사실상 트레이드-오프 효과 없이 더욱 높은 효율을 얻기 위해 압력 및 유동 둘 다를 조정할 수 있다. 본 발명에 따른 장치 및 방법은 가스의 침착 챔버로의 유동의 조정과 동조되도록 드로우(침착 챔버 외부로의 가스의 유동)을 조정함에 의해 상기 목적하는 능력을 달성한다.
본 발명에 따른 시스템 및 방법의 이점은 본 발명을 실시하는 몇몇 구조 및 기법이 통상적인 부품을 신규한 조합물에 사용하여 본 발명의 실시양태를 저가로 설계하고 사용할 수 있게 된다는 점이다. 예를 들어, 특정 실시양태는 알려진 압력에서 불활성 가스의 집중된 단일 점 공급을 제공하고, 상기 가스는 선택적으로 제어된 방식으로 시스템 내에 유동 제한 소자를 통해 분배된다. 불활성 가스 공급원의 예는 상업적으로 구입가능한 압력 제어기(예컨대 MKS 640A 시리즈) 및 가스 용기이다. 다른 예는 단순 압력 조절기이다. 본 발명에 따른 특정 실시양태에서, 약 100msec의 지속이 화학물 투여 및 퍼지 단계 각각의 실제적인 목표이다. 상응하는 시스템의 가스 동력학은 전형적으로 5msec 미만의 시스템-반응 시간을 목표로 설계된다. 따라서, 밸브(102,120,108,110) 사이 및 다른 화학물원과 연관된 밸브에서의 목적하지 않은 전이 조건, 압력 불안정성, 및 지터(jitter; 제어되지 않고 재현불가능한 지연)를 피하기 위해, 5msec 미만의 속도로 가동될 수 있는 밸브를 사용하는 것이 바람직하다. 밸브(108,110)는 한 화학물원에 대해 한 세트의 밸브에 상당한다. 도 1의 유동도로 표시되는 특별한 실시양태에서, ALD 시스템은 각각의 화학물 가스 공급원에 대해 2개의 이러한 밸브를 포함한다. ALD는 전형적으로2개 이상의 상이한 화학물 가스 공급원을 필요로 한다. 5msec 이하의 가동 속도는 UHP 격막(diaphragm) 공기 밸브의 전형적인 성능보다 빠르다. 그럼에도 불구하고, UHP 솔레노이드 밸브(예컨대, 파커 제너럴 밸브 시리즈(Parker General Valve series) 99, 후지킨(Fujikin) ECV 시리즈), 압전 밸브, 전자 연료 주입기, 비례(proportional) 밸브 및 급속 MFC(예컨대, AE-EMCO의 마크 원(Mach One))을 포함하는 몇몇 유형의 통상적인 기술이 실행에 사용될 수 있다.
본 발명에 따른 실시양태는 FRE를 사용한다. 예를 들어, FRE는 조정가능한 소자(예컨대, 계량 밸브, 비례 밸브, 가열된 오리피스, 급속 MFC) 또는 수동 소자(오리피스, 배플, 모세관, 다공성 매질)일 수 있다. 수동 FRE는 전형적으로 덜 비싸고 조정가능한 FRE보다 더 강건하다. 그럼에도 불구하고, 조정가능한 FRE가 보통 최적화 조정을 위해서는 더 적합하다. 차단 밸브를 함유하지 않는 가스 유동 경로의 부분에서, 요구되는 FRE-컨덕턴스는 바람직하게는 유동 경로의 컨덕턴스로 설계된다. 차단 밸브 및 FRE를 함유하는 가스 유동 경로의 부분에서, 바람직한 설계는 FRE를 차단 밸브와 통합시킨다. 통합된 밸브 FRE에서, 완전 개방시에 필요한 컨덕턴스를 갖도록 밸브를 설계하는 것이 바람직하다. 다르게는, 비례 밸브 및 마크 원 급속 MFC와 같은 장치는 목적하는 컨덕턴스로 개방될 수 있다. 본 발명에 따른 시스템 및 방법의 최적화에서, FRE(103,106,109,111,121)를 조정가능하기 때문에, 정적 퍼지 모드, 정적 화학물 투여 모드 및 화학물 투여 전이 성능의 효율적이고 독립적인 최적화가 가능해진다.
화학물 가스 공급원(105)의 압력은 바람직하게는 편리한 사용을 위해 충분히높도록 1Torr보다 높다. 또한, 화학물 가스 공급원(105)은 화학물 투여 단계 동안 압력을 지탱할 수 있어야 한다.
"챔버" 및 관련 용어는 상대적으로 실질적인 둘러싸인 용적(V)을 하나 이상의 입구 및 출구와 함께 갖는 소자를 지칭하고, 여기서 유용한 유량(Q) 범위내에서 챔버에 걸친(즉, 입구와 출구 사이) 압력 구배(ΔP)는 챔버의 평균 압력(P)보다 상당히 작다. 일반적으로, 본 발명에 따른 실시양태에서, 챔버의 ΔP/P 비율은 V가 약 1000cc이고 챔버를 통과하는 유량(Q)이 약 1000sccm 이하인 경우 0.1보다 작다. 또한, 본 명세서에서, 가스는 챔버 내측에서의 상당한 체류 시간을 갖고, 상기 체류 시간은 τ=VP/Q로 정의된다. 일반적으로, 본 명세서에서, 챔버내의 체류 시간(τ)은 전형적으로 50μsec 이상이다.
대조적으로, "유동 제어 소자"("FRE")란 용어는 무시가능한 용적을 갖고 전형적으로 단지 하나의 입구 및 하나의 출구를 가지며, 여기서 유용한 유량(Q) 내에서 FRE의 입구 및 출구 사이의 압력 구배(ΔP)가 평균 압력(P)에 비해 상대적으로 큰 소자를 지칭한다. 일반적으로, 본 명세서의 FRE에서, ΔP/P 비율은 FRE를 통한 유량(Q)이 약 1000sccm 이하일 경우 0.1보다 크다. 또한, 본 명세서에서, FRE중의 가스의 체류 시간(τ)은 상대적으로 짧아, 일반적으로 50μsec 미만이다.
저항기, 커패시터 및 유도자와 같은 기본 수동 소자를 갖는 전기 회로와 마찬가지로, ΔP=0(비 FRE 특성)인 챔버 및 V=0인 FRE는 실제적으로 존재하지 않는다. 그럼에도 불구하고, 전기 회로의 관례와 유사하게, 챔버 및 FRE를 함유하는 ALD 및 다른 유체-유동 네트워크는 기본 요소에 순수 구성요소 특성을 할당함으로써 실제적으로 설명되고 설계될 수 있다.
따라서, 당업자는 챔버 및 FRE의 이상화된(idealized) 특성을 이용하는 유동 시스템의 기술 및 설계를 이해한다. 예컨대, "가공 챔버"라는 용어는, 유동 시스템에서 유동이 있는 곳이면 압력 구배가 존재하는 것이 명백하지만, 압력 구배가 일반적으로 최소화된 공정 공간을 의미한다. 실제로, 본 발명에 따른 SMFD의 어떤 실시양태에서, 연장된 가공 챔버는 이를 횡단하는 압력 구배를 포함하도록 설계된다.
V = 0인 FRE를 실현시키는 실제적 방법이 없다는 것이 당업자에게 알려져 있지만, FRE는 0의 체적 또는 최소 체적을 갖도록 전형적으로 설계되고 이상화된다. 또한, 구체적으로 언급되지 않는 한, 공정들은 △P를 무시할 수 있는 챔버에서 수행되는 것이 유용한 것으로 이해된다. 다른 한편, 일부 경우에서는, 무시할 수 있는 V(또는 τ)을 갖는 FRE는 실용적이지 못하다. 그러나, 상당한 체적을 갖는 FRE가 성능 불이익 없이 통상적으로 사용된다. 상당한 체적을 갖는 FRE의 특성은 "등가 회로"에 의해 기술된 예컨대 저항을 갖는 인덕터 전기 회로 소자의 전기적 기술(記述)과 매우 유사하게, 불순물 없는 챔버, V, 및 불순물 없는 FRE의 조합에 의해 실용적으로 기술되고 설계된다.
FRE의 체적을 근사 챔버의 "실효" 체적을 증가시켜 설계에 포함될 수 있다. 챔버의 유동-제한 특성에 대한 설명은 챔버의 잔류 컨덕턴스를 다운스트림의 FRE의 컨덕턴스에 첨가하여 "실효 FRE" 컨덕턴스,(여기서,)를 수득해서 이루어질 수 있다.
수개의 직렬 및 병렬 FRE를 실제로 갖는 시스템은 실효 FRE에 의해 나타내어 시스템 기술(記述)을 개선할 수 있다. 예컨대, 모세관 및 밸브-이들 모두는 FRE이다-를 함유하는 라인은 단일 실효 FRE에 의해 나타낼 수 있다. 본 발명에 따른 바람직한 실시양태에서, 유동-저항 특성은 밸브의 구축으로 설계된다.
도 2는 SMFD ALD 반응기 용기(200)의 개략적인 형태의 단면도이다. 명확화를 위해, 당업계의 표준적인 몇몇 장치(200) 성분, 예컨대 웨이퍼-로딩 포트, 웨이퍼 리프트 핀, 및 전기적 피드쓰루(feedthrough)는 도 2에 포함되지 않았다.
가스 분배 챔버(샤워헤드)(201)는 100 mm의 내부 직경을 갖고, 내부 체적 안쪽(internal volume inside)은 높이가 3 mm이다. 샤워헤드는 웨이퍼-기판 표면적의 전부를 덮을 필요는 없다. 따라서, 샤워헤드 체적은 23.6 ㎤이다. 노즐 어레이(FRE)(202)는 침착 챔버(203)로부터 가스 분배 챔버(201)의 바닥을 분리한다. 200 mm 반도체 웨이퍼(204)는 열 전도성 금속(예: W, Mo, Al, Ni) 또는 뜨거운 서셉터 및 처크(chuck)용으로 당업계에서 통상적으로 사용되는 다른 물질로 만들어진 가열된 기판 홀더(205) 상에 위치된다. 뜨거운 플레이트의 주변부(perimeter)는 우수한 열 접촉(또는 한 조각으로 기계처리되어)으로 FRE(206)에 부착된다. FRE(206)은 일련의 방사형 슬롯으로 배치된다. 도 2의 우측부는 슬롯이 없는 단면 부분(207)을 도시한다. 구동 중, 가스는 침착 챔버(203)로부터 침착 챔버 FRE(206)를 통해 드로우(draw) 조절 챔버(208)로 흐른다. 가스는 드로우 조절 챔버(208)로부터 드로우 제어된 FRE(209)를 통해 진공 포트(210)로 흐른다. 드로우가스는 드로우 가스 라인(211), 드로우 공급원 차단 밸브(212) 및 드로우 공급원 FRE(213)을 통해 드로우 조절 챔버(208)로 흘러 드로우 조절 챔버(208)에서의 드로우 압력을 관리한다. 전형적 ALD 구동 중, 드로우 조절 챔버(208)로 가는 드로우 가스의 유속은 선택적으로 제어되어, 제 1 화학물 투여 단계 중 제 1-투여 드로우 압력, 퍼지 단계 중 퍼지-드로우 압력, 및 제 2 화학물 투여 단계 중 제 2-투여 드로우 압력을 다양하게 성취한다. 통상적으로, 퍼지 단계 중의 유속에 비해, 드로우 조절 챔버(208)로 가는 드로우 가스의 유속은 화학물 투여 단계 중에 증가되어 드로우 조절 챔버(208)의 압력(투여 드로우 압력)을 증가시켜, 침착 챔버(203)로부터의 공정 가스(화학 반응 전구체를 포함함)의 드로우를 감소시키므로, 화학물의 폐기물을 감소시키고, 필요한 경우, 침착 챔버(203) 내의 압력 및 화합물의 플럭스를 증가시킨다. 퍼지 단계 중, 드로우 조절 챔버(208)로 가는 드로우 가스의 유속은 전형적으로 보다 낮은 유속으로 다시 감소되어 드로우 조절 챔버(208)의 압력(퍼지-드로우 압력)을 감소시키고, 따라서 침착 챔버(203)로부터 퍼지 가스의 드로우를 증가시켜 보다 높은 퍼지 가스 유속을 수용하고, 필요한 경우 보다 낮은 퍼지 압력을 수용한다. 또한, 화학물 공급원 라인(214), 화학물 공급원 FRE(215), 부스터 챔버(216), 화학물 투여 차단 밸브(217), 퍼지 배기 차단 밸브(218) 및 부스터 FRE(219)가 도 2에 도시된다. 또한, 반응기 용기 벽(221) 및 반응기 용기 상부(222) 사이의 열 전도를 억제하기 위해 배열된 열 장벽(220)이 도시된다. 이런 열 장벽은 챔버 벽(221) 및 샤워헤드(가스 분배 챔버)(201)가 상이한 제어 온도에서 구동되는 실시양태에서 유용하다.
침착 챔버(203)의 체적이 감소됨에 따라 화학물 이용 및 화학물 제거 속도 모두 개선된다. 다양한 기술로 제공된 기판 크기-예컨대 200 mm 및 300 mm 원형 규소 웨이퍼가 반도체 장치 제조에서 통상적으로 사용됨-는 침착 챔버의 크기를 결정한다. 예컨대, 200 mm 원형 웨이퍼의 가공을 위한 침착 챔버는 직경이 적어도 200 mm인 원형 면적을 가져야 한다. 가스-유동 역학은 이런 침착 챔버의 높이가 수 밀리미터를 포함한다는 것을 지시한다. 따라서, 이런 200-mm 침착 챔버의 체적은 일반적으로 약 150 ㎤ 이상, 보다 전형적으로는 300 ㎤ 이상을 포함한다. 전형적으로 희망하는 약 0.6 초의 순환 시간은 화학물 -제거 퍼지 단계에 대한 최대 허용가능한 시간을 약 0.1 초 내지 0.2 초 범위로 제한한다. 이 시간 동안, 침착 챔버 내의 화학물 전구체 반응물의 농도는 1%의 초기 값 미만으로 감소되는 것이 일반적으로 바람직하다. 보다 구체적으로, 화학물 제거 정도는 침착된 필름의 품질에 대한 구체적인 화학 및 구체적인 필요성에 의해 지시된다. ALD 순환의 퍼지 단계 중, 침착 챔버 중의 화학물 농도는 exp(-t/τ)(여기서, t는 화학물 제거 시간임)에 비례한다. 따라서, 1% 미만의 수준으로 성취하기 위해서는, t가 4.6τ 이상으로 길어질 것이 필요하다. 4.6τ< 0.2초가 되도록, VP/Q는 약 0.044 초를 포함한다. 전형적으로 V가 약 300 ㎠ 보다 큰 범위로 한정되는 경우, P/Q는 0.145 초/리터보다 작은 범위로 한정된다. 예컨대, Q가 500 sccm(6.33 리터 Torr/초)이면, 공정 압력은 동일하거나 또는 0.92 Torr 미만이 된다. 계속적인 예로서, 화학물 제거가 0.0001 %로 저하되는 것이 필요한 경우, 퍼지 도중 챔버 내의 압력은 300 mTorr 미만의 범위로 한정될 것이다.
본 발명에 따른 예시적 실시양태에서, 샤워헤드(가스 분배 챔버)는 V SH = 23.6 ㎤의 체적을 포함한다. 샤워헤드 압력은P SH 퍼지 = 500 mTorr를 포함한다. ALD 공간의 체적은 약 400 ㎤을 포함한다. 공정 침착-챔버 지속(steady) 압력은P 114 = 100 mTorr를 포함한다. 퍼지 단계 도중 샤워헤드를 통해 침착 챔버로 가는 퍼지 가스의 유동은Q 퍼지 = 1000 sccm으로 제어된다. 따라서, 퍼지 단계 중 가스 체류 시간, 즉 τ=VP/Q는 샤워헤드 및 침착 챔버 각각에 대해서 1msec 및 3 msec이다. ALD 시스템 및 공정은 400 msec 내지 500 msec의 범위의 순환 시간으로 ALD를 수행하도록 설계된다. 전형적으로 각 순환은 제 1 화학물 투여 단계 후 퍼지 단계, 그 다음 제 2 화학물 투여 단계 후 다른 퍼지 단계를 포함한다. 따라서, 각 단계의 타겟 지속기간은 약 100 msec이다. 퍼지 단계 도중, 이런 예시적 설계 치수 및 구동 조건에서, 예시적 ALD 시스템은 샤워헤드의 100 체적 스윕(sweep) 및 침착 챔버의 30을 초과하는 체적 스윕을 제공한다. 이런 스윕 수치는 통상적인 ALD 기술(예: 1 초 내지 5 초의 퍼지 시간에 대해서 전형적으로 단지 침착 챔버의 3 체적 스윕 내지 10 체적 스윕)을 이용하여 통상적으로 성취되는 수치를 훨씬 초과한다. 퍼지 성능 및 감소된 퍼지 시간과 함께 화학물 이용이 실질적으로 개선된 이들은 통상적인 ALD 방법 및 장치에 대해서 상당한 장점의 SMFD 장치 및 방법을 대표한다.
따라서, 퍼지 단계 중 샤워헤드 컨덕턴스는리터/초로서 계산되고 설계된다. 본 발명에 따른 SMFD ALD 시스템의 바람직한 설계는 샤워헤드 압력에 대한 샤워헤드 유동의 높은 민감성을 포함한다. 따라서, 노즐-어레이 FRE의 노즐은 실용적으로 가능할 정도로 높은 종횡비를 갖도록 설계된다. 예컨대, 직경 100 mm를 갖는 노즐 어레이 플레이트를 횡단하는 600 노즐의 밀집된 패턴에서, 퍼지 단계 도중 노즐 당 가스-처리량은 약 1.67 sccm을 포함한다. 3.125 mm(1/8 인치)의 두께를 갖는 노즐 어레이 플레이트에서, 튜브형 노즐은 약 3 mm의 길이를 갖는다. 노즐 직경의 계산은 하기 푸아줄(Poiseuille's) 식을 이용하여 계산된다:
상기 식에서, 퍼지 가스의 점도는 250℃에서의 N2점도로서 이상화되고, η= 270μpoise이다. 상응하는 가스 처리량은 하기 수학식 2에 의해 계산된다:
비교를 위해, 전형적 샤워헤드 설계에 따라서, 100 mm 직경의 노즐 어레이 플레이트에서 무시할 수 있는 어퍼쳐(aperture) 크기를 갖는 300 어퍼쳐형 노즐을 갖는 샤워헤드 설계를 고려했다. 노즐 당 컨덕턴스, C = Q/△P는 105.6 ㎤/초이다. 노즐의 면적은 하기 수학식 3에 의해 계산된다:
상기 식에서,P SH 퍼지 P 114 는 각각 샤워헤드 압력 및 챔버 압력(Torr)이고, γ= Cv/Cp(가스 열 용량 비)는 250℃에서 N2에서 약 1.4와 같다. T1은 250℃= 523°K로서 취해진 샤워헤드 온도(또한 가스 온도로 추정함)이다.M은 가스의 분자 질량(M N2 = 28 gm/mole로 이상화됨)이다. 이런 다양한 값에서, 수학식 3에 의해 계산된 샤워헤드 노즐의 근사 면적은 A = 4.4x10-3㎠이다. 그러므로, 노즐 직경은 약 750 ㎛를 포함한다. 퍼지 가스 처리량은 수학식 4에 의해 계산된다:
도 3은, 계산된P SH 의 값이 상기 어퍼쳐형 및 바람직한 튜브형 노즐 어레이 설계에 대한Q CD 의 함수로서 플롯팅된 그래프를 도시한다. 도 3은 샤워헤드에서의 튜브형 노즐 어레이 설계는 바람직한 처리량-압력 의존도를 제공한다는 것을 가리킨다. P 대 Q의 두 곡선은 설계에 의해 약 0.5 Torr - 1000sccm 지점에서 교차한다. 그러나, 튜브-노즐 어레이는 안정된 압력-의존 처리량 제어를 10 sccm 미만으로 낮출 수 있게 하지만, 어퍼쳐형 설계는 약 400 sccm 미만의 유속에서 매우 제한된 제어를 할 수 있다. 화학물 투여 단계의 지속적-상태 부분 도중, 샤워헤드에서의 압력은P SH CD 로 저하된다. 이런 감소된 샤워헤드 압력은 ALD 침착 챔버로의 낮은 유동을 생성하고, 이는 본 발명에 따른 침착 챔버로부터 낮은 드로우에 의해 보상된다. 각 100 mTorr의 불순물 없는 화학 반응물 전구체 가스에서, 250℃에서의 화학물 농도는 약 2 x 1015분자/㎤이다. 침착 챔버(체적 400 ㎤)의 전구체 분자의 총 수는 ~ 8 x 1017이다. 침착 챔버는 비-기판 면적을 포함하는 총 1000 cm2의 표면적을 갖는 것으로 산정된다. 전형적 중간 ALD 표면 상의 반응 사이트의 수-밀도는 cm2당 약 1x1014사이트 내지 cm2당 약 7x1014사이트, 또는 침착-챔버-면적 당 약 1x1017사이트 내지 침착-챔버-면적(웨이퍼 상 및 노출된 다른 표면 상) 당 약 7x1017사이트의 범위로 산정된다. 이런 산정에 의해, 정체 (백-필드(back-filled)) ALD 침착 챔버의 완전한 반응 후에 따르는 배기 수준은 약 10% 내지 90%의 범위이다. 화학물의 100% 순수 농도 미만으로 투여되면, 배기 효과는 이와 대응되게 보다 상당하게 된다. 화학물 배기는 ALD 반응의 완결 시간을 연장시킨다. 화학 반응물 전구체 분자의 분압이 배기 때문에 감소되면, 충돌하는 분자의 플럭스는 비례적으로 감소한다. 그러므로, 많은 ALD 공정들이 화학물의 정체(백필(backfill)) 압력과 잘 수행된다 하더라도, 일부 공정들은 화학물이 침착 챔버로가는 화학물 반응성 가스의 한정된 유동에 의해 공급되는 것이 필요하다. 실제로, 일부 시스템은 화학물이 불활성 담체 가스로 희석되어 화학물 전달을 촉진시키는 것이 필요하다. 또한, 고 밀도의 높은 표면적 장치 특성을 갖는 웨이퍼, 예컨대 역학적 랜덤 접근 메모리(DRAM) 제조에서, 보다 실질적인 배기 효과를 전형적으로 일으킨다.
화학물 투여 단계 도중, 표면에 도달하는 분자의 플럭스는 250℃에서의 각 100 mTorr의 불순물 없는 화학 반응물 압력에 대해서 약분자/㎠/초이다(여기서, 화학물 전구체의 분자 질량인 M은 대략적으로 100 gm/mole으로 이상화된다). 따라서, 100 msec 펄스 도중, 표면은 cm2당 ~ 1.5x1018충돌에 노출된다. 경험적으로, ALD 반응은 cm2당 1x1016충돌 내지 cm2당 3x1017충돌의 노출 범위 내에서 포화(> 99% 반응됨)로 접근한다. 따라서, 100 mTorr의 공정 압력이 전형적으로 적당하다. ALD 화학물 투여 단계의 시간 조절(timing)은 비교적 짧지만(예: 100 msec), 요구되는 노출은 비교적 적다. 포화 노출 수준은 특정 반응의 구체적 반응 스티킹 계수,σ R 에 좌우된다. 반응 스티킹 계수는 다음 수학식 5 및 6에 따른 실제 플럭스에 대한 성공적인 표면 반응의 비에 의해 정의된다:
상기 식에서,n은 cm2당 반응 사이트의 수-밀도를 가리키고, k는 반응 속도이다. 예컨대, 금속 전구체 트리메틸알루미늄은 약 300℃에서 Al2O3의 ALD 도중 중간 Al-OH 표면과 반응하고 포화시키기 위해 전형적으로 약 3x1016분자/cm2의 노출을 필요로 한다. ALD 반응은 다음과 같이 나타낸다:
, 및
상기 식에서,BULK는 중간 기판을 의미한다. 반응 사이트의 수-밀도는 3.9 x 1014에서 산정된다. 따라서, 반응 스티킹 계수는σ R = 5x 3.9x1014/노출 = 약 0.064이다{여기서 exp(-5) = exp[-노출 xσ R /(3.9x1014)] ~ 6.7x10-3은 포화의 정의로 채택된다(즉, 반응은 반응 사이트의 99%를 초과하여 진행한다)}. 대부분의 금속 ALD 전구체는 0.006 내지 0.08의 범위의σ R 값을 갖는다. 전형적인 비금속 전구체, 예컨대 H2O, NH3, H2S 등은 반응성이 보다 적고, 이들의σ R 값은 전형적으로 약 0.001 내지 0.005의 범위이다. 이런 저 반응성-스티킹-계수를 갖는 것을 의미한다.
웨이퍼에 근접한 지역에서의 화학물 배기가 100℃를 초과하는 가스 온도 및1 Torr 미만의 공정 압력에서 확산에 의한 화학물 수송에 비해 무시할 수 있다는 것을 의미한다. 그러므로, 전이 층 및 확산-제한된 수송의 존재는 전형적으로 고려되지 않는다. 그럼에도 불구하고, 고 밀도의 높은 표면적 특징이 웨이퍼상에 존재하는 일부 경우-예컨대, DRAM 웨이퍼의 경우-에서, 전이층 효과는 기판의 면적에서 국지적 배기를 일으킨다. 따라서, 전형적인 부드러운 표면 노출보다 2 내지 4배로 높은 노출이 이런 국지적 배기 효과를 억제하는데 유용하다.
예로서, 50 sccm의 유동은 배기에 맞서기 위한 화학물 투여 중에 바람직한 것으로 여겨진다. 따라서, ALD 침착 챔버는 100 msec 화학물 투여 단계 도중 2회 스윕되어, 배기 효과를 3배 이하로 억제한다. 50 sccm에서, 샤워헤드 및 ALD 체적의 반응 시간은 각각 20 msec 및 60 msec이다. 300℃에서 Al2O3ALD 도중에 TMA 투여를 유지하기에 충분한 10 sccm 미만의 지속-단계 투여에서, 저 지속-상태 유동 조건 하에서의 투여의 반응 시간은 더 길어진다. 100 msec의 목적하는 화학물 투여 시간에 비하여 이런 시간 반응은 길다. 따라서, ALD 침착 챔버 중의 가스가 100% 불활성 퍼지 가스에서 약 100% 화학물 반응된 가스로 변하는 데 걸리는 시간 때문에, 100 msec 화학물 투여 도중의 화학물 노출은 약 50%(화학물 투여 유속의 50 sccm으로)로 감소된다. 바람직한 실시양태에서, 이 잠재적 문제는 상기 설명된 바와 같이 화학 반응물 가스의 초기의 과도 부양(boost)에 의해 정류된다.
도 4는 부스터-발생된 화학물의 과도 펄스가 있는 경우 및 없는 경우에서, 분획적 화학물 투여(화학 반응물 가스에 의한 불활성 퍼지 가스의 교체)가 샤워헤드 및 침착 챔버에 대한 화학물 투여 시간의 함수로서 플롯팅된 그래프를 도시한다. 곡선은 화학물 투여 단계의 선두 에지(edge)에서 과도 부양을 부과한 장점을 명확하게 보여준다. 50 msec - 100 msec의 유용한 짧은 투여 시간의 범위에서 축적된 노출은 과도 부양이 없는 경우 보다 부스터 과도기를 이용한 경우 두 배 이상 높은 인자이다.
상기에서 기술된 바와 같이, 증강된 펄스를 갖는 화학물-처리량 단계의 시작은 잔류 화학물의 가스제거(outgassing)로부터 역의 퇴적 효과를 감소시키는데 효과적이다. 화학물-처리량 단계의 시작에서 화학적 반응 가스의 유동은 초기에 상대적으로 높고(뒤이은 안정-상태 화학량 유동율과 비교시) 그에 의해 잔류 화학물을 가스제거의 초기의 높은 희석을 제공한다(이미 투여된 화학물로부터). 동시에, 가스제거의 공급원은 전형적으로 균열 및 다른 가스제거 영역내에 필름을 제조하기 위해 처리되는 다수 화학물과 반응한다. 생성 필름이 다공성이 아닐때(일반적으로, 매우 높은 농도의 화학물이 포집되지 않는 경우), 적정 공정에 의해 형성된 필름은 기판-퇴적위에 현저한 역효과를 야기하지 않고 가스제거의 공급원이 필수적으로 적정된다. 이 기작에 따라서, 전이 유동율이 현저하게 감소하고, 가스제거는 또한 전형적으로 비효율적인 수준으로 감소한다. 높은 농도를 갖는 짧은 화학물-처리량 단계는 또한 잔류 가스제거에 의존하는 퇴적 감소에 효율적이다.
도 5는 상기 언급한 바와 같이, 당해분야에서 주로 수행되는 통상적으로 연속적인 유동 공정과 함께 본 발명에 따른 ALD의 양태를 위한 실제 화학물 처리량과 비교한 그래프를 나타낸다. 화합물-처리량 단계당 물질 처리량은 다음 퍼지 단계동안 샤워헤드(showerhead) 및 ALD 공간을 퍼지하는 화합물을 포함한다. 안정 단계 처리량이 50sccm인 경우에 본 발명에 따른 SMFD ALD를 갖는 물질 처리량은 통상적인 ALD보다 5배 이상 더 작다. 훨씬 감소된 화합물 이용에 따라서, 본 발명에 따른 SMFD ALD는 통상적인 ALD에 의해 수득된 수준에 유사한 유동 수준 및 노출을 달성한다. 통상적인 ALD 시스템에서 1000sccm의 연속적인 유동의 유동율은 상기에서 기술된 본 발명에 따른 화학물-퍼지 수행을 달성하지만 매우 높은 화학물 이용의 비용이 든다. 그러나 이러한 높은 화학물 처리율 실행은 퇴적 시스템에 불리하고 따라서 퍼지 최적화 및 처리량 최적화에서 트레이드오프(tradeoff)에 따른, 통상적인 ALD 형태 및 방법은 퍼지 효율성을 포함하고 더낮은 유동율로 조작하게 한다. 더 낮고 더 많이 전형적인 유동율은 통상적인 ALD에 사용될 때, 화학물 이용이 향상되지만 퍼지 수행은 점진적으로 악화된다.
효율적인 ALD의 도전은 효율적인 퍼지 및 효율적인 화학물 처리량에 대한 반대의 요구 때문이다. 이들 중요한 방식 모두가 상당하게 전체적인 효율 및 실질적으로 낮은 유지를 달성함을 포함할 수 있다. 화학물 처리량 단계는 최대화된 전구체 유동과 함께 가능한한 짧게 제작되야한다. 더 높은 농도 및 화학물의 유동, 더 짧은 처리량 단계. 또한, 잔류 화학물의 의존하는 공급원은 처리된 다수의 화학물의 더높은 유동으로 더욱 우수하게 다룰수 있다. 많은 ALD 전구체는 상대적으로 낮은 증기압을 갖고 높은 화학물 유동율의 이동을 어렵게 만든다. 이 관점에서, 본 발명에 따른 양태는 희석되지 않은 화학물을 이동능을 증가시킨다.
화학물의 효율적인 이용 그자체가 화학물 감소 및 챔버 유지에 대해 덜 복잡하고 더욱 실질적인 용액을 제공한다. 바람직하게는, ALD 시스템은 화학적으로 반응성 전구체, 예를 들어 MLx 전구체의 최소한 하나가 감소하도록 고안된다. 이용가능한 실험식 데이터로부터, 본 발명에 따른 바람직한 양태에 TMA 이용은 일반적으로 약 20% 이상이다(웨이퍼위에 얇은 필름을 형성하는 물질용). 따라서, 화학물의 대부분은 임의의 조건하에서 소비된다. ALD 침착 챔버내에 고온의 노출된 영역은 전형적으로 ALD 필름으로 피복된다. 30% 이상이 침착 챔버 내에 비-웨이퍼 표면위에 퇴적되는 것으로 추정된다. 따라서 일반적으로 바람직한 양태에서, 침착 챔버에 도입되는 50% 이하의 화학적 반응 전구체 가스는 드로우(draw)내에 침착 챔버에 남겨진다. 반대로, 통상적인 ALD 시스템에서, 약 10배 또는 이상의 화학물 반응물 가스가 본 발명에 따라 달성되는 것보다 침착 챔버내에 남겨진다.
통상적인 ALD 시스템에서, 유동 및 드로우를 동시에 조정하지 않는 것이 유리하지 않다. 퍼지 효율은 증가된 유동이 잔류 시간의 감소를 크게 제한하는 압력 증가를 야기할 때 증가된 퍼지 유동에 의해 향상될 수 없다. 예를 들어 ax100 증가된 퍼지 유동으로부터 얻어진 최대 잔류 시간 감소는 챔버내에 최대 이론적인 증가와 관련된 인자 x100 및 증가된 챔버 압력과 관련된 전도성이다. 예를 들어, x100 더 작은 유동에서 화학물 처리량은 최소한 인자 10에 의해 처리량 압력의 역 감소를 초래한다. 따라서, 10sccm에 화학물 처리량을 진행하는 통상적인 ALD 시스템 및 100mTorr의 처리량 압력은 퍼지가 1000sccm에서 시도된다면 (최소) 1Torr의 압력이 된다. 일치시키기 위해, 최소한 이론적으로 통상적인 시스템에서 SMFD의 퍼지 수행 및 퍼지 유동율은 100000sccm의 이론적인 최소치로 조정한다. 이론적으로 퍼지 압력은 10Torr에 도달한다( 및 실직적으로 초과한다). 이조건의 유동하에서 및 상당하게 좁은 기판에 걸쳐서 퇴적을 위한 ALD 챔버의 전형적인 외형, 예컨대 200mm 웨이퍼 및 레이롤드(Reynolds) 수는 지나치게 높게되고 수용할 수 없는 격한 유동을 초래한다.
상당한 압력 탈선은 ALD내에서 많은 이유에서 다른 시스템은 필요로하지 않다. 이들은 입자의 높은 수준으로 발생시키고 ALD의 경우에서 역-유동 전이를 유도할 수 있다.
도 1의 논의에서, 화학물 가스 공급원(105)로부터 화학물 반응물 가스는 화학물-공급원 FRE(106), 부스터 챔버(107) 및 부스터(109)를 통해서 흐른다. 화학물-공급원(105)에서 대표적인 압력은 10Torr이다. 따라서 부스터 챔버 107에서 압력은 계속적인 화학물 처리량 사이에 P107=P105=10Torr와 동일한 압력에 도달하는 것이 이상적이었다. 화학물-처리량 단계 동안, 안정-상태 유동이 확립될 때까지 P107은 P105로부터 아래에 머문다. 100msec의 첫 번째 화학물-처리량 단계, 100msec의 퍼지, 100msec의 또다른 화학물 공급원으로부터 두 번째 화학물-처리량 및 두 번째 100msec의 퍼지 단계를 포함하는 주기-순서를 갖는 대표적인 공정에서, 실질적인 화학물 공급원과 계속적인 화학물 처리량 사이의 시간은 300msec이고 이는 또한 부스터 용기 107의 회복 시간이다. 본 발명에 따른 시스템 및 방법의 고안에서, 화학물-처리량에서 해당하는 감소를 초래하는 처리량 공급원 FRE(106), 부스터 챔버(107) 및 부스터 FRE (109)의 조합된 유동 전도성에서 감소는 부스터 챔버(107)에서 안정-상태 압력을 마소시키고 또한 부스터 챔버(107)는 상당하게 300msec의 회복 기간동안 재-압력되는 반응 시간이 증가하는 것을 고려하는 것이 중요하다.
하학물-처리량 유동율이 50msec를 포함하는 본 발명에 따른 대표적인 양태에서, 부스터 챔버(107)에서 안정-상태 압력은 2.24Torr를 포함한다. 부스터 용기로부터 샤워헤드로 처리량은로 계산된다. 포이세우일(Poiseuille) 식을 사용하여 FRE(109)의 외형을 추정할 수 있다.
1cm-길이 FRE에서, 직경(250℃에서 N2에 대해 η=270μpoise)은 약 0.2cm이다. 고안 직경이 0.3125cm(1/8")일 때 길이는 5.3cm이다. 실질적으로, 화학물-처리량 잠금 밸브 110(도 1)은 유동에 일부의 저항에 기여한다. 따라서 FRE 109는 추가된 유동 저항을 제공하는 것만을 필요로한다. 유사한 방식에서, FRE 106의 외형은 하기 식으로부터 계산될 수 있다:
따라서, FRE 106은 직경 0.3125cm(1/8")을 갖는 튜빙의 86com 길이로부터 제조될 수 있다. 그러나, 화학물 이동 라인이 더욱 짧게 제작되기 것이 바람직하게 때문에 FRE 106은 더 짧은 튜빙의 종류에서 더 좁은 모세관에 첨가되어 실행된다.퍼지에 의해 실행될 수 있다.
퍼지 동안에, FRE 109는 퍼지 연소 라인 112로 퍼지된다. 이 퍼지는 동시에 밸브 108을 개방하여 활성화된다. 이 퍼지 라인에서 유동은 FRE 109 및 FRE 111의 조합된 전도성에 의해 영향을 받는다. 버지되는데 필요한 부피가 매우 작기 때문에(예컨대, 1cm3내지 2cm3), 효율적인 버지는 매우 작은 처리량을 수득할 수 있다. 예를 들어, 20sccm은 2msec 내지 4msec 반응 시간을 수득하는 것이 충분하다. 따라서 이 통로에서 유동은 사워헤드 압력 및 침착 챔버 114에서 퍼지 가스의 유동에서 최소 영향을 갖는다. ALD는 일반적으로 두(또는 이상) 화학물-전구체를 실행하게 된다. 하나의 전구체를 갖는 처리량 단계 동안에, 두 번째 전구체, B의 사본에서 샤워헤드 104에서 밸브 108’까지의 라인 부분은 압력화된 사구간에 머무른다. 따라서 모든 화학물 반응물 가스 사본은 바람직하게 후속적인 각각의 화학물-처리량 단계에서 퍼지 단계 동안에 수행된다.
ALD 침착 챔버 114에서 압력이 100mTorr일 때, 예를 들어 침착 챔버 114 및 FRE 117(도 1)를 통하는 퍼기 가스의 대표적인 처리량은 1000sccm이다. 퍼지 동안 펌핑 통로의 전도성은 Cpp=(1/C115+1/C117)-1=Q/(P114-P펌프)이고, 이때 P114=100mTorr 및 P펌프는 펌프에서 압력이다. 펌프에서 압력은 펌프 및 펌핑 속도의 선택에 의존한다. 예를 들어, 1000ℓ/초-2000ℓ/초 펌핑 속도를 갖는 터보분자 펌프는 펌프에서 약 700mTorr 이하의 압력에 대한 적당한 처리량을 제공하는데 유용하다. 200ℓ/초-400ℓ/초의 펌핑 속도를 갖는 분자 드로우 펌프는 약 60mTorr 및 더 높은 속도의범위에서 압력 처리량을 다루는데 유용하다. 높은 처리량을 다루기 위한 펌프, 예컨대 BOC 에드워드(Edward)(공식적으로 세이코 세이코(Seiko Seiko))STPA 1303C, 알카텔(Alcatel) ATH 1600M 또는 ATH 2300M은 본 발명에 따른 양태에서 바람직하다. 본 발명에서 대표적인 계산, 2000ℓ/초 터보펌프 및 6.3mTorr의 펌프 압력이 추정된다. 따라서 Cpp=135ℓ/초의 값이 계산되었다.
FRE 115의 전도성이 유동에서 약 1000ℓ/초 및 해당하는 드로우가 1000sccm일 때, 드로우 조절 챔버 116에서 압력 P116은 P116=0.1-Q/C115=87.3mTorr로서 계산된다. FRE 117의 전도성은 C117=(1/Cpp-1/C115)-1=156ℓ/초로 계산된다. 도 2에 나타나는 바와 같이 전형적인 형상에서 기판 홀더 205는 약 220mm의 직경을 갖는다. FRE 115는 곡선 연장된 슬롯으로서 배열된다. 이들 슬롯의 하나는 웨이퍼 로딩을 조절하는데 사용된다. 세 개의 동일한 슬롯이 넓이 1cm, 직경 220mm인 사다리꼴 공간을 갖는 직경 220mm에 주변길에 따라 위치한다. 각각 슬롯의 넓이는 약 22cm이다. 슬롯의 길이는 1cm로 고려된다. 단일 슬롯의 전도성은 최대로 333ℓ/초이다. 전도성은 직각사각형 대각선에 대한 헤인즈(Heinze)의 표현으로 최대가 된다.
상기 식에서, a는 직사각형의 짧은 넓이이고, b는 긴 넓이이고, ψ는 a/b의 식으로서 윌리암(William) 등의 그래프로 주어진다. η는 523oK 내지 270μpoise에서 N2로 나타낸다. 약 0.4의 a/b를 추정하여 ψ는 약 0.97로 추정된다. 슬롯의 높이, a는 다음으로 계산된다:
이 간격은 좁지만 웨이퍼 로딩 마지막-효과기 및 웨이퍼 핸들링을 위한 웨이퍼를 조절시키는데 충분하다. 슬롯 넓이 비, a/b= ∼0.037이고 ψ= ∼0.97은 추정치와 일치한다(다른 오차 요소 이상으로). 챔버가 약 22cm 이고 높이가 약 1cm인 침착 챔버 203의 전형적인 넓이는 VALD=∼400cm3이다. 예를 들어, 침착 챔버 203의 높이는 부드럽게 웨이퍼 면적에서 1cm 내지 스롯에 0.82cm에 웨이퍼 영역으로 이동한다.
도 2에 FRE 209의 전도성은 사입 207 내지 챔버 벽 221 사이의 간격에 영향을 준다. 또다른 양태에서 삽입 207 내지 챔버 벽 221 사이의 간격은 더욱 작게 고안되고 사입 207의 바닥에서 다른 개방구는 FRE 117에 대한 주된 전도 경로를 제공한다. 전도성의 영역은 수학식 14를 사용하여 계산한다:
FRE 117의 경우에서, 틈 유형 전도성은 P펌프에 실질적으로 독립적인 전도성을 제공하는데 유용하다. 따라서 만약P 펌프 /P 116 이 0.1보다 더 작다면 C117은 실질적으로 압력에 독립적이고ℓ/초로 계산되고 이때, A는 틈의 총 영역이다. FRE 117의 이 특성은 본 발명에 따라서 펌핑 속도 이동에 상대적인 둔감한 SMFD ALD 시스템의 실행하게하고 전형적인 양태에서 활동적인 압력 조절을 불필요하게 한다.
화학물-처리량 단계의 안정-상태 기간동안, 드로우 조절 가스는 드로우 조절 챔버 116내로 드로우 공급원 차단 밸브를 통해서 흐른다(도 1). 드로우 조절 챔버 116에서 드로우 압력은 침착 챔버 114의 화학물 반응 가스의 드로우를 감소를 상승시키고, 이로인해 독립적으로 침착 챔버 114로 화학물의 유동에서 화학물 반응물 가스의 드로우를 맞춘다. 침착 챔버 114내로 화학물 반응물 가스의 안정-상태 유동이 50sccm일 때 드로우 조절 챔버 116에서 화학물-처리량은 드로우 압력이 다음으로 상승해야만한다:
밸브 120을 통한 유동 처리량은 116에서 이 압력을 유지해야만 한다. 드로우 조절 챔버에서 펌프내로 처리량은 Q120=C117(P116-P펌프)=156x(0.0994-0.0063)=14.5ℓTorr/초1150sccm이다. 이 처리량에서 50sccm은 침착 챔버 114로부터 드로우이고 1100sccm은 밸브120을 통해서 흐르는 드로우 가스이다.
본 발명에 따른 잘 고안된 SMFD ALD 시스템의 성능은, 가스의 유속 및 압력의 정확한 제어에 따라 임계적으로 좌우되지는 않는다. 따라서, 잘 고안된 SMFD ALD 장치는 제조 부품 및 시판중인 장치와 구성성분의 표준 및 통상적인 허용오차에 둔감하다. 예를 들어, 드로우 조절 챔버(116)내 화학물 투여 드로우 압력을 증가시키면, 유동 및 화학물 투여 특성에 대한 드로우의 독립적이고 실질적인 일치에 상당한 영향을 미치지 않은 채, 5% 내지 10%로 변할 수 있다. 화학물 투여 동안 화학물의 정류상태 유동은 침착 챔버내 압력에 상대적으로 둔감하다. 정류상태 유동의 요동 또는 드리프트는 둘다 중요하지 않다. 밸브(120)를 통한 드로우 조절 챔버(116)로의 드로우 가스의 유속이 변하거나 상기 유속에서 드리프트가 발생하는 경우, 드로우 체어 챔버(116)내 드로우 압력 및 침착 챔버(114)내 압력 둘다가 동시에 평탄하게 드리프트를 발생하여, 드로우 조절 챔버(116)로의 드로우 가스 유속의 임의의 상당한 드리프트를 상쇄시키며, 단지 공정 압력에 있어서 단지 소량 및 사소한 드리프트만이 발생한다.
본 발명에 따른 시스템 및 방법의 중요한 "자가-보정" 특성은 도 6을 참고하여 설명된다. 도 6은 개략적인 형태로 본 발명에 따른 SMFD ALD 시스템을 통한 가스의 다양한 유동 스트림을 나타낸다. 침착 챔버(114)로의 화합물질의 유속(Q1)은 업스트림 집합체(공급원(101), FRE(103), 공급원(105), FRE(106), 부스터(107), FRE(109), FRE(113))에 의해 특정화되고 침착 챔버(114)내 압력(P114)에 매우 둔감하다. 침착 챔버(114)로부터 드로우 조절 챔버(116)로의 드로우(Q2)는 FRE(115)의컨덕턴스 및 압력 P114및 P116에 의해 결정된다. 밸브(120)를 경유하여 소자(116)에 이르는 드로우 가스의 유속(Q3)는 드로우 조절 챔버(116)내 압력(P116)에 매우 둔감하고, 주로 FRE(121) 및 그 밑의 압력에 의해 결정된다. 드로우 조절 챔버(116)에서 배출되는 가스의 유속(Q4)은 FRE(117)의 컨덕턴스(약 156리터/초) 및 압력 P116및 P펌프에 의해 결정된다. 화학물 투여시의 시스템내 모든 압력 및 처리속도의 시간 의존성 거동은 하기의 간략화된 수학식 16 내지 18를 사용하여 모의된다:
또한, 침착 챔버(114)의 체적은 0.4리터이고, 드로우 조절 챔버(116)의 체적은 3리터이다. 도 6에 나타낸 모델 및 수학식 16 내지 18를 사용하여 계산하였다.
도 7은 Q3이 1100sccm으로 설정되는 경우, 그래프 형태의 Q1, Q2, 및 Q4의 계산된 시간 의존성을 나타낸 것으로, 이는 정확한 계산에 따른 최적의 값에 근접도를 평가하는 것이다. Q4는 화학물 투여 단계의 초기의 과도적 부분 동안의 정류상태의 값을 벗어난다. 이러한 벗어남은 본원의 실시예에서 제공한 Q1 및 P116사이의 부일치에 기인한다. 과도적 가변적인 값은 시스템의 중요 변수(즉, P114, ΔP=P114-P116, Q1, Q2)에 대한 단지 소량의 효과와 실질으로 일치되지 않는다. 도 8은 P114, P116, 및 ΔP=P114-P116의 시간 의존성을 나타낸다. 과도적 부일치으로 인해, 과도적 영역(100 mTorr 내지 약 105mTorr)에서 P114가 약간 증가한다. 이러한 사소한 압력 상승은 평탄하고 시스템 성능에 대해 영향을 미치지 않는다. 이러한 압력 상승은 P116의 과도현상을 보다 느리게 조절함으로써 교정된다. 시스템 성능에 사소한 영향만을 미치기 때문에, 최적화가 실제로 요구되는 것은 아니다. 보다 중요하게는, 도 8은 챔버내 압력을 101mTorr까지 고르게 하고, ΔP=P114-P116가 항상 0보다 큼을 도시한다. 이러한 주요 특성은 드로우 조절 챔버(116)로부터 침착 챔버(114)로의 역류을 방지함을 의미한다. 광범위한 변수에 대해 모의하여 시험한 바와 같이, 역류를 확고히 제거하여, 상기 역류의 확고한 제거는 Q3의 주요 드리프트 및 밸브의 비동시적 발동에 대해 둔감하다.
5개의 상이한 Q3 값; 즉, Q3이 900, 1000, 1100, 1200, 1300 sccm인 경우에 있어서 P114의 모의된 시간 의존성에 대해 추가적으로 계산하였다. P114의 계산된 값은 좁은 범위에서 변하였다. 예를 들어, 100mTorr의 초기 값에서 시작하여, 100msec 이후에, Q3이 1300sccm인 경우에는 P114가 약 118mTorr까지 떨어졌고, Q3이900sccm인 경우 84mTorr까지 떨어졌다. 보다 중요하게는, ΔP=P114-P116의 계산치는, 900 내지 1300 sccm 사이의 모든 Q3의 값에 대해 항상 양수였다. 0인 시간에서, ΔP가 12.5mTorr에서 시작하여, 약 20msec 이후에, ΔP의 계산치가 약 5mTorr 내지 7mTorr였다. 따라서, 챔버내의 압력은, Q3의 40%의 변화에도 불구하고 비교적 좁은 범위에서 평탄한 드리프트를 발생시켰다. 추가로, 압력차 ΔP=P114-P116는 음으로 변하지 않으며, 이는 시스템이 드로우 조절 챔버(116)로부터 뒷방향인 침착 챔버(114)로 역류하는 것을 방지하기 위해 매우 효과적으로 자가-반응함을 의미한다. 모의 결과, Q3의 과도하고 비-실제적인 드리프트에서도, 시스템 성능에 대한 영향은 무시할만 함을 의미한다. 당업계에 공지된 방법 및 장치를 사용하여, Q3은 1100±50sccm로 유지하여 P114의 변동이 100±5mTorr의 범위에 속하도록 할 수 있다. 하기 실시예 1에서 기술하는 바와 같이, 본 발명에 따른 예시적인 실시양태의 작동으로부터 유발되는 실제 실험적인 결과는 모의 거동을 확인시켜 주었다. 사실상, SMFD ALD 시스템은, 투여 압력을 100mTorr 내지 500mTorr의 범위로 제어하면서, 적절하게 수행될 수 있는 400sccm 내지 1500sccm의 범위에서 시험하였다.
본 발명에 따른 실시양태의 모든 주요 양상으로 시스템의 로버스트(robustness)를 확장한다. 예를 들어, 드로우 조절 챔버(116)의 체적에 대한 P114의 민감도를 시험하기 위해서 모의 모델을 계산하였다. 드로우 조절 챔버(116)의 체적(V116)은 1.5리터에서 12리터까지 변하였다. 드로우 가스 유속(Q3)(도 6 참고)은 1100sccm에서 설정하였다. 0인 시간에서 P114를 100mTorr로 개시하여, P114를 95mTorr 내지 115mTorr의 범위로 유지하였다. ΔP=P114-P116의 상응하는 계산치는 사실상 V116에 대한 의존성이 없음을 나타내었다. Q3이 1200sccm인 경우 및 Q3이 900sccm인 경우에 대해 수행한 유사한 계산은 V116에 대해 P114및 ΔP가 유사하게 보다 적게 민감함을 나타내었다. 이러한 계산은 본 발명에 따른 SMFD 시스템이 허용할 수 있는 넓은 범위의 과도적 부일치를 나타내었다.
전술한 모의 계산은 50sccm의 정류상태의 화학물 투여 유속의 값(Q1)를 사용하였다. 추가로, 모의 모델에 의한 계산은 Q1값을 25sccm 및 100sccm으로 설정하였다. 이러한 모의에 있어서, 25sccm 경우에 있어서, V116은 3리터이고이며, 100 sccm 경우에,였다. 드로우 가스 유속(Q3)은 900sccm, 1100("이상적인 경우") sccm 및 1300sccm에 대해 모의하였다. 다양한 모의 조건하에서의 P114의 계산 결과는 모두 약 80mTorr 내지 120mTorr의 범위였고, 이는 시스템이 안정적이고 성능이 확고함을 의미한다. 모의 계산은 또한 밸브(110) 및 밸브(121)의 비-동시적 발동에 의해 유발되는 시스템의 불안정성도 시스템에 의해 흡수되고 그 결과 단지 소량의 P114변동만을 유발함을 나타내었다. ±5msec의 동시성 부일치는 900sccm 내지 1300sccm의 Q3-값의 범위에서의 시스템에 의해 취급된다.
P114의 벗어남은 고안된 값의 10% 이내에서 조절될 수 있다. 이러한 수준에서, 이러한 압력의 트렌지언트는 상당한 임의의 성능 불이익도 유발하지 않는다. 10% 이내의 보다 소량의 압력 상승은 퍼지 동안의 소량의 가스 거주 시간을 증가시킨다. 그럼에도 불구하고, 약 1014×의 퍼지 효율의 관점에서, 퍼지 효율의 상당한 감소(최악의 경우 시험된 모의 조건하에서 약 6×로 모의됨)는 중요하지 않다. 따라서, 화학물 투여 차단 밸브(110, 110')를 밀폐함으로써 유발되는 P114의 트렌지언트는 고안상의 중요한 문제점이 아니다. 그럼에도 불구하고, 이러한 트렌지언트는, 필요한 경우 하기 척도중 하나 이상의 조합을 사용함으로써 효과적으로 교정될 수 있다: (1) 퍼지 가스 수송 라인(101, 102, 103, 도 1 참조)을 2개의 라인으로 나누고, 상기 라인은 1000sccm 불활성 퍼지를 합계가 1000sccm인 동일하거나 상이한 처리량 속도로 나누며; 화학물 투여 잠금 밸브를 동시에 밀폐하는 하나의 퍼지 가스 라인을 가동시키고, 그다음 약간 지연한 후 제 2 의 퍼지 가스 라인을 가동시키고; (2) 드로우 조절 챔버(116)의 체적을 감소시키고; (3) 가스 분배 챔버(샤워헤드)(104)의 체적을 증가시키고; (4) 드로우 공급원 잠금 밸브(120)의 밀폐와 퍼지 공급원 잠금 밸브(102)의 개방 사이의 수 msec를 잘 제어하여 지연시킨다. 예를 들어, 화학물 투여 단계 개시에서의 화학물 투여 밸브(110, 110') 및 드로우 제어 밸브(120) 사이의 동시성은, 0msec 내지 10msec 사이의 지연을 도입함으로써 변하였다. Al2O3를 침착하도록 최적화한 TMA 및 H2O 투여 단계를 500msce 미만의 사이클 순환동안 시험하였다. 시험한 범위에서, 근본적으로 이러한 전체 밸브의 비동시성의 근본적인 영향을 발견하지 못했다.
본 발명에 따른 특정 바람직한 실시양태에서, 압력 조절은 유동 조절과 동시에 수행된다. 예를 들어, 화학물 투여 동안의 보다 높은 압력은 구획(116, 도 6 참조)로의 유동(Q3)를 증가시킴으로써 실시된다. 예를 들어, 모의 계산은 Q3에 대한 침착-챔버-압력, P114의 세미-선형 의존성을 나타내었다. 따라서, P114(mTorr)는 7.5+0.085×Q3의 식에 의해 근사치를 구하였다. H2O 투여의 반응 속도는 TMA이 포화되고 H2O가 포화상태로부터 약 70%인 조건하에서 Al2O3를 침착하는 동안 시험하였다. 정성적으로, H2O 반응 속도는 높은 투여 압력인 경우에 개선되었다. 플럭스를 증가시키고 반응 속도를 높이기 위해서 화학물 투여 단계에서 높은 압력이 유리할 수 있다. 그러나, 대부분의 ALD는 10msec 내지 50msec동안 100mTorr 내지 200mTorr의 범위의 압력에서 포화에 도달한다. 따라서, 압력 조절은 전형적으로 필요하지 않다. 또한, 상이한 화합물질의 상이한 화학물 투여 단계 동안 상이한 압력을 사용하는 동시화 유동 및 압력 조절을 수행하는 것이 가능하고, 이는 예를 들어 드로우 조절 챔버(116)로의 3개(선택가능한) 상이한 드로우 가스 유속을 촉진하기 위해서 120, 121에 평행한 부가적인 드로우 공급원 차단 밸브 및 드로우 공급원 FRE가 요구된다. 평행한 부가적인 차단 밸브 및 드로우 공급원 FRE의 예는 도 10의 ALD 시스템(500)에 도시되어 있다. 시스템(500)은 120, 121에 평행한 드로우 공급원 차단 밸브(530) 및 드로우 공급원 FRE(532)를 포함한다.
ALD 화학은 고안상 고형 필름을 제작한다. 이러한 필름은, 펌프, 밸브 및게이지의 내부에 침착되는 경우, 다운스트림 집합체의 촉진된 열화를 유발한다. 실재 사용 및 비용을 고려할 때, 다운스트림 집합체에서 유지될 수 있는 온도를 200℃ 이하로 제한하고, 전형적으로는 약 100℃ 이하로 제한한다. 따라서, 다운스트림 집합체의 벽은 ALD형 화합물질이 상당히 흡착되기 쉽고 다공성이고 느슨한 침착물이 성장하기 쉽다. 이러한 불량한 침착물은 다운스트림 집합체를 빠르게 막고 시스템내의 심각한 입자 오염을 유발한다. 추가로, 보수과정으로 다운스트림 집합체를 완전히 해체하는 작업이 요구되며, 청소과정이 지루하고 값비싸다.
본 발명에 따른 바람직한 실시양태는, 화학적 어베이트먼트를 제공하여 기판 이외의 표면상에 화학물의 침착과 관련된 문제점을 줄이고 피한다. 효과적인 화학적 어베이트먼트는 침착 챔버를 떠나는 (드로우하는) 공정 가스 스트림내에 존재하는 미반응 화학물을 제거하여, 이로써 보수가 필요없는 다운스트림 집합체를 제공하고 펌프, 밸브 및 게이지의 수명을 연장시킨다. 이는 결과적으로 주요 비용을 감소시키고 가동시간을 연장한다. 통합된 어베이트먼트 시스템은 ALD 시스템의 성능 또는 효율에 영향을 미치지 않고 다양한 고안에 도움을 준다.
본 발명에 따른 SMFD ALD 시스템 및 방법내 침착 챔버로부터 유동하는(드로우하는) 공정 가스 스트림은 통상적인 ALD 시스템에서와 같은 미반응 화학물 반응물 가스의 양의 약 1/10을 함유한다. 이러한 이유에 의해, 본 발명에 따른 실시양태는 거의 완전한 화학적 어베이트먼트를 달성하기 위해 특히 잘 맞는다. 추가로, 드로우 조절 챔버, 예를 들어 도 1의 챔버(116)는 화학적 어베이트먼트에 적당한데, 이는 역류의 확고한 억제, 침착 챔버내 거주 시간으로부터 커플링되지 않는 상당한 연장가능한 거주 시간, 및 가장 중요하게는 불활성 또는 반응성일 수 있고 용이하게 제거가능한 표면 또는 드로우 조절 챔버 내부에 동시적으로 용이하게 세척할 수 있는 표면에 대해 고품질의 필름의 실질적으로 효율적인 침착을 유도하도록 사용될 수 있는 화학물 투여 단계 동안의 가스의 고도의 처리의 유동능에 의해 특징화되기 때문이다.
본 발명에 따른 통합형 화학적 어베이트먼트의 목적은, ALD 침착 챔버로부터 드로우내 가공 가스로부터 반응성 화학물을 제거하여 고형 침착물이 추가로 다운스트림에 불량하게 침착되는 것을 방지하기 위한 잘 정의된 장소 및 잘 정의되고 비용면에서 효율적인 보수가능한 표면을 제공하는 것이다. 따라서, 침착 챔버에 가능한 밀접하게 화학적 어베이트먼트를 수행하는 것이 바람직한데, 이는 유동경로내 침착물의 성장없이 유출물을 추가의 다운스트림으로 수송하는 것이 복잡하고 값비싸고 종종 불가능하기 때문이다. 통합형 어베이트먼트의 목적은 실질적으로 넓은 표면에서 화학물을 고품질의 필름으로 전환시키는 것이며, 이는 어베이트먼트 장치의 능력을 증가시키고 요구되는 보수 사이의 시간을 연장시킨다.
오늘날 공지된 대부분의 ALD 공정은 2종의 상이한 화학물, 예를 들어 MLx및 AHy으로 수행된다. 이러한 화학물중 하나, 전형적으로 MLx(금속 또는 반도체 원자를 함유하는 전구체)는 실질적으로 다른 전구체에 비해 보다 반응성이다. 보다 반응성인 전구체, 예를 들어 MLx가 실질적으로 제거되어 단지 덜 반응성인 전구체 예를 들어 AHy만이 유출-배출구내에 잔류하는 경우, 화학적 어베이트먼트가 효율적으로 달성된다. 편의상, 고형 제조 전구체인 MLx의 제거는 효과적으로는 유출 가스의 나머지를 비활성 및 휘발성으로 만든다. 결과적으로, 고형 침착물의 성장은 효과적으로 억제되는 데, 이는 우수한 ALD 전구체가 정의상 안정적이고 단독으로 반응하거나 분해되지는 않기 때문이다. 금속 전구체인 MLx를 제거함으로써, 다운스트림 집합체내 고형 물질의 축적이 방지된다. 그다음, 통상적으로 공지된 화학물 제거 기법을 사용하여 펌프의 업스트림 또는 다운스트림에서 보다 덜 반응성인 화학물 전구체, 반응 부산물 및 다른 휘발성 화학물을 제거할 수 있다.
전형적으로 단지 하나의 ALD 전구체, 전구체 MLx(예를 들어, 트라이메틸알루미늄)을 함유하는 금속(또는 반도체)는 고형 침착물을 만든다. 종종, 다른 전구체인 AHy(예를 들어, H2O)가 바람직하게는 낮은 반응을 촉진시키기 위해서 높은 압력에서 투여된다. SMFD는, 필요한 경우 AHy투여량을 약 100% 농도까지 만들 수 있다. 따라서, 어베이트먼트 공정은 모든 또는 대부분의 MLx를 소비하고, 전형적으로는 단지 작은 분획의 AHy만을 소모한다.
임의의 실제적인 ALD 시스템은, 본 발명에 따른 통상적인 ALD 또는 SMFD ALD인지 여부에 무관하게, 효율적으로 작동하여 침착 챔버의 외부로 ALD 전구체를 퍼지하는데 있어서 단지 최소의 퍼지 시간만을 제공한다. MLx전구체가 어베이트먼트 공정에 의해 제거될 수 있는 반면, AHy전구체는 어베이트먼트 공간내 축적되는 경향이 있다. 부가적으로, 어베이트먼트 챔버는 비교적 큰 체적을 갖고 또한 높은 표면적을 보유하여 AHy전구체의 축적이 보다 쉽고 퍼지를 보다 어렵게 한다. 일반적으로는 최적화된 높은 처리량의 ALD 공정 동안의 어베이트먼트 챔버내 AHy의 미세한 분압의 축적은 제거할 수 없다. 어베이트먼트 필름(침착물)의 품질은 AHy의 분압에 역으로 좌우된다. AHy의 분압이 높을수록, 필름은 보다 열등해진다. 어베이트먼트의 목적은 고품질의 필름 침착물의 성장을 촉진시키는 것이다. SMFD는 화학물의 투여 도중에 드로우 제어 어베이트먼트 챔버를 통해 드로우 가스의 고도의 희석 유동을 제공하고 퍼지 단계 동안 보다 높은 퍼지 가스의 유동을 제공함으로써, 어베이트먼트 공간내에서, AHy의 분압을 효율적으로 감소시킨다. 따라서, SMFD는 어베이트먼트 필름의 개선된 품질을 위한 기작을 제공한다.
통상적인 ALD 시스템에서, AHy의 분압이 축적되면, 어베이트먼트 챔버로부터 후방의 업스트림인 침착 챔버로 AHy를 반대로 역으로 확산시킨다. 그 결과, AHy는 침착 챔버내에서 불리한 CVD 사인을 나타낼 수 있다. 대조적으로, 본 발명에 따른 SMFD는 AHy의 축적된 분압을 감소시킬 뿐만 아니라 확산을 그만두게 하는 실질적인 전방향 유동을 제공함으로써 드로우 조절-어베이트먼트 챔버로부터 잠재적인 확산을 효과적으로 억제한다.
통합형 화학적 어베이트먼트를 갖는 본 발명에 따른 SMFD의 특정 실시양태는, 드로우 조절 챔버 내부에 위치한 높은 표면적 어베이트먼트 소자상에 CVD 및ALD 반응을 촉진시키기 위해서 ALD 화학물을 사용한다. 예를 들어, 핫 플레이트의 온도 또는 선택적으로 개별적으로 제어된 상승된 온도에서의 드로우 조절 챔버(208, 도 2 참조)의 고온 내벽(225)은 증가된 표면적을 갖는 다공성 금속 또는 세라믹 물질을 사용하여 제조된다. 드로우 조절 챔버(208)내 높은 표면적 및 비교적 긴 거주 시간은 가장 반응성인 화학물의 효율적인, 거의 100%의 소모를 위해 고안된다. 따라서, 대부분의 다운스트림 고형 침착물은 제거가능한 불활성물질 상에 고도로 국소화된 고품질 필름으로 제한되며, 상기 제거가능한 불활성 물질은 동일반응계 이후의 세척을 위해 제거될 수 있거나 챔버(208)의 내부에서 동일반응적으로 세척될 수 있다. 드로우 조절 챔버(208)의 외벽(221)(도 2 참조)은 동일반응계 세척이 실행가능한 것이 아닌 경우 제거가능한 라이너에 의해 보호된다.
어베이트먼트 소자의 고안과 재료 조성 및 다공성은 ALD 공정의 특정한 화학 반응물 및 SMFD 반응기 용기의 고안에 따라 좌우된다. 예시적인 화학적 어베이트먼트 소자(400)의 고안은 도 9에서 개략적인 형태로 나타냈다. 어베이트먼트 소자(400)는 다공도가 50%이고, 공극 크기가 약 50㎛이고 두께가 1/8인치인 다공성 텅스텐을 포함한다. 어베이트먼트 소자(400)는 플레인지(401)를 사용하여 웨이퍼 가열 쳐크(205, 도 2 참고)의 바닥 부분에 부착된다. 2개의 소자(400)가 쳐크(205)에 부착되어 방사형 소자를 형성한다. 2개의 조각이 일치 스텝(405)을 갖는 장소에 가둬지도록 고안된다. 내벽(402)은 환형 드로우 조절 챔버(208, 도 2 참고)의 내벽(225)을 형성한다. 어베이트먼트 소자(400)는 보수 과정동안 빠른 조립 및 해체에 보다 적당한 2개의 무료 부품으로부터 조립된다. 외부 고리(403)(도 2에서도시하지 않음)는 부가적인 공간 개선을 나타내고, 드로우 조절 챔버(208)의 외벽(211)에 밀접하게 위치된다. 고리(402 및 403)은 다공성 W로부터 유사하게 제조된 다수의 핀(404)으로 상호연결된다. 한쌍의 조립된 어베이트먼트 소자(400)는 약 1000㎠ 내지 2000㎠의 거시적 영역(편평한 텅스텐의 영역) 및 약 15,000㎠ 내지 30,000㎠의 실제 공간을 제공한다. 조립쌍은 2리터의 드로우 조절 챔버에 적합하고, 고품질의 Al2O3필름을 성장시키기 위해 일반적으로 사용되는 TMA-H2O ALD 공정 동안 침착 챔버의 외부의 드로우 스트림으로부터 트라이메틸알루미늄(TMA)의 약 99.9%의 포획을 위해 고안하였다.
SMFD 장치를 사용하는 Al2O3의 ALD 침착 및 본 발명에 따른 방법은 배출 가스의 스트림으로부터 TMA의 효율적인 어베이트먼트를 입증해 보였다. SMFD의 우수한 화학물 사용 효율, 및 통상적인 ALD 장치에 비해 SMFD가 어베이트먼트 공간을 통한 불활성 가스의 연속적인 높은 유동의 측면에서 AHy의 낮은 수준을 본래 유지하기 때문에 드로우 조절 챔버내 배치된 어베이트먼트 표면상의 우수한 품질의 Al2O3필름의 성장이 달성되었다. 높은 H2O 투여 조건 및 다소 높은 포화도에서, 어베이트먼트 소자의 일부 영역상에서 불량한 필름의 성장이 일부 관찰되었다. 높은 품질의 어베이트먼트 필름은 투명하고 우수한 간섭 패턴을 가졌다. 대조적으로, 불량한 필름은 백색 분말형 외관을 갖는 경향이 있다. 따라서, 어베이트먼트 장치 및 방법은 드로우 가스의 유속을 증가시켜 추가로 H2O 사용을 개선하는 반면, 동시에 드로우 챔버내 H2O의 부가적인 희석이 제공되었다. 부가적으로, TMA 어베이트먼트는 TMA 투여 스텝 및 TMA 투여 스텝의 완료 직후에 드로우/어베이트먼트 챔버내로 느린 유동의 오존을 주입시킴으로써 개선된다. 후술하는 바와 같이, 이러한 오존 주입은 ALD 공정과 동시에 수행되었다.
드로우 조절 챔버가 작은 부피(예; 약 1 리터)를 갖는 경우, 또는 ALD 전구체가 어베이트먼트 소자(400)에 유지되는 온도에서 충분히 신속하게 반응하지 않는 경우, 또는 더 적은 부분의 어베이트먼트 소자가 AHγ 축적 효과를 감소시키거나(챔버 메모리를 억제함으로써) 비용을 감소시키는 것으로 예상되는 경우, 본 발명에 따르는 실시양태는 ALD 성능을 희생시키지 않고 하기의 몇몇의 방법을 이용하여 어베이트먼트 효율을 증가시키도록 개질된다.
MLx화학물 투여 단계 동안에, 비활성 가스 대신에 또는 그에 부가하여 반응성 어베이트먼트 가스를 드로우 조절 챔버(116)로 유입하는 것은 화학적 어베이트먼트를 향상시킨다. 선택된 반응 가스의 첨가는 반응성 CVD 경로를 제공하여 어베이트먼트 소자 부분에 양질의 고체 박막 침착을 생성함으로써 공정 스트림으로부터 화학물을 제거한다. 예를 들어, TMA의 화학물 투여 중에 드로우 조절 챔버(116)로 오존의 첨가는 어베이트먼트 표면 상에 Al2O3CVD 박막의 효율적인 침착을 일으킨다. 오존 어베이트먼트 공정은 질적으로 더 적은 부분을 유효하게 어베이트먼트하는 반면, 유리하게 경합하여 ALD 전구체간에 바람직하지 않은 CVD 반응을 조절한다. 이러한 접근은 대부분의 ALD 공정에서 가장 반응성(예; 금속) 전구체를 출구로부터 제거하는 것에 의해 용이하게 실행된다. 오존은 발생시키기 쉽고, 다양한 적당한 상업적인 오존-발생기(예; MKS ASTeX AX8400)가 이용가능하다.
드로우 조절 챔버(116)의 가스 동력 또는 잔류 시간에 부정적인 영향을 끼치지 않고, 제 2의 분리된 어베이트먼트 챔버와 함께 더 큰 부피의 어베이트먼트 공간을 제공하는 것은 화학적 어베이트먼트를 향상시킨다. 도 10은 어베이트먼트를 위한 넓은 표면 영역 및 실질적인 가스 잔류 시간을 제공하는 분리된 어베이트먼트 챔버(502)를 포함하는 본 발명에 따른 SMFD ALD 시스템의 개략도이다. 미반응 화학적 전구체를 포함하는 가스는 드로우 조절 챔버(116)로부터 드로우 조절 FRE(117)를 통해 흐른다. 어베이트먼트 챔버(502)에서 화학 반응 후, 가스는 어베이트먼트-챔버 FRE(504)를 통해 진공 펌프(125)로 유입된다. 특정의 실시양태에서, 분리 게이트 밸브가 어베이트먼트 챔버(502) 및 드로우 조절 챔버(116) 사이 직렬 유체 연결되어 어베이트먼트 챔버로 하여금 침착 챔버에서 진공을 깨뜨릴 필요가 없도록 한다. 또한, 전술된 바와 같은 반응 가스는 어베이트먼트 챔버(502)의 효율 및 침착의 질을 추가로 개선시키는데 유용하다.
특정의 실시양태에서, 콘디트 보호 비활성 가스는 드로우 조절 챔버(116) 및 어베이트먼트 챔버(502) 사이의 콘디트 대역에서 침전물의 증가를 방지하는데 이용될 수 있다. 상기 유형의 콘디트 고안은 본원에 참고로 삽입되어 있는 미국 특허 제 5,827,370 호(유판 구(Youfan Gu), 1998년 10월 27일 발행됨)에 기술되어 있다.
어베이트먼트 챔버(502) 내부에 플라즈마를 생성하는 것은 화학적 어베이트먼트를 강화한다. 플라즈마-형성 가스(예; Ar)는 플라즈마의 특성을 개선하는데유용하고 드로우 조절 가스의 일부 또는 전체로서 제공될 수 있다.
도 10에서 시스템(500)은 오존 발생기를 추가로 포함한다. 산소는 산소원(510)으로부터 오존 발생기(512)로 공급되어, 연속적으로 오존으로 부분적 전환된다. O2/O3의 혼합물은 오존 진공 밸브(514) 및 오존-진공 FRE(516)를 통해 진공 펌프(125)로 흐른다. 전구체(예; MLx) 중 하나가 화학물 투여되는 동안 어베이트먼트되어야 하는 경우, O2/O3혼합물은 진공 밸브(514)를 닫고 오존원 밸브(520)를 열어 오존 혼합물이 오존-원 FRE(522)를 통해 어베이트먼트 챔버(502)로 흐르게 함으로써 어베이트먼트 챔버(502)로 흐른다.
본 발명에 따른 SMFD 시스템에서 유지 과정은 적용에 따라 변화한다. 일반적으로, 본래의 위치를 유지하는 것이 바람직하다. 드로우 조절 챔버(116) 및/또는 어베이트먼트 챔버(502)의 본 위치에 유지하는 것은 바람직하게 시스템의 역류-억제 특성을 이용하여 수행되어, 이외의 경우 침착 챔버(114)를 손상시킬 수 있는 챔버(116)의 격렬한 세척을 제공한다. 예를 들어, 규소 또는 텅스텐 화합물은 NF3, ClF3, 및 다른 불소원과 함께 제거될 수 있다. 처음에, 침착 챔버(114) 및 드로우 조절 챔버(116) 내의 침전물을 완만한 공정으로 세척하여 침착 챔버 내부의 민감한 소자(예; 웨이퍼 처크(wafer chuck), 웨이퍼 리프트 핀 등)에 가능한 손상을 방지한다. 침착 챔버가 세척되면, 비활성 가스의 가스 분배 챔버를 통한 느린 유입에 의해 침착 챔버가 보호된다. 그 후, 드로우 조절 챔버(116) 및 어베이트먼트 챔버(502) 내부의 더 많은 침전물은 실질적으로 더욱 격렬한 세척 과정으로 세척되는반면, 역류 억제에 의해 높은 수준의 불소 및 다른 활성 원소가 침착 챔버에 영향을 미치는 것을 막는다.
다른 통상적인 경우, 유용한 ALD 박막(예; Al2O3, HfO2등)은 본 위치에서 세척될 수 없다. 이러한 경우, 제거가능한 삽입물은 침착 챔버로부터 제거되어 깨끗한 삽입물로 교환된다.
전술된 바와 같이, 통합된 어베이트먼트는 유지 계획을 연장시키고 성능 악화를 효율적으로 억제한다. 침전물은 대부분의 경우 벗겨지지 않고 100㎛ 이상의 두께로 성장할 수 있는 양질의 필름에 한정된다. 따라서, 시스템이 본 위치에서 세척될 수 없는 경우에도 수천 개의 웨이퍼는 유지의 중단 없이 처리될 수 있다. 또한, 유지 공정은 일부의 치환 및 재한정을 위해 몇 시간의 중단 시간(down time)인 산업 표준으로 감소된다. 또한, 어베이트먼트는 다운스트림 라인 및 성분으로부터 고체 침전물을 제거한다.
일반적으로, 압력 이외에 임의의 능동적인 조절을 수행할 필요는 없다. 도 6 및 식(16), (17) 및 (18)을 참고로 기술된 바와 같이, SMFD ALD 챔버에서 압력은 복합 FRE 및 조절된 가스 공급원(예; 드로우 가스 공급원(101), 퍼지 가스 공급원(101), 및 화학 가스 공급원(105)(도 1))에 의해 결정된다. 펌핑 속도의 변화가 침착 챔버 압력(P114) 및 가스 유입 및 드로우에 단지 적은 영향을 발생시킨다는 것이 또한 알려져 있다. 따라서, 펌프(예; FRE(117)의 출구(도 1))에서 압력의 능동적 조절은 일반적으로 불필요하다. 그럼에도 불구하고, 필요하거나 바람직한 경우, 능동적인 압력 조절이 진공 펌프에서 기계적인(터보분자, 건조 펌프, 송풍기(root-blower), 등) 펌프 속도를 조절하는 것과 같은 통상적인 기술, 또는 공통의 조절판 장치를 이용함으로써 실행된다. 다르게는, 도 11에 도시된 바와 같이 본 발명에 따르는 시스템(550)은 드로우 조절 FRE(117) 및 진공 펌프(125) 사이의 드로우 조절 챔버(116)로부터 다운스트림에 위치한 압력 조절 챔버(560)를 포함한다. 압력-조절 FRE(562)는 압력 조절 챔버(560) 및 진공 펌프(125) 사이에 위치한다. 비활성 가스(예; 드로우 가스 공급원(101)으로부터의)는 비례 밸브(564) 및 FRE(566)를 통과하여 압력 조절 챔버(560)로 유입된다. 압력은 적합한 압력 계량기(예; 용량 압력계(568))로 측정한다. FRE(117) 다운스트림의 잘 조절된 압력은 펌프 변화에 대해 부가의 안정화를 제공한다. 피드백 조절은 전기적으로 여과된 비교적 느린 변화, 및 임의의 빠른 변화(예; 10초 보다 빠른 범위)를 보상한다.
본 발명에 따르는 유입 및 드로우의 동시 조절은 매우 느린 유속에서 화학물 투여를 가능하게 한다. 일반적으로, 작은 부피의 드로우 조절 챔버는 큰 것에 비해 바람직하다. 첫째, 작은 부피의 드로우 조절 챔버는 시스템에서 빠른 드로우 조절 반응을 제공한다. 둘째, 작은 부피는 큰 부피보다 퍼지 단계에서 더욱 효율적으로 퍼지된다. 예를 들어, 200mm 규소 웨이퍼(약 400cc 부피를 가짐)의 조작을 위해 적합하게 고안된 침착 챔버는 약 100cc의 부피를 갖는 드로우 조절 챔버와 잘 작동한다.
본 발명에 따르는 실시양태는 비교적 느린 화학물 투여 유입 및 드로우를 특징으로 한다. 통상적인 ALD 장치에서, 수 백 sccm의 전형적인 화학물 투여 유속은비교적 높은 가스 치환에 의해 상당한 확산 억제를 제공한다. 반대로, 본 발명에 따르는 실시양태에서, 화학물 투여 유속은 고안에 의해 낮다(예; 50sccm 이하). 작은 드로우 조절 챔버 부피는 퍼지 단계에서 효율적으로 퍼지됨으로써, 잔여 화학물을 무의미하게 낮은 수준으로 억제한다. 또한, 화학물 투여 단계 동안에 전형적으로 높은 드로우 가스 유속은 잔여 화학물의 농도를 추가적으로 희석한다. 따라서, 확산이 효율적으로 억제된다.
그러나, 어베이트먼트가 수행될 수 있는 큰 부피의 드로우 조절 챔버를 활용하는 것이 종종 바람직하다. 부가적으로, 드로우 조절 챔버에서 어베이트먼트 소자는 드로우 조절 챔버에서 화학물의 유효 잔류 시간을 상당히 증가시킨다. 도 1에 도시된 바와 같이, 시스템(100)에서 큰 부피의 조절 챔버가 사용되는 경우, 화학물 투여 동안 유지되는 침착 챔버로부터의 적은 드로우는 역-확산에 의해 침착 챔버 업스트림으로 물질 수송을 억제하는데 충부한 가스 치환을 제공하지 않는다. 예를 들어, 300℃에서 N2중의 H2O는 200mTorr의 N2에서 약 3000㎠/초의 확산 계수(D)를 갖는다. 따라서, 드로우 조절 챔버(116) 업스트림으로부터 침착 챔버(114)로 H2O의 확산은 특정 환경 하에서 침착 챔버(114)로 H2O의 중요한 유동을 전달한다.
따라서, 특정한 바람직한 실시양태에서 역확산의 효율적인 억제는 침착 챔버 및 드로우 조절 챔버 사이에 작은 부피의 드로우 가스 도입 챔버(Draw Gas Introduction Chamber; DGIC)를 제공함으로써 얻어진다. DGIC 업스트림으로부터침착 챔버로의 역-확산은 실제로 무시할 수 있다. 큰 부피(및 고안에 의해 긴 메모리)의 드로우 조절-어베이트먼트 챔버로부터 DGIC, 및 이후의 침착 챔버로의 확산은 DGIC로부터 드로우 조절 챔버로의 드로우 가스의 높은 유입에 의해 억제된다.
도 12는 DGIC를 포함하고 본 발명에 따라 작동하여 양질의 ALD 박막을 제공하는 대표적인 SMFD ALD 가스 매니폴드의 시스템(600)의 개략도를 도시한다.
시스템(600)은 비활성 퍼지 가스 및 비활성 드로우 가스가 유입되는 압력-안정화 비활성 가스 콘디트(602)를 포함한다. 비활성 가스 실린더(604)는 당해 분야에서 가스 압력 조절기로 공지된 압력 조절기(606)에 연결된다. 예를 들어 MKS 640A-유형 압력 조절기가 적당하다. 가스 질량 유량계(608)가 편리하게 정상 상태의 유입 조건 하에서 유속을 확인하고 측정한다. 콘디트(602)에서 압력 변동은 가스 예열 용기(610)에 의해 적절한 수준(예; 0.1% 이하)으로 억제된다. 가스 용기(601)의 부피(예; 1.5리터)는 압력 변동을 억제하고 비활성 가스가 ALD 가스 매니폴드의 온도 또는 임의의 다른 선택된 온도에 도달하는데 충분한 잔류 시간을 제공하기 위해 선택된다.
비활성 가스 유입은 콘디트(602)에서 퍼지 FRE(103)를 포함하는 ALD 퍼지 라인(612) 및 드로우 조절 라인(119)으로 나누어진다. 다른 실시양태(나타나지 않음)는 분리된 압력 조절기 및 가열 용기(매니폴드의 퍼지 및 드로우 부분을 위함)를 포함한다. 퍼지 및 드로우 조절을 위한 매니폴드의 완전한 분리 및 다양한 분리 가스 공급원 및 다양한 가스 온도의 이용을 비롯하여, 많은 다양한 퍼지 가스 수송 및 드로우 가스 수송의 배열이 본 발명에 따라 실행될 수 있음이 당해 분야의숙련자들에게 이해될 것이다.
일정 압력의 가스 콘디트(602)는 퍼지-원 FRE(103) 및 퍼지-원 차단 밸브(102)를 통해 가스 분배 챔버(104)와 직렬 유체 연결된다. 바람직한 실시양태에서, FRE(103)의 작용이 고안되고 차단 밸브(102)의 구조에 삽입되어 단일 장치를 생성하고 밸브(102) 및 FRE(103) 사이의 부피로부터 발생하는 압력 스파이크를 최소화한다.
퍼지 가스는 퍼지-원 차단 밸브(102) 및 퍼지-원 유입 제한 소자("FRE")(103)를 통해 통상적으로 샤워헤드(showerhead)인 가스 분배 챔버(104)로 공급된다. 도 12에 도시된 바와 같이, 퍼지-원 차단 밸브(102) 및 퍼지-원 FRE(103)는 퍼지 가스 공급원(101) 및 가스 분배 챔버(104) 사이에 직렬 유체 연결을 제공한다. 순수 화학 가스, 액체 또는 고체 화학물로부터의 증기, 또는 증기 또는 가스 화학물과 비활성 가스의 혼합물 형태의 화학 반응물 전구체가 복수의 화학 가스 공급원(105), (105')에서 잘 조절된 압력으로 제공된다. 화학 가스 공급원(105)은 화학물-원 FRE(106)를 통해 부스터 챔버(107)에 직렬 유체 연결된다. 부스터 챔버(107)는 화학물 투여 차단 밸브(110) 및 부스터 FRE(109)를 통해 가스 분배 챔버(샤워헤드)(104)에 직렬 유체 수송로 연결된다. 도 12에 도시된 바와 같이, 화학물 투여 차단 밸브(110) 및 퍼지 배기 차단 밸브(108)는 교차점(123)으로부터 분기되는 시스템(100)의 가스 매니폴드 시스템의 분지에 평행한 단순한 2-방향 밸브를 포함한다.
도 12에 도시된 바와 같이, 제 2 화학 가스 공급원(105')은 화학 가스 공급원(105)을 참고로하여 기술된 것에 대응되는 장치와 함께 샤워헤드(104)에 연결된다.
바람직한 실시양태에서, FRE(109)의 작용이 고안되고 화학물 투여 차단 밸브(110)의 구조로 도입되어, 단일 장치를 생성하고 FRE(109) 및 밸브(110) 사이의 부피에 발생하는 압력 스파이크를 최소화한다. 유사하게, 추가의 화학물원(예; 화학 가스 공급원(105'))은 대응되는 매니폴드 소자(106'), (107'), (109') 및 (110')를 통해 유입 분배 챔버(104)에 연결된다.
가스 분배 FRE(113)는 가스 분배 챔버(104) 및 원자층 침착 챔버("ALDC" 또는 "침착 챔버") 사이에 직렬 유체 연결을 제공한다. 가스 분배 챔버(104)가 샤워헤드 장치인 바람직한 실시양태에서, 가스 분배 FRE(113)는 통상적으로 노즐 정렬(113)이다. 노즐 정렬은 제한된 균일한 유동을 가스 분배 챔버(104)로부터 가열된 기판 홀더(620)를 포함하는 침착 챔버(114)에 제공한다. 침착 챔버(114)는 침착-챔버 FRE(115)를 통해 작은 부피의 드로우 가스 도입 챔버("DGIC")(630)에 직렬 유체 연결된다. 비활성 드로우 가스 공급원(604)은 드로우 가스 라인(119), 드로우 공급원 차단 밸브(120), 및 드로우 공급원 FRE(121)를 통해 DGIC(630)에 직렬 유체 연결된다.
드로우 가스 도입 챔버(630)는 DGIC FRE(632)를 통해 드로우 조절 챔버("DC")(116)로 직렬 유체 연결된다. 화학적 어베이트먼트 소자(634)는 드로우 조절 챔버(116) 내부에 배열된다. 드로우 조절 챔버(116)는 드로우 조절 출구(124) 및 드로우 조절 FRE(117)를 통해 펌프 챔버(636)에 직렬 유체 연결된다. 압력 계량기(638)는 드로우 조절 챔버(116)에 연결된다. 압력 계량기(638)(예; MKS 바라트론(Baratron) 모델 628 유형)는 예를 들어 드로우 조절 챔버(116)의 평균 압력을 통해 공정을 모니터링한다. 유사하게, 가스 분석기와 같은 다른 공정 모니터링 장치(나타나지 않음)가 편리하게 드로우 조절 챔버(116)에 연결될 수 있다. HPS I-Mag 냉-캐소드 계량기와 같은 저압 계량기(644)가 펌프 챔버(636)에 부착되어 휴지 시간(idle time) 동안에 챔버 압력을 모니터링한다. 터보분자 펌프(640)는 펌핑 게이트-밸브(642)를 통해 펌프 챔버(636)에 연결되어 휴지 시간 동안의 진공 및 ADL 작동 중에 높은 산출을 촉진한다. 예를 들어, BOC-에드워드(Edwards) STPA 시리즈로부터 선택된 펌프가 적당하다. 200mm 규소 웨이퍼 상에 ALD 침착의 우수한 성능은 STPA 1303C 펌프를 이용하여 얻어진다. 터보분자 펌프(640)는 보조 펌프(642)를 이용하여 비워진다. 예를 들어, BOC QDP40 또는 균등물이 보조 펌프(642)로서 잘 수행한다. 본 발명에 따른 다른 실시양태에서, BOC 에드워드로부터의 QMP 시리즈와 같은 높은 펌핑-속도의 펌프 배열은 당해 분야에서 공지된 바와 같은 건조 펌프의 분리된 위치 정렬을 촉진한다.
특정의 바람직한 실시양태에서, 반응 가스가 드로우 조절 챔버(116)에 첨가되어 화학적 어베이트먼트를 강화한다. 따라서, 시스템(600)은 오존-공급 매니폴드를 포함한다. 산소 또는 산소-질소 혼합물은 가스 실린더(650)로부터 공급된다. 가스 유량 제어기(652)는 상업적으로 이용가능한 오존 발생기(654)로 가스의 유입을 조절한다. 예를 들어, MKS 아스텍스(Astex) AX8407 시리즈 오존 발생기가 SMFD 시스템(600)에서 잘 수행된다. 오존 발생기(654)로부터의 생성은 오존 모니터(656)에 의해 모니터링되어, 오존 농도를 피드백-조절로 안정화한다. 예를 들어 MKS 640A 유형의 압력 조절기(658)는 오존 발생기(654) 내부에 선택된 일정 압력을 유지한다. 오존 발생기(654)의 정확한 작동을 위해 필수적인 조절된 유량 및 압력을 유지하는 동안 DC(116)로 오존의 펄스를 위하여, 오존 저장 장치(660)는 오존 발생기(654) 내부의 압력에 대해 오존-펄스의 영향을 억제하도록 선택된 부피를 포함한다.
이는 오존 발생기(654)에서 바람직한 유량 및 압력을 유지하는 동안, 드로우 조절 챔버(116)로 반응성 오존의 펄스를 허용한다. 압력 조절기(662)는 오존 저장 장치(660) 내의 압력을 조절한다. 오존 분해는 오존 공급 매니폴드를 실질적으로 실온에 유지하고 오존 발생기(654) 및 DC(116) 사이의 정체 부피를 최소화함으로써 시스템 매니폴드(600)에서 최소화된다. 예를 들어, 정체 부피는 도 12에서 밸브(664) 및 교차점(668) 사이의 데드-레그(dead leg)에 의해 개략적으로 도시되어 있다. 오존은 2중벽 라인의 내관을 통해 오존 차단 밸브(664) 및 오존-원 FRE(666)로 공급되고, 내관 및 외관 사이의 역류에 의해 압력 조절기(662)의 입구에 공급된다. 상기 방법에서, 정체 공간에서 오존 소모의 영향은 밸브(664) 및 교차점(668) 사이의 데드-레그를 1cc 이하로 감소시킴으로써 최소화된다. 바람직하게, 오존-제거 촉매적 전환기(670)가 펌프(642)의 출구에 배열되어 주위의 오존 방출을 억제한다.
바람직한 실시양태에서, 화학물 투여 차단 밸브(110), (110')의 작용은 도 13에 도시된 바와 같이 복합-포트 화학물 투여 밸브(700)로 통합된다. 밸브(700)는 입구(701)부터 출구(702)까지의 퍼지 가스 경로를 포함한다. 화학적 라인은 당해 분야에서 공지된 VCR 또는 c-밀봉부와 같은 표준 연결(예; VCR2 연결기(1/8" 표준면 밀봉부)(706))을 이용하여 연결된다. 화학물은 유입 경로(716)를 통해 공급된다. 밸브는 밀봉부(712)를 이용하여 밸브체(718)에 외부로 밀봉되는 보통의 폐쇄 작동기(708)로 밀봉된다. 구멍(714)은 작동기(708)에 전압이 공급되는 때 엘라스토머(710)에 의해 동력학적으로 밀봉된다. 작동기(709)로 설명된 것과 같이 작동기에 전압이 공급되는 경우, 유입 경로가 열린다. 상기 고안은 부피(714)가 1-5 x 10-4cc로 유지될 수 있기 때문에, 밸브 매니폴드에 관련된 데드-레그를 최소화한다. msec 이하의 반응 시간을 갖는 전기적으로 작동되는 밸브가 작동기로서 가장 적당하다. 예를 들어, 제너럴 밸브 디비젼 오브 파커 하니핀(General Valve Division of Paker Hannifin)에 의해 제조된 시리즈 99 퍼핏 밸브가 신뢰할 만한 성능으로 작동한다. 2mm 길이 및 2mm 직경(~0.0004cc 부피)의 가스 경로(714)를 갖는 디자인 밸브(700)는 500sccm 내지 1200sccm의 퍼지 가스 및 2Torr 내지 10Torr 압력의 조건 하에서 시험되고 적절하게 작동한다. 화학물 도입 밸브(700)는 도 1의 라인(112)과 같은 밸브 데드-레그 퍼지-벤트 라인의 필요성을 제거함으로써 ALD 매니폴드 가스 고안을 단순화한다. 추가의 단순화는 도 1의 (108)과 같은 화학적 퍼지 벤트 밸브의 필요성을 제거함으로써 달성된다.
실시예 1
도 12의 시스템(600)에 해당하는 전형적인 SMFD ALD 시스템을 본 발명에 따라 SMFD ALD를 수행하기 위해 디자인하고 구성하여 반응물 가스 트라이메틸알루미늄("TMA") 및 H2O를 사용하여 Al2O3를 침착시켰다. 도 12의 참조번호를 사용하여 동일한 것으로 확인된 전형적인 시스템의 다양한 소자에 대한 설명을, 적절한 물리적 디자인, 치수 및 기능적 특성이 기입된 하기 표 2에 포함시킨다. 전형적인 시스템의 작동 동안에 설정되거나 측정되거나 계산된 가공 파라미터의 바람직한 값을 하기 표 3에 목록으로 기판하였다. 하기 표 3에 목록으로 기판된 정의 및 주석을 표 2 및 3에서 (1) 내지 (11)의 숫자로 참조하기 쉽도록 싣는다.
상기 표 2 및 3에서 사용된 정의 및 주석:
1. MFM-질량 유동 계량기
2. 200mTorr 및 300℃에서의 N2
3. 그러나, SMFD는, 특히 원형이 아닌 기판을 코팅하기 위해 직선으로 흐르는 디자인 또는 임의의 다른 디자인으로 실시될 수 있다.
4. 250Torr 및 140℃에서의 N2
5. 20Torr 및 140℃에서의 H2O
6. 12Torr 및 140℃에서의 TMA
7. 250Torr 및 35℃에서의 N2
8. 250Torr 및 30℃에서의 O2
9. 140℃에서, N2동등물
10. 에피켐(Epichem) 데이터 기준
11. 계산치
전형적인 SMFD ALD 시스템에서, 화학 약품-투여 차단 밸브(110, 110')의 기능은 도 13에 도시된 바와 같이 다중식 포트 화학 약품 도입 밸브(700)로 통합되었다.
반응물 가스 트라이메틸알루미늄("TMA") 및 H2O를 사용한 Al2O3의 SMFD ALD 침착은 표 2 및 3에 구체적으로 기판된 장치 및 작동 파라미터를 사용하여 본 발명에 따라 수행되었다. 밸브(102, 700 및 120)를 본 발명에 따라 가동시켜 TMA-투여, TMA-퍼지, H2O-투여 및 H2O-퍼지를 포함하는 ALD 순환을 수행하였다. 투여 및 퍼지 시간을 변화시키고, 결과를 연구하여 다음과 같이 최소 투여 및 퍼지 시간을 측정한다:
트라이메틸알루미늄 투여, 포화를 위한 최소치: 20msec;
H2O 투여, 포화를 위한 최소치, 70msec;
트라이메틸알루미늄 퍼지: 100msec; 및
H2O 퍼지: 150msce.
얇은 필름 및 가스 조성물의 측정은 바람직한 작동 조건을 위해 다음과 같은 결과를 제시하였다:
웨이퍼 두께 균일성 내: 최대값-최소값: 3% 미만, 시그마에 대해서는 1% 미만
웨이퍼 대 웨이퍼 두께 재생성(140㎚ 축적된 두께): 총 범위 0.6% 미만(시그마에 대해서는 0.2% 미만)
트라이메틸알루미늄 이용 효율: 20% 초과
트라이메틸알루미늄 부스터 효과:10msec에서 98% 초과
또한, 오존을 사용하여 배출 스트림으로부터 TMA를 제거하고 어베이트먼트 소자(634)(도 12)상에 고품질의 침착물을 생성하여 효과적인 어베이트먼트를 용이하게 하였다. 특히, 거친 알루미늄으로 제조된 대략 3000㎝2영역만을 갖는 어베이트먼트 소자를 표 3에 기판된 가공과 함께 사용하였다. 도 12에 예시된 장치를 따라 오존을 드로우 챔버로 직접 공급하였다. 150 내지 250sccm 범위의 유동 속도가 효과적으로 실행되었다.
SMFD는 ALD가 표준 반도체 웨이퍼에 대해서 초 이하의 순환 시간을 갖게 한다. 예를 들어, 450msec의 순환 시간을 갖는 240회 순환을 이용하여 200㎜ 웨이퍼를 뛰어난 균일성과 재생성을 갖도록 피복시켰다. 도 14는 시스템을 사용하고 도 2 및 3에 목록으로 기판된 파라미터를 작동시켜 침착된 Al2O3얇은 필름의 웨이퍼균일성 지도를 포함한다. 기판 가열기를 300℃로 설정했다. 울람(woollam) M-44 타원계를 사용하여 상기 필름을 측정했다. 균일성 지도는 3.5㎜ 가장자리를 제외하고 십자형으로 측정된 34개 측정점을 포함한다. 웨이퍼 노치의 방향을 표시한다. 450msce 순환 시간 조건하에 웨이퍼-대 웨이퍼 재생성은 1400Å의 축적된 두께에 걸쳐 시험한 0.2%(1 시그마)보다 우수하다. 50Å 두께(총 1250Å)를 갖는 전형적인 25-웨이퍼 롯트와 비교하기 위해 웨이퍼-대-웨이퍼 재생성을 위한 표준으로서 1400Å를 사용하였다. 챔버의 유지 없이 수 마이크론의 축적된 두께의 침착 후 어떤 주목할 만한 퇴보 없이 어베이트먼트 성능이 유지되었다.
연구용으로는 1㎠ 미만에서부터 기판 면적으로는 1㎡초과의 크기까지 폭넓은 범위의 기판 크기로 필름을 침착시키는데 SMFD가 유용하다. 기판의 물리적 크기는 ALD 챔버의 형태 및 체적을 나타내고, 대부분의 디자인 특징부 및 가공 파라미터의 규모를 나타낸다. 본 명세서, 특히 실시예 1에 기판된 본 발명의 실시태양은 200㎜ 반도체 웨이퍼의 처리를 위해 주로 디자인되었다. 당해 기술분야의 숙련자가 반도체 분야 뿐만 아니라 그밖의 적용 분야에 있어서 상이한 크기의 기판 및 상이한 제작 필요조건에 맞게 본 발명에 따라 SMFD 시스템 및 가공을 스케일할 수 있음은 명백하다. 또한, 대부분의 장치 및 가공에서는 파라미터 스케일을 진공 펌프의 특성을 이용하여 디자인한다. 따라서, 시스템 성능 및 장치 디자인은 진공 펌프의 선택에 좌우된다. 특히, 표 2 및 3에 예시된 실시태양은 BOC 에드워드-세이코(Seiko)-세이키(Seiki) STPA1303C으로 적절히 이용될 수 있는 최대 유동, 즉약 1500sccm으로 표시된다.
도 15 및 16은 바람직한 ALD 반응기 용기(800)의 단면을 개략적인 형태로 도시한다. 도 15에 도시된 바와 같이, 반응기 용기(800)는 용기 내부(808)를 한정하는 반응기 용기 벽(802), 반응기 용기 상부(804) 및 용기 하부(806)를 포함한다. 반응기 용기(800)는 가스 분배 챔버(샤워헤드)(201)를 포함한다. 상부(804)의 샤워헤드 입구(809)는 샤워헤드(201)로 들어오는 화학 반응물 가스 및 퍼지 가스의 입구로서 작용한다. 노즐 어레이(FRE)(202)는 ALD 침착 챔버(가공 챔버)(203)로부터 가스 분배 챔버(201)의 하부를 분리시킨다. 기판(204)은 고온 서스셉터(susceptor) 및 척(chuck)을 위해 종래 기술분야에서 통상적으로 사용되는 열 전도성 금속(예: W, Mo, Al, Ni) 및 그밖의 물질로 제조된 가열된 웨이퍼 척(기판 홀더)(205)상에 지지된다. 웨이퍼 척(205)은 웨이퍼 리프트-핀(wafer lift-pin) 메커니즘(810)을 포함한다. 웨이퍼 수송은 종래 기술분야에 공지된 리프트 핀(812)(도시된 3개의 핀 중 하나만)의 보조하에 수행된다. 웨이퍼 리프트 핀(812)은 작동기(814) 및 공중부양 암(816)을 사용하여 웨이퍼 기판(204)을 척(205)의 상부 표면 위로 올리도록 작동한다. 침착 챔버(203)는 침착-챔버 FRE(206)에 의해 한정된다. 드로우 가스 도입 챔버("DGIC")(802)는 FRE(206) 및 DGIC FRE(822) 사이의 침착 챔버(203)에서부터 다운스트림에 위치한다. 드로우 조절 챔버("DC")(208)는 DGIC로부터 다운스트림에 위치하고, DGIC FRE(822) 및 드로우 조절 FRE 배플(209)에 의해 한정된다. 화학적 어베이트먼트 소자(824)는 드로우 조절 챔버(208) 내부에서 폐기된다. 이격기(826)는 화학적 어베이트먼트 소자(824) 및 드로우 조절 FRE 배플(209)과 가열된 웨이퍼 촉(205)과의 직접적인 열 접촉을 제공한다.
드로우 가스 입구(830)는 드로우 가스 매니폴드(미도시) 및 드로우 가스 플리넘(plenum)(832) 사이에 일련의 유체 연통을 제공한다. 당해 기술분야의 숙련자는 드로우 가스 플리넘(832)을 수많은 상이한 형태로 실시할 수 있고, 도 15 및 16에 도시된 실시태양은 비제한적인 예이다. 도 15에 도시된 바와 같이, 드로우 가스 입구(830)는 노즐의 방사상 어레이(미도시)를 통해 DGIC(820)와 추가로 연통되어 있는 방사상 플리넘 공간(832)과 일련으로 유체 연통되어 있고, 이는 DGIC(820)로의 가스의 방사상 유동 분배와 DGIC(820)의 업스트림 부분으로의 디렉트 드로우 가스의 방사상 유동 분배를 통합하도록 적절히 이격되어 디자인되어 있다. 당해 기술분야의 숙련자는 침착 시스템의 대칭성, 예를 들어 도 15 및 16에 도시된 시스템의 방사상 대칭성과 일치하도록 드로우 가스와 반응성 어베이트먼트 가스의 유동을 적절히 통합시키는 것의 중요성을 알고 있다.
임의로, 반응성 가스를 반응성 가스 매니폴드(미도시)로부터 라인(840)을 통해 반응성 가스 플리넘(842)으로 전달한다. 반응성 가스 플리넘(842)은 드로우 챔버(208)로의 반응성 어베이트먼트 가스의 균일한 방사상 유동 분배를 형성하도록 작용한다. 예를 들어, 반응성 가스를 적절히 이격되어 디자인된 복수의 수평 노즐을 통해 드로우 챔버(208)와 연통하는 방사상 채널로 전달한다. 당해 기술분야의 숙련자는 반응성 가스 플리넘 시스템(842)이 본 발명에 따라 수많은 상이한 형태로 실시될 수 있음을 알 수 있다.
ALD 가공처리 동안에, 퍼지 단계 동안의 퍼지 가스와 화학 약품-투여 단계동안의 화학 반응물 가스는 처리 가스 유동 경로를 따라 반응기 용기 내부(808)를 통해 다운스트림 방향으로 샤워헤드 입구(809)로부터 샤워헤드(201), 침착 챔버(203), DGIC(820) 및 드로어 조절 챔버(208)를 순서대로 통과하여, 반응기 용기(800) 밖으로 진공 포트(210)를 통해 흐른다. 유사하게, DGIC(820)로 유입된 드로우 가스는 다운스트림 방향으로 DGIC(820)로부터 드로우 조절 챔버(208)로 흐른 후, 진공 포트(210)를 통해 나간다. "다운스트림" 및 "업스트림"란 용어는 본원에서는 그의 통상적인 의미로 사용된다. 상기 설명한 바와 같이, 가스의 역류, 즉 "업스트림" 방향으로의 가스의 유동이 결코 일어나지 않음은 본 발명에 따른 실시태양의 특징이다. "업스트림"란 용어를 본 명세서에서 사용하였지만, 시스템의 소자 및 부품의 상대적인 위치를 나타낸다.
반응기 용기(800)는 주변 슬롯 밸브("PSV")(850)를 추가로 포함한다. 도 15 및 16에 도시된 바와 같이, PSV(850)는 반응기 용기 벽(802)을 통하는 기판 수송 슬롯(852), 반응기 용기 벽(802) 내 연속 주변 공간(854)(도 16), 연속 주변-밀봉 포핏(poppet)(856), 및 개방 위치(도 16)와 밀폐 위치(도 15) 사이의 밀봉 포핏(856)을 이동시키기 위한 작동기(858)를 포함한다. 밀봉 포핏(856)을 폐쇄 위치(도 15)의 주변 공간(854)으로 이동시키고, 밀봉 포핏(856)을 개방 위치(도 16)의 주변 공간(854) 밖으로 이동시킨다. 기판 수송 슬롯(852)은 기판 홀더(205)의 기판-지지면과 실질상 동일 평면상에 있다. 주변 공간(854)은 기판 수송 슬롯(852)과 실질상 동일 평면상에 있다. 기판 수송 슬롯(852)은 밀봉 포핏(856)이 개방 위치(도 16)에 있을 때 반응기 용기 벽(802)을 통해 기판 홀더(205)에 이르는 기판수송 채널을 한정하고, 밀봉 포핏(856)은 밀봉 포핏(856)이 폐쇄 위치(도 15)에 있을 때 용기 내부(808)로부터 기판 수송 슬롯(852)을 분리시킨다.
도 16에 도시한 바와 같이, 반응기 용기 벽(802)은 반응기 용기 벽 내의 용기 주변(860)을 한정하고, 밀봉 포핏(856)은 밀봉 포핏(856)이 폐쇄 위치(도 15)에 있을 때 용기 주변(860)와 합치된다. 주변 공간(854)은 공간이 침착 챔버(203) 및 DGIC(820)를 연속적으로 둘러 싸고 있다는 의미에서 연속적이다. 밀봉 포핏(856)은 폐쇄 위치에서 침착 챔버(203) 및 DGIC(820) 둘레의 주변 공간(854)을 연속적으로 충전하고, 이로써 기판 수송 슬롯(852) 및 용기의 외부(800)로부터 내부(808)를 연속적으로 밀봉하고 있다는 의미에서 연속적이다. 도 15 및 16에 도시된 바와 같이, 반응기 용기 벽(802)은 실질상 방사상 대칭 형태를 포함하고, 밀봉 포핏(856)은 실질상 방사상 대칭 형태를 포함한다. 본 발명에 따른 반응기 용기(800) 및 PSV(850)의 다른 실시태양은 다른 기하학적 형태를 가질 수 있는 것으로 이해된다. 도 15에 도시된 바와 같이, 폐쇄 위치의 밀봉 포핏(856)은 용기 내부(808)에서 가공 가스 유동 경로의 내부 밀봉 벽(862)을 형성한다. 내부 밀봉 벽(862)은 가공 가스 유동 경로를 따라 가스의 방사상 대칭 유동을 촉진하는 방사상 대칭 형태를 포함하고, 이로써 균일한 침착을 향상시키고 고체 침착물의 형성을 감소시킨다. 도 15에 도시된 바와 같은 반응기 용기(800)의 특별한 실시태양에서, 내부 밀봉 벽(862)의 일부는 DGIC(820)의 일부를 한정한다. 도 16에 도시된 바와 같이, PSV(850)는 고정된 상부 밀봉 표면(870), 고정된 상부 밀봉 표면(870)에 해당하는 상부 포핏 밀봉 표면(872), 상부 주변 밀봉부(873), 고정된 하부 밀봉 표면(874),고정된 하부 밀봉 표면(874)에 해당하는 하부 포핏 밀봉 표면(876), 및 하부 주변 밀봉부(877)를 포함한다. 상부 밀봉 표면(870, 872), 하부 밀봉 표면(874, 876), 및 주변 밀봉부(873, 877)는 밀봉 포핏(856)이 폐쇄 위치(도 15)에 있을 때 용기 내부를 밀봉하도록 형성된다.
도 16에 나타나는 바와 같이, 윗부분의 지엽적인 밀봉(873) 및 더 낮은 지엽적 밀봉(877)은 포핏 밀봉 표면(872 및 876) 위에 각각 조립된다. 또한 밀봉(873 및 877)은 o-고리 밀봉으로서 배열된다. 밀봉의 상이한 형태, 예를 들어 평평한 개스캣 밀봉은 포핏 밀봉 표면(872 및 876) 대신에 밀봉(873 및 877)이 고정된 밀봉 표면(870 및 874)으로 배열될 수 있다. 밀봉(873 및 877)을 위한 적당한 물질은 비톤(Viton), 칼레즈(Kalrez), Chemraz(켐라즈) 또는 동등한 것으로 제조된다. 당해 분야의 숙련자는 많은 상이한 형태에 주변 슬롯 밸브(850) 제공하는 것이 가능하다.
기판 수송 슬롯(852) 및 슬롯(852)을 통해서 전달된 연결된 웨이퍼 수송 시스템은 PSV 850이 폐쇄될 때 반응기 관 내부(808)에서 완벽하게 ALD 공정 시스템으로부터 분리된다.
본 발명에 따른 바람직한 양태의 조작은 화학물 처리량이 가능한 필름에 반대하는 우수한 보호를 제공하는데 충분한 동안 DFIC의 선두 모서리 내로 불활성 가스의 높은 유동이 (804 내지 856) 및 (856 내지 802)에서 형성된 방사형 틈을 형성한다. 따라서 PSV는 유지 주기에 역 효과를 갖지않고 실행된다.
도 17은 디자인에 가공 챔버내에 비-중심대칭성 유동 시스템의 용어로 주로기술된다. 본 발명에 따른 바람직한 양태가 주로 중심대칭성 유동 시스템의 용어로 기술될 때 당해 분야의 숙련자는 SMFD 디자인을 다른 유동 디자인에 적용가능한 것으로 이해한다. 전형적으로 침착 챔버 및 유동 경로의 디자인은 기판의 형태 및 기판 온도를 조절하기 위한 선택의 방법을 최적화해야만한다. 예를 들어, 퇴적이 기판의 한쪽 면에 시도되는 원형 얇은 기판, 예컨대 반도체 웨이퍼는 열가능한 지퍼를 지지하는 바닥을 갖는 중심대칭성 시스템에 가장 적합하다. 그러나 중심대칭성 시스템은 상당히 원형이 아닌 기판에 가장 적합하지 않다. 예를 들어, 도 17에서 SMFD 시스템(900)은 긴 또는 튜브-형태의 기판(905) 위에 효율적인 퇴적을 위해 디자인된다. 기판은 바람직하게 유사한 형상을 갖는(기판 905에) 긴 챔버(904)에 배열된다. 예를 들어, 침착 챔버(904)은 기판(905)보다 10mm 더 넓은 유리관으로 제작된다. 기판(905)은 챔버(914)에 의해 끝에서 지지된다. 가열은 예를 들어, 유도된 코일에 의해서 또는 통상적인 푸란스 가열-자켓내에 침착 챔버(904)를 위치시킴으로써 이해될 수 있다. 가스는 (901)로부터 가스 분배 챔버(902)로 공급되고 노즐 어레이(903)에 의해서 추가로 분포된다. 침착 챔버(904)는 FRE(906)을 통해서 DGIC(907)로 연결된다. DGIC(907)은 SMFD 방법의 원리에 따라서 드로우 조절 가스(916)으로 공급된다. DGIC(907)은 추가로 DC(909) FRE(908)을 통해서 연결된다. 어베이트먼트 소자(915)는 DC(909)내에 위치한다. 반응성 가스 투입구(917)은 효율적인 어베이트먼트를 촉진시키기 위해 DC(909)로 연결된다. DC(909)는 추가로 FRE(910)를 통해서 펌프 구획(911)을 통해서 연결된다. 펌프 구획(911)은 포어라인(foreline)(912)를 통해서 펌프(913)에 의해 배출된다. 바람직하게, 펌핑은DOC 에드워드 iQMB 1200F 또는 BOC 에드워드 IPX500A 또는 동등한 펌프를 사용하여 달성된다.
본 발명에 따라 설계 및 조작된 시스템, 형상 또는 방법은 실질적으로 ALD 기술에 유용하다. 유동 및 드로우의 동시 조정, SMFD는 또한 유용하지만 환경 및 적용이 매우 다양하다. 당해 분야 숙련자는 지금 다양한 사용 및 혁신적인 개념을 벗어나지 않고 기술된 특정 양태를 다양하게 사용 및 변형할 수 있음이 분명하다. 또한, 열거된 단계가 일부의 경우에 상이한 순서로 수행되거나 등가의 구조 및 공정이 기술된 구조 및 공정으로 치환될 수 있음도 분명하다. 본 발명의 범위를 벗어나지 않고 특정한 변화가 상기 시스템 및 방법에서 실시될 수 있기 때문에 수반하는 도면에서 상기의 기술 또는 제시에 포함되는 모든 대상은 설명한 바와 같이 제한되지 않고 이해됨을 의미한다. 결과적으로 본 발명은 현재 각각의 및 모든 신규한 특징 및 신규한 특징의 조합을 채택함으로서 및 다음 청구항에서 기술된 시스템, 장치 및 방법 및 이들과 등가를 본래 파악함으로써 구성된다.

Claims (86)

  1. 기판 홀더와 가열기를 포함하는 원자층 침착 챔버("침착 챔버");
    드로우 가스 도입 챔버("DGIC");
    침착 챔버와 DGIC 사이에 유체를 직렬 전달하는 침착 챔버 유동 제한 소자("FRE");
    드로우 가스 공급원;
    드로우 가스 공급원과 DGIC 사이에 유체를 직렬 전달하는 드로우 공급원 차단 밸브;
    드로우 가스 공급원과 DGIC 사이에 유체를 직렬 전달하는 드로우 공급원 FRE;
    드로우 조절 챔버;
    DGIC와 드로우 조절 챔버 사이에 유체를 직렬 전달하는 DGIC FRE;
    드로우 조절 챔버에 유체를 직렬 전달하는 드로우-컨트롤 출구;
    드로우-컨트롤 출구에 유체를 직렬 전달하는 진공 펌프;
    드로우-컨트롤 출구와 진공 펌프 사이에 유체를 직렬 전달하는 드로우-컨트롤 FRE;
    다수의 화학적 가스 공급원;
    각각이 화학적 가스 공급원들중 하나에 유체를 직렬 전달하는 다수의 부스터 챔버;
    각각이 화학적 가스 공급원중 하나와 부스터 챔버중 하나 사이에 유체를 직렬 전달하는 다수의 화학물 공급원 FRE;
    각각이 부스터 챔버와 침착 챔버 사이에 유체를 직렬 전달하는 다수의 화학물 투여 차단 밸브;
    각각이 부스터 챔버중 하나와 침착 챔버 사이에 유체를 직렬 전달하는 다수의 부스터 FRE;
    퍼지 가스 공급원;
    퍼지 가스 공급원과 침착 챔버 사이에 유체를 직렬 전달하는 퍼지 공급원 차단 밸브; 및
    퍼지 가스 공급원과 침착 챔버 사이에 유체를 직렬 전달하는 퍼지 공급원 FRE
    를 포함하는 원자층 침착 시스템.
  2. 제 1 항에 있어서,
    부스터 챔버와 침착 챔버 사이에 유체를 직렬 전달하고, 퍼지 가스 차단 밸브와 침착 챔버 사이에 유체를 직렬 전달하는 가스 분배 챔버; 및
    가스 분배 챔버와 침착 챔버 사이에 유체를 직렬 전달하는 가스 분배 FRE를 추가로 포함하는 시스템.
  3. 제 2 항에 있어서,
    가스 분배 FRE가 노즐 어레이를 포함하는 시스템.
  4. 제 3 항에 있어서,
    노즐 어레이가 1.5이상의 종횡비를 갖는 다수의 노즐을 포함하는 시스템.
  5. 제 2 항에 있어서,
    가스 분배 챔버에 유체를 직렬 전달하는 퍼지 배기 라인; 및
    가스 분배 챔버와 퍼지 배기 라인 사이에 유체를 직렬 전달하는 퍼지-배기 차단 밸브를 추가로 포함하는 시스템.
  6. 제 2 항에 있어서,
    화학적 차단 밸브가 다수의 비-공통 포트와 2개의 공통 포트를 갖는 다중식 밸브이고, 비-공통 포트 각각은 부스터 챔버중 하나에 유체를 직렬 전달하고, 제 1 의 공통 포트는 가스 분배 챔버에 유체를 직렬 전달하고, 제 2의 공통 포트는 퍼지 공급원 차단 밸브에 유체를 직렬 전달하는 시스템.
  7. 제 1 항에 있어서,
    드로우 조절 챔버에 위치한 어베이트먼트(abatement) 표면을 추가로 포함하는 시스템.
  8. 제 7 항에 있어서,
    어베이트먼트를 개선시키기 위해 반응 가스를 드로우 조절 챔버로 도입하기 위한 반응 가스 입구를 추가로 포함하는 시스템.
  9. 제 7 항에 있어서,
    반응 가스 입구가 드로우 조절 챔버에 가까운 반응 가스 플리넘(plenum)을 추가로 포함하는 시스템.
  10. 제 1 항에 있어서,
    드로우-컨트롤 출구와 진공 펌프 사이에 유체를 직렬 전달하는 드로우 조절 챔버로부터 다운스트림에 위치한 어베이트먼트 챔버를 추가로 포함하는 시스템.
  11. 제 1 항에 있어서,
    드로우 컨트롤 출구와 진공 펌프 사이에 유체를 직렬 전달하는 압력 조절 챔버를 추가로 포함하는 시스템.
  12. 제 1 항에 있어서,
    반응기 용기 벽 및 용기 내부를 갖는 반응기 용기를 추가로 포함하고, 여기서 침착실, DGIC 및 드로우 조절 챔버가 용기 내부에 들어있는 시스템.
  13. 제 12 항에 있어서,
    DGIC에 가까운 반응기 용기에 위치한 드로우 가스 플리넘을 추가로 포함하는 시스템.
  14. 제 12 항에 있어서,
    드로우 조절 챔버에 위치한 어베이트먼트 표면을 추가로 포함하는 시스템.
  15. 제 12 항에 있어서,
    어베이트먼트를 개선시키기 위해 반응 가스를 드로우 조절 챔버로 도입하기 위한 반응 가스 입구를 추가로 포함하는 장치.
  16. 제 12 항에 있어서,
    드로우 조절 챔버에 가까운 반응기 용기에 위치한 반응 가스 플리넘을 추가로 포함하는 장치.
  17. 제 12 항에 있어서,
    가스 분배 챔버; 및
    가스 분배 챔버와 침착 챔버 사이에 유체를 직렬 전달하는 가스 분배 FRE를 추가로 포함하고, 여기서 가스 분배 챔버가 용기 내부에 들어있는 시스템.
  18. 제 12 항에 있어서,
    반응기 용기의 주변 슬롯 밸브를 추가로 포함하고,
    상기 주변 슬롯 밸브가 반응기 용기 벽을 통한 기판 수송 슬롯; 반응기 용기 벽 이내의 연속적인 주변 공간; 연속적인 주변-밀봉 포핏(poppet); 및 밀봉 포핏을 열린 위치와 닫힌 위치 사이로 이동시키기 위한 작동기를 포함하고,
    여기서, 밀봉 포핏이 닫힌 위치에서 주변 공간으로 이동되고, 밀봉 포핏이 열린 위치에서 주변 공간 외부로 이동되고, 기판 수송 슬롯이 기판 홀더의 기판-지지 표면과 실질적으로 동일 평면상에 위치하고, 상기 주변 공간이 기판 수송 슬롯과 실질적으로 동일 평면상에 위치하고, 밀봉 포핏이 열린 위치일 때에는 기판 수송 슬롯이 반응기 용기 벽을 통해 기판 홀더까지 기판 수송 채널을 한정하고, 밀봉 포핏이 닫힌 위치일 때에는 밀봉 포핏이 기판 수송 슬롯을 용기 내부로부터 분리시키는 시스템.
  19. 제 18 항에 있어서,
    반응기 용기 벽이 용기 주변을 상기 반응기 용기 벽 이내로 한정하고, 밀봉 포핏이 닫힌 위치일 때 용기 주변과 합치되는 시스템.
  20. 제 19 항에 있어서,
    반응기 용기 벽이 실질적으로 방사상 대칭 형태이고, 밀봉 포핏이 실질적으로 방사상 대칭 형태를 포함하는 시스템.
  21. 제 18 항에 있어서,
    닫힌 위치에서의 밀봉 포핏이 용기 내부에서 가공 가스 유동 경로의 내부 밀봉 벽을 형성하는 시스템.
  22. 제 21 항에 있어서,
    내부 밀봉 벽이 방사상 대칭 형태를 포함하는 시스템.
  23. 제 21 항에 있어서,
    내부 밀봉 벽의 일부가 DGIC의 일부를 한정하는 시스템.
  24. 제 18 항에 있어서,
    주변 슬롯 밸브가 고정된 상부 주변-밀봉 표면; 고정된 상부 주변-밀봉 표면에 상응하는 상부 포핏 주변-밀봉 표면; 상부 주변 밀봉; 고정된 하부 주변-밀봉 표면; 상기 고정된 하부 주변-밀봉 표면에 상응하는 하부 포핏 주변-밀봉 표면; 및 하부 주변 밀봉을 포함하며, 여기서, 밀봉 포핏이 닫힌 위치일 때 상기 상부 밀봉 표면, 하부 밀봉 표면 및 주변 밀봉이 용기 내부를 밀봉하는 배열인 시스템.
  25. 가공 챔버;
    가공 챔버로의 가스의 유속을 제어하기 위해 배열되어 있는, 가공 챔버에 연결된 가공 가스 도관;
    드로우 가스의 유동을 위해 배열된 드로우 조절 챔버;
    가공 챔버와 드로우 조절 챔버 사이에 유체를 직렬 전달하는 가공 챔버 FRE;
    드로우 조절 챔버에 유체를 직렬 연결하는 드로우 배기 라인; 및
    드로우 조절 챔버와 드로우 배기 라인 사이에 유체를 직렬 전달하는 드로우-컨트롤FRE를 포함하는,
    가공 챔버에서 가스의 유동, 드로우 및 압력을 조절하기 위한 장치.
  26. 제 25 항에 있어서,
    드로우 조절 챔버를 통해 드로우 가스의 유동을 제어하기 위한 드로우 공급원 차단 밸브를 추가로 포함하는 장치.
  27. 제 26 항에 있어서,
    드로우 공급원 차단 밸브와 드로우 조절 챔버 사이에 유체를 직렬 연결하는 드로우 공급원 FRE를 추가로 포함하는 장치.
  28. 제 25 항에 있어서,
    가공 가스 도관에 유체를 직렬 전달하는 다수의 가공 가스 차단 밸브를 추가로 포함하고, 여기서 각각의 차단 밸브가 가공 가스의 가공 챔버로의 유입 유동을 제어하기 위해 배열되어 있는 장치.
  29. 제 28 항에 있어서,
    가공 가스 차단 밸브중 하나가 퍼지 가스의 가공 챔버로의 유동을 제어하기 위한 가공 챔버에 유체를 직렬 전달하는 퍼지 공급원 차단 밸브를 포함하는 장치.
  30. 제 29 항에 있어서,
    퍼지 공급원 차단 밸브에 유체를 직렬 전달하는 퍼지 공급원 FRE를 추가로 포함하는 장치.
  31. 제 28 항에 있어서,
    각각이 가공 가스 차단 밸브중 하나에 유체를 직렬 전달하는 다수의 가공 가스 FRE를 추가로 포함하는 장치.
  32. 제 31 항에 있어서,
    다수의 부스터 챔버를 추가로 포함하고, 각각의 부스터 챔버가 가공 가스 도관에 유체를 직렬 전달하고, 각각의 부스터 챔버가 가공 가스 차단 밸브중 하나의 업스트림이고 가공 가스 FRE중 하나의 다운스트림에 위치하는 장치.
  33. 제 32 항에 있어서,
    각각의 부스터 FRE가 부스터 챔버중 하나의 다운스트림에 있는 다수의 부스터 FRE를 추가로 포함하는 장치.
  34. 제 28 항에 있어서,
    가공 가스 차단 밸브와 가공 챔버 사이에 유체를 직렬 전달하는 가스 분배 챔버; 및
    가스 분배 챔버와 가공 챔버 사이에 유체를 직렬 전달하는 가스 분배 FRE를 추가로 포함하는 장치.
  35. 제 34 항에 있어서,
    가스 분배 챔버에 유체를 직렬 전달하는 퍼지-배기 라인; 및
    가스 분배 챔버와 퍼지-배기 라인 사이에 유체를 직렬 전달하는 퍼스-배기 차단 밸브를 추가로 포함하는 장치.
  36. 제 35 항에 있어서,
    퍼지-배기 차단 밸브에 유체를 직렬 전달하는 퍼지-배기 FRE를 추가로 포함하는 장치.
  37. 제 28 항에 있어서,
    가공 가스 차단 밸브가 비-공통 포트 및 2개의 공통 포트를 갖는 다중 경로이고, 여기서 비-공통 포트가 가공 가스 공급원에 유체를 직렬 전달하고, 제 1의 공통 포트는 가공 챔버에 유체를 직렬 전달하고, 제 2의 공통 포트는 퍼지 공급원 차단 밸브에 유체를 직렬 전달하는 장치.
  38. 제 28 항에 있어서,
    가공 가스 차단 밸브중 2 이상이 다수의 비-공통 포트 및 다수의 공통 포트를 갖는다중 경로이고, 여기서 비-공통 포트 각각이 가공 가스 공급원에 유체를 직렬 전달하고, 다수의 공통 포트가 가공 챔버에 유체를 직렬 전달하고, 하나 이상의 공통 포트가 퍼지 공급원 차단 밸브에 유체를 직렬 전달하는 장치.
  39. 제 25 항에 있어서,
    가공 챔버와 드로우 조절 챔버 사이에 유체를 직렬 전달하는 DGIC;
    드로우 가스의 DGIC로의 유동을 제어하기 위한 드로우 공급원 차단 밸브;
    가공 챔버와 DGIC 사이에 위치한 가공 챔버 FRE; 및
    DGIC와 드로우 조절 챔버 사이에 위치한 DGIC FRE를 추가로 포함하는 장치.
  40. 제 39 항에 있어서,
    드로우 공급원 차단 밸브와 DGIC 사이에 유체를 직렬 전달하도록 위치하는 드로우 공급원 FRE를 추가로 포함하는 장치.
  41. 제 40 항에 있어서,
    DGIC에 가까운 드로우 가스 플리넘을 추가로 포함하는 장치.
  42. 제 25 항에 있어서,
    드로우 조절 챔버에 위치한 어베이트먼트 표면을 추가로 포함하는 장치.
  43. 제 42 항에 있어서,
    어베이트먼트를 개선시키기 위해 드로우 조절 챔버로 반응 가스를 도입시키기 위한 반응 가스 입구를 추가로 포함하는 장치.
  44. 제 43 항에 있어서,
    반응 가스 입구가 드로우 조절 챔버에 가까운 반응 가스 플리넘을 포함하는 장치.
  45. 제 25 항에 있어서,
    드로우 조절 챔버의 다운스트림에 위치한 어베이트먼트 챔버를 추가로 포함하는 장치.
  46. 제 25 항에 있어서,
    드로우 조절 챔버의 다운스트림에 위치하고, 드로우 조절 챔버와 드로우 배기 라인 사이에 유체를 직렬 전달하는 압력 조절 챔버를 추가로 포함하는 장치.
  47. 제 25 항에 있어서,
    가공 챔버가 원자층 침착 챔버를 포함하는 장치.
  48. 선택된 제 1 투여 유속 및 독립적으로 선택된 제 1 투여 압력에서 침착 챔버를 통해 제 1 화학적 반응 가스를 유동시킴을 포함하는 제 1 화학물 투여 단계를 수행하는 단계;
    선택된 제 1 퍼지 유속 및 독립적으로 선택된 제 1 퍼지 압력에서 침착 챔버를 통해 제 1 퍼지 가스를 흘려보냄으로써 제 1 퍼지 단계를 수행하는 단계;
    선택된 제 2 투여 유속 및 독립적으로 선택된 제 2 투여 압력에서 침착 챔버를 통해 제 2 화학적 반응 가스를 유동시킴을 포함하는 제 2 화학물 투여 단계를 수행하는 단계; 및
    선택된 제 2 퍼지 유속 및 독립적으로 선택된 제 2 퍼지 압력에서 침착 챔버를 통해 제 2 퍼지 가스를 유동시킴으로써 제 2 퍼지 단계를 수행하는 단계
    를 상기 순서대로 포함하는, 원자층을 침착시키는 방법.
  49. 제 48 항에 있어서,
    단일 퍼지 가스 공급원이 제 1 퍼지 가스 및 제 2 퍼지 가스를 공급하는 방법.
  50. 제 48 항에 있어서,
    제 1 퍼지 유속이 제 1 투여 유속보다 더 큰 방법.
  51. 제 50 항에 있어서,
    제 1 퍼지 유속 대 제 1 투여 유속의 비가 1.5를 초과하는 방법.
  52. 제 50 항에 있어서,
    제 1 퍼지 유속 대 제 1 투여 유속의 비가 20을 초과하는 방법.
  53. 제 50 항에 있어서,
    제 1 퍼지 유속 대 제 1 투여 유속의 비가 100을 초과하는 방법.
  54. 제 48 항에 있어서,
    제 2 퍼지 유속이 제 2 투여 유속보다 더 큰 방법.
  55. 제 54 항에 있어서,
    제 2 퍼지 유속 대 제 2 투여 유속의 비가 1.5를 초과하는 방법.
  56. 제 54 항에 있어서,
    제 2 퍼지 유속 대 제 2 투여 유속의 비가 20을 초과하는 방법.
  57. 제 54 항에 있어서,
    제 2 퍼지 유속 대 제 2 투여 유속의 비가 100을 초과하는 방법.
  58. 제 48 항에 있어서,
    제 1 퍼지 유속이 제 1 투여 유속보다 더 크고, 제 2 퍼지 유속이 제 2 투여 유속보다 더 큰 방법.
  59. 제 48 항에 있어서,
    제 1 화학물 투여 단계, 제 1 퍼지 단계, 제 2 화학물 투여 단계 및 제 2 퍼지 단계를 이 순서대로 3초 미만으로 수행함을 포함하는 방법.
  60. 제 48 항에 있어서,
    제 1 화학물 투여 단계, 제 1 퍼지 단계, 제 2 화학물 투여 단계 및 제 2 퍼지 단계를 이 순서대로 1초 미만으로 수행함을 포함하는 방법.
  61. 제 48 항에 있어서,
    제 1 화학물 투여 단계, 제 1 퍼지 단계, 제 2 화학물 투여 단계 및 제 2 퍼지 단계를 이 순서대로 0.5초 미만으로 수행함을 포함하는 방법.
  62. 제 48 항에 있어서,
    처음에는 제 1 투여 유속보다 실질적으로 더 큰 제 1 일시적 유속에서 제 1 화학적 반응 가스를 처음 유동시킴으로써 제 1 화학물 투여 단계를 개시하는 단계를 추가로 포함하는 방법.
  63. 제 48 항에 있어서,
    처음에는 제 2 투여 유속보다 실질적으로 더 큰 제 2 일시적 유속에서 제 2 화학적반응 가스를 처음 유동시킴으로써 제 2 화학물 투여 단계를 개시하는 단계를 추가로 포함하는 방법.
  64. 제 48 항에 있어서,
    제 1 화학적 반응 가스를 선택된 제 1 투여 유속 및 독립적으로 선택된 제 1 투여 압력에서 유동시키는 단계가 침착 챔버로의 제 1 화학적 반응 가스의 제 1 투여 유속을 제어하는 단계; 및
    독립적으로 침착 챔버 외부의 제 1 화학적 반응 가스의 제 1 화학적 드로우를 제 1 투여 유속과 실질적으로 일치시키는 단계를 포함하고,
    여기서, 제 1 퍼지 가스를 선택된 제 1 퍼지 유속 및 독립적으로 선택된 제 1 퍼지 압력에서 침착 챔버를 통해 유동시키는 단계가 침착 챔버로 가는 제 1 퍼지 가스의 제 1 퍼지 유속을 제어하는 단계, 및 독립적으로 침착 챔버 외부의 제 1 퍼지의 제 1 퍼지-드로우를 제 1 퍼지 유속과 실질적으로 일치시키는 단계를 포함하는 방법.
  65. 제 64 항에 있어서,
    독립적으로 침착 챔버 외부의 제 1 화학적 반응 가스의 제 1 화학적 드로우를 실질적으로 일치시키는 단계가 침착 챔버의 다운스트림의 제 1 투여 드로우 압력을 제어하는 단계를 포함하고,
    여기서 독립적으로 침착 챔버 외부의 제 1 퍼지 가스의 제 1 퍼지-드로우를 실질적으로 일치시키는 단계가 침착 챔버의 다운스트림의 제 1 퍼지-드로우 압력을 제어하는 단계를 포함하는 방법.
  66. 제 65 항에 있어서,
    제 1 투여 드로우 압력을 제어하는 단계가 드로우 가스를 제 1 투여 드로우 가스 유속으로 드로우 조절 챔버를 통해서 유동시키는 단계 및 제 1 투여 드로우 압력을 달성하도록 제 1 투여 드로우 가스 유속을 제어하는 단계를 포함하고,
    제 1 퍼지-드로우 압력을 제어하는 단계가 드로우 가스를 제 1 퍼지 드로우 가스 유속으로 드로우 조절 챔버를 통해 유동시키는 단계 및 제 1 퍼지 드로우 압력을 달성하도록 제 1 퍼지 드로우 가스 유속을 제어하는 단계를 포함하며, 상기 드로우 조절 챔버가 침착 챔버의 다운스트림에 위치하는 방법.
  67. 제 48 항에 있어서,
    제 1 화학적 반응 가스를 선택된 제 1 투여 유속 및 독립적으로 선택된 제 1 투여 유속으로 유동시키는 단계가 제 1 화학적 반응 가스의 침착 챔버로의 제 1 투여 유속을 제어하는 단계; 및
    독립적으로 침착 챔버의 다운스트림의 드로우 압력을 제어함으로써 침착 챔버 외부의 제 1 화학적 반응 가스의 제 1 투여 유속과 제 1 화학적 드로우 사이의 불일치를 의도적으로 생성시켜서 침착 챔버에서의 제 1 투여 압력을 압력-전환 기간동안 실질적으로 변화시켜 상기 불일치를 실질적으로 감소시키고, 이에 의해 제 1 화학적 드로우와 제 1 투여 유속을 실질적으로 일치시키는 단계를 포함하는 방법.
  68. 제 48 항에 있어서,
    제 2의 화학적 반응 가스를 선택된 제 2 투여 유속 및 독립적으로 선택되는 제 2 투여 압력에서 유동시키는 단계가 침착 챔버로 들어가는 제 2 화학적 반응 가스의 제 2 투여 유속을 제어하는 단계; 및
    독립적으로 침착 챔버 외부의 제 2 화학적 반응 가스의 제 2 화학적 드로우를 제 2 투여 유속과 실질적으로 일치시키는 단계를 포함하며,
    여기서, 제 2 퍼지 가스를 선택된 제 2 퍼지 유속 및 독립적으로 선택된 제 2 퍼지 압력으로 침착 챔버를 통과시켜 유동시키는 단계가 침착 챔버로 들어가는 제 2 퍼지 가스의 제 2 퍼지 유속을 제어하는 단계, 및 독립적으로 침착 챔버 외부의 제 2 퍼지 가스의 제 2 퍼지-드로우를 제 2 퍼지 유속에 실질적으로 일치시키는 단계를 포함하는 방법.
  69. 제 68 항에 있어서,
    독립적으로 침착 챔버 외부의 제 2 화학적 반응 가스의 제 2 화학적 드로우를 실질적으로 일치시키는 단계가 침착 챔버의 다운스트림의 제 2 투여 드로우 압력을 제어하는 단계를 포함하고,
    여기서 독립적으로 침착 챔버 외부의 제 2 퍼지 가스의 제 2 퍼지-드로우를 실질적으로 일치시키는 단계가 침착 챔버의 다운스트림의 제 2 퍼지-드로우 압력을 제어하는 단계를 포함하는 방법.
  70. 제 69 항에 있어서,
    제 2 투여 드로우 압력을 제어하는 단계가 드로우 가스를 드로우 조절 챔버를 통해 제 2 투여 드로우 가스 유속으로 유동시키는 단계, 및 제 2 투여 드로우 압력이 달성되도록 제 2 투여 드로우 가스 유속을 제어하는 단계를 포함하고,
    제 2 퍼지-드로우 압력을 제어하는 단계가 드로우 가스를 드로우 조절 챔버를 통해 제 2 퍼지 드로우 가스 유속으로 유동시키는 단계, 및 제 2 퍼지 드로우 압력을 달성하도록 제 2 퍼지 드로우 가스 유속을 제어하는 단계를 포함하고, 상기 드로우 조절 챔버가 침착 챔버의 다운스트림에 위치하는 방법.
  71. 제 48 항에 있어서,
    제 2 화학적 반응 가스를 선택된 제 2 투여 유속 및 독립적으로 선택된 제 2 투여 압력으로 유동시키는 단계가 제 2 화학적 반응 가스의 침착 챔버로의 제 2 투여 유속을 제어하는 단계; 및
    독립적으로 침착 챔버의 다운스트림의 드로우 압력을 제어함으로써 침착 챔버 외부의 제 2 화학적 반응 가스의 제 2 투여 유속과 제 2 화학적 드로우 사이의 불일치를 의도적으로 생성시켜서 침착 챔버에서의 제 2 투여 압력을 압력-전환 기간동안 실질적으로 변화시켜 상기 불일치를 실질적으로 감소시키고, 이에 의해 제 2 화학적 드로우와 제 2 투여 유속을 실질적으로 일치시키는 단계를 포함하는 방법.
  72. 제 48 항에 있어서,
    제 1 화학적 반응 가스를 침착 챔버를 통해 유동시키는 단계가 공지된 제 1 공급원 압력을 갖는 제 1 반응 가스 공급원을 제공하는 단계 및 상기 제 1 반응 가스 공급원으로부터의 제 1 화학적 반응 가스를 제 1 공급원 FRE를 통해 침착 챔버로 유동시키는 단계를 포함하는 방법.
  73. 제 72 항에 있어서,
    제 1 화학물 투여 단계를 포함하지 않는 기간동안 공지된 제 1 공급원 압력의 제 1 화학적 반응 가스를 제 1 부스터 챔버(여기서 제 1 부스터 챔버는 제 1 공급원 FRE의 다운스트림, 침착 챔버의 업스트림에 위치한다)에 충전시키는 단계; 및
    제 1 부스터 챔버를 충전시킨 다음, 제 1 화학적 차단 밸브(여기서 제 1 화학적 차단 밸브는 제 1 부스터 챔버와 침착 챔버 사이에 유체를 직렬 전달시킨다)를 열어서 제 1 화학물 투여 단계를 개시함으로써, 제 1 화학적 반응 가스를 제 1 일시적 유속(여기서 제 1 일시적 유속은 처음에는 제 1 투여 유속보다 실질적으로 더 크다)으로 유동시키는 것을 개시하는 단계를 추가로 포함하는 방법.
  74. 제 48 항에 있어서,
    제 2 화학적 반응 가스를 침착 챔버를 통해 유동시키는 단계가 공지된 제 2 공급원 압력을 갖는 제 2 반응 가스 공급원을 제공하는 단계, 및 상기 제 2 반응 가스 공급원으로부터의 제 2 화학적 반응 가스를 제 2 공급원 FRE를 통해 침착 챔버로 유동시키는 단계를 포함하는 방법.
  75. 제 74 항에 있어서,
    제 2 화학물 투여 단계를 포함하지 않는 기간동안 공지된 제 2 공급원 압력의 제 2 화학적 반응 가스를 제 2 부스터 챔버(여기서 제 2 부스터 챔버는 제 2 공급원 FRE의 다운스트림, 침착 챔버의 업스트림에 위치한다)에 충전시키는 단계; 및
    제 2 부스터 챔버를 충전시킨 다음, 제 2 화학적 차단 밸브(여기서 제 2 화학적 차단 밸브는 제 2 부스터 챔버와 침착 챔버 사이에 유체를 직렬 전달시킨다)를 열어서 제 2 화학물 투여 단계를 개시함으로써, 제 2 화학적 반응 가스를 제 2 일시적 유속(여기서 제 2 일시적 유속은 처음에는 제 2 투여 유속보다 실질적으로 더 크다)으로 유동시키는 것을 개시하는 단계를 추가로 포함하는 방법.
  76. 가공-용기 벽을 통한 기판 수송 슬롯; 가공-용기 벽 내부의 연속적인 주변 공간; 연속적인 주변-밀봉 포핏; 및 열린 위치와 닫힌 위치 사이에 밀봉 포핏을 이동시키기 위한 작동기를 포함하며,
    여기서, 밀봉 포핏이 닫힌 위치에서 주변 공간으로 이동하고, 밀봉 포핏이 열린 위치에서 주변 공간 외부로 이동하며, 기판 수송 슬롯이 기판 홀더의 기판-지지 표면과 실질적으로 동일 평면상에 위치하고, 상기 주변 공간이 기판 수송 슬롯과 실질적으로 동일 평면상에 위치하고, 밀봉 포핏이 열린 위치일 때에는 기판 수송 슬롯이 가공-용기 벽을 통해 기판 홀더까지 기판 수송 채널을 한정하고, 밀봉 포핏이닫힌 위치일 때에는 밀봉 포핏이 기판 수송 슬롯을 용기 내부로부터 분리시키는
    가공-용기 벽을 갖는 가공 용기중의 주변 슬롯 밸브.
  77. 제 76 항에 있어서,
    가공-용기 벽이 용기 주변을 상기 가공-용기 벽 내부로 한정하고, 밀봉 포핏이 닫힌 위치일 때 용기 주변과 합치되는 시스템.
  78. 제 76 항에 있어서,
    가공-용기 벽이 실질적으로 방사상 대칭 형태이고, 밀봉 포핏이 실질적으로 방사상 대칭 형태를 포함하는 시스템.
  79. 제 76 항에 있어서,
    닫힌 위치에서의 밀봉 포핏이 가공 용기 내부에서 가공 가스 유동 경로의 내부 밀봉 벽을 형성하는 시스템.
  80. 제 79 항에 있어서,
    내부 밀봉 벽이 방사상 대칭 형태를 포함하는 시스템.
  81. 제 76 항에 있어서,
    주변 슬롯 밸브가 고정된 상부 주변-밀봉 표면; 고정된 상부 주변-밀봉 표면에 상응하는 상부 포핏 주변-밀동 표면; 상부 주변 밀봉; 고정된 하부 주변-밀봉 표면; 상기 고정된 하부 주변-밀봉 표면에 상응하는 하부 포핏 주변-밀봉 표면; 및 하부 주변 밀봉을 포함하며, 여기서, 밀봉 포핏이 닫힌 위치일 때 상기 상부 밀봉 표면, 하부 밀봉 표면 및 주변 밀봉이 가공 용기 내부를 밀봉하도록 배열되는 시스템.
  82. 가공 가스를 가공 챔버로 유동시키는 단계; 및
    드로우-컨트롤 가스를 드로우 조절 챔버로 유동시키는 단계를 포함하며,
    상기 드로우 조절 챔버가 가공 챔버에 유체를 직렬 전달하여, 가공 챔버의 다운스트림에서 드로우 압력을 제어하는,
    가공 챔버에서 압력을 제어하기 위한 방법.
  83. 제 82 항에 있어서,
    드로우-컨트롤 가스가 반응 가스를 유동시켜 드로우 조절 챔버에서의 화학적 어베이트먼트를 증진시키는 것을 포함하는 방법.
  84. 제 83 항에 있어서,
    드로우 압력을 제어하는 단계가 드로우 압력을 1atm 압력 미만으로 제어함을 포함하는 방법.
  85. 제 83 항에 있어서,
    드로우 압력을 제어하는 단계가 5Torr 미만에서 드로우 압력을 제어하는 단계를 포함하는 방법.
  86. 1.5 이상의 종횡비를 갖는 다수의 노즐을 포함하는 노즐 어레이를 포함하는 가스 분배 장치.
KR1020047011192A 2002-01-17 2003-01-17 원자층 침착 장치 및 이의 제조방법 KR100979575B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US34963402P 2002-01-17 2002-01-17
US60/349,634 2002-01-17
PCT/US2003/001548 WO2003062490A2 (en) 2002-01-17 2003-01-17 Ald apparatus and method

Publications (2)

Publication Number Publication Date
KR20040085153A true KR20040085153A (ko) 2004-10-07
KR100979575B1 KR100979575B1 (ko) 2010-09-01

Family

ID=27613302

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047011192A KR100979575B1 (ko) 2002-01-17 2003-01-17 원자층 침착 장치 및 이의 제조방법

Country Status (6)

Country Link
US (3) US6911092B2 (ko)
EP (1) EP1466034A1 (ko)
JP (1) JP4908738B2 (ko)
KR (1) KR100979575B1 (ko)
CN (2) CN1643179B (ko)
WO (1) WO2003062490A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170040603A (ko) * 2015-10-05 2017-04-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
WO2022025970A1 (en) * 2020-07-29 2022-02-03 Lam Research Corporation Low resistance gate oxide metallization liner
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2020-04-07 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Families Citing this family (469)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
EP1466034A1 (en) * 2002-01-17 2004-10-13 Sundew Technologies, LLC Ald apparatus and method
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
JP3828821B2 (ja) * 2002-03-13 2006-10-04 株式会社堀場エステック 液体材料気化供給装置
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
KR100473806B1 (ko) * 2002-09-28 2005-03-10 한국전자통신연구원 유기물 박막 및 유기물 소자를 위한 대면적 유기물 기상증착 장치 및 제조 방법
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
CN1777696B (zh) * 2003-03-14 2011-04-20 杰努斯公司 用于原子层沉积的方法和设备
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
JP4965247B2 (ja) * 2003-04-23 2012-07-04 アイクストロン・インコーポレーテッド 促進されたaldプロセス
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
ATE468421T1 (de) * 2003-06-27 2010-06-15 Sundew Technologies Llc Vorrichtung und verfahren zur steuerung des dampfdrucks einer chemikalienquelle
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US20040261703A1 (en) * 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US7638167B2 (en) * 2004-06-04 2009-12-29 Applied Microstructures, Inc. Controlled deposition of silicon-containing coatings adhered by an oxide layer
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
WO2005007283A2 (en) * 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
KR100589053B1 (ko) * 2003-10-15 2006-06-12 삼성전자주식회사 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법
JP4280603B2 (ja) * 2003-11-04 2009-06-17 キヤノン株式会社 処理方法
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050221004A1 (en) * 2004-01-20 2005-10-06 Kilpela Olli V Vapor reactant source system with choked-flow elements
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7098082B2 (en) * 2004-04-13 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronics package assembly tool and method of manufacture therewith
KR100590554B1 (ko) * 2004-05-28 2006-06-19 삼성전자주식회사 반응용기 및 시편홀더의 구조가 개선된 단원자층 증착장치
US7879396B2 (en) * 2004-06-04 2011-02-01 Applied Microstructures, Inc. High aspect ratio performance coatings for biological microfluidics
DE602005016933D1 (de) 2004-06-28 2009-11-12 Cambridge Nanotech Inc Atomlagenabscheidungssystem und -verfahren
WO2006019923A2 (en) * 2004-07-15 2006-02-23 Pdc Facilities, Inc. Liner for a flow meter
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060093746A1 (en) * 2004-11-04 2006-05-04 Tokyo Electron Limited Method and apparatus for atomic layer deposition
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
TWI304241B (en) 2005-02-04 2008-12-11 Advanced Display Proc Eng Co Vacuum processing apparatus
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7819139B2 (en) * 2005-07-14 2010-10-26 Pdc Facilities, Inc. Liner for a flow meter
US8349726B2 (en) * 2005-09-23 2013-01-08 Nxp B.V. Method for fabricating a structure for a semiconductor device using a halogen based precursor
JP2007158230A (ja) * 2005-12-08 2007-06-21 Nec Electronics Corp プラズマエッチング装置のクリーニング方法、およびプラズマエッチング装置
TW200722732A (en) * 2005-12-09 2007-06-16 Li Bing Huan Semi-enclosed observation space for electron microscopy
US20070190670A1 (en) * 2006-02-10 2007-08-16 Forest Carl A Method of making ferroelectric and dielectric layered superlattice materials and memories utilizing same
JP6030278B2 (ja) * 2006-03-16 2016-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 電子デバイス製造システムの操作を改善する方法及び装置
JP4943047B2 (ja) 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
GB0607616D0 (en) * 2006-04-18 2006-05-31 Boc Group Plc Vacuum pumping system
EP2022872A4 (en) * 2006-05-09 2010-07-28 Ulvac Inc THIN FILM PRODUCTION EQUIPMENT AND INTERIOR BLOCK CORRESPONDING
US7789319B2 (en) * 2006-05-17 2010-09-07 Micron Technology, Inc. System and method for recirculating fluid supply for an injector for a semiconductor fabrication chamber
US20110017140A1 (en) * 2006-07-21 2011-01-27 Christopher Mark Bailey Method of treating a gas stream
KR100791334B1 (ko) * 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
JP5179739B2 (ja) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
KR100807216B1 (ko) * 2006-09-29 2008-02-28 삼성전자주식회사 두께 균일성을 향상할 수 있는 박막 형성 장치 및 방법
CN101536154B (zh) * 2006-11-09 2010-08-11 株式会社爱发科 遮蔽膜的形成方法
DE102007037527B4 (de) * 2006-11-10 2013-05-08 Schott Ag Verfahren zum Beschichten von Gegenständen mit Wechselschichten
US8900695B2 (en) * 2007-02-23 2014-12-02 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US20080248263A1 (en) * 2007-04-02 2008-10-09 Applied Microstructures, Inc. Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby
US8236379B2 (en) * 2007-04-02 2012-08-07 Applied Microstructures, Inc. Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
KR101560705B1 (ko) * 2007-05-25 2015-10-16 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치
CN101678407A (zh) * 2007-05-25 2010-03-24 应用材料股份有限公司 用于减量系统的有效操作的方法与装置
US20090018688A1 (en) * 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
CN101835521A (zh) * 2007-10-26 2010-09-15 应用材料公司 利用改进燃料线路的用于智能减废的方法与设备
US7905133B2 (en) * 2007-12-28 2011-03-15 Thar Instruments, Inc. Variable ratio flow splitter for a flowstream
JP5264231B2 (ja) 2008-03-21 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
US8673394B2 (en) * 2008-05-20 2014-03-18 Sundew Technologies Llc Deposition method and apparatus
WO2009148913A2 (en) * 2008-06-02 2009-12-10 Mattson Technology, Inc. Process and system for varying the exposure to a chemical ambient in a process chamber
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US8234012B1 (en) * 2008-09-26 2012-07-31 Intermolecular, Inc. Preparing a chemical delivery line of a chemical dispense system for delivery
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US20100116206A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Gas delivery system having reduced pressure variation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
US9181097B2 (en) 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
CN102597312B (zh) * 2009-11-16 2015-08-05 Fei公司 用于束处理系统的气体传输
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
WO2011088024A1 (en) * 2010-01-12 2011-07-21 Sundew Technologies, Llc Methods and apparatus for atomic layer deposition on large area substrates
US8997686B2 (en) * 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US9348339B2 (en) 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
KR101311983B1 (ko) * 2011-03-31 2013-09-30 엘아이지에이디피 주식회사 가스 주입 장치, 원자층 증착장치 및 이 장치를 이용한 원자층 증착방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
DE102012210332A1 (de) * 2012-06-19 2013-12-19 Osram Opto Semiconductors Gmbh Ald-beschichtungsanlage
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101541154B1 (ko) * 2012-12-13 2015-08-03 엘아이지인베니아 주식회사 원자층 증착장치
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6258657B2 (ja) * 2013-10-18 2018-01-10 東京エレクトロン株式会社 成膜方法および成膜装置
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
US9909682B2 (en) 2014-01-07 2018-03-06 Sundew Technologies Llc Fluid-actuated flow control valves
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9663857B2 (en) 2014-04-07 2017-05-30 Asm Ip Holding B.V. Method for stabilizing reaction chamber pressure
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160068961A1 (en) * 2014-09-05 2016-03-10 Aixtron Se Method and Apparatus For Growing Binary, Ternary and Quaternary Materials on a Substrate
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6539482B2 (ja) * 2015-04-15 2019-07-03 株式会社フジキン 遮断開放器
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102514043B1 (ko) * 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102344996B1 (ko) * 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11056285B2 (en) 2018-04-13 2021-07-06 Avx Corporation Solid electrolytic capacitor containing an adhesive film
CN111971767B (zh) 2018-04-13 2022-03-22 京瓷Avx元器件公司 含有顺序气相沉积的内部导电聚合物膜的固体电解电容器
WO2019199484A1 (en) 2018-04-13 2019-10-17 Avx Corporation Solid electrolytic capacitor containing a vapor-deposited barrier film
CN112074624A (zh) * 2018-05-04 2020-12-11 应用材料公司 用于控制中心到边缘压力改变的压力歪斜系统
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
JP7167344B2 (ja) 2018-11-29 2022-11-08 キョーセラ・エイブイエックス・コンポーネンツ・コーポレーション 順次蒸着誘電体膜を含む固体電解キャパシタ
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN110318040B (zh) * 2019-07-29 2021-11-30 陕西煤业化工技术研究院有限责任公司 一种原子层沉积系统
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US20210088402A1 (en) * 2019-09-23 2021-03-25 Arradiance, Llc Vacuum Gauge Protector for Deposition Systems
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
FI129610B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT AND METHOD
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202200830A (zh) * 2020-02-26 2022-01-01 美商應用材料股份有限公司 用於ald 處理的循序脈衝和淨化
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
US11359286B2 (en) * 2020-05-01 2022-06-14 Applied Materials, Inc. Quartz crystal microbalance concentration monitor
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
US11396703B2 (en) 2020-12-21 2022-07-26 Applied Materials, Inc. Apparatus and methods for improving chemical utilization rate in deposition process
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220285133A1 (en) * 2021-03-02 2022-09-08 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
KR20230025563A (ko) * 2021-08-12 2023-02-22 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115389096A (zh) * 2022-08-26 2022-11-25 江苏微导纳米科技股份有限公司 气体压力探测装置及沉积设备
CN115595559A (zh) * 2022-10-27 2023-01-13 拓荆科技股份有限公司(Cn) 多腔室半导体设备
CN116103640B (zh) * 2023-04-07 2023-06-27 上海陛通半导体能源科技股份有限公司 一种ald反应腔装置及ald镀膜设备
CN116926504A (zh) * 2023-09-19 2023-10-24 上海星原驰半导体有限公司 前驱体输出装置和原子层沉积设备

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US187084A (en) * 1877-02-06 Improvement in trace-detaching devices
US76508A (en) * 1868-04-07 Improved kiln foe drying malt
FI117944B (fi) * 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
DE3717724A1 (de) 1987-05-26 1988-12-08 Schertler Siegfried Ventilschieber mit einem schiebergehaeuse
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
EP0382984A1 (en) 1989-02-13 1990-08-22 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Thermal decomposition trap
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
JP3052269B2 (ja) * 1991-01-07 2000-06-12 日本電信電話株式会社 気相成長装置およびその成長方法
CA2060917A1 (en) * 1991-03-12 1992-09-13 Milam Pender Plasma enhanced chemical vapor deposition device
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5330610A (en) * 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5386798A (en) * 1993-10-06 1995-02-07 Martin Marietta Energy Systems, Inc. Method for continuous control of composition and doping of pulsed laser deposited films
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
TW323387B (ko) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5758680A (en) 1996-03-29 1998-06-02 Lam Research Corporation Method and apparatus for pressure control in vacuum processors
FR2751733B1 (fr) * 1996-07-23 1998-09-04 Gec Alsthom Transport Sa Dispositif et procede de regulation de la pression interne d'un espace clos ventile soumis a des variations de pression exterieure
US5928426A (en) 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH1180964A (ja) * 1997-07-07 1999-03-26 Canon Inc プラズマcvd法による堆積膜形成装置
US6056824A (en) * 1998-01-16 2000-05-02 Silicon Valley Group Thermal Systems Free floating shield and semiconductor processing system
US6063198A (en) * 1998-01-21 2000-05-16 Applied Materials, Inc. High pressure release device for semiconductor fabricating equipment
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6197119B1 (en) 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6432256B1 (en) * 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
WO2000079019A1 (en) * 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
FI110311B (fi) 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
TW515032B (en) * 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
US6998152B2 (en) * 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
JP4809562B2 (ja) * 1999-12-22 2011-11-09 アイクストロン、アーゲー 化学気相成膜反応室
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
JP4727085B2 (ja) * 2000-08-11 2011-07-20 東京エレクトロン株式会社 基板処理装置および処理方法
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
EP1466034A1 (en) * 2002-01-17 2004-10-13 Sundew Technologies, LLC Ald apparatus and method
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
ATE468421T1 (de) * 2003-06-27 2010-06-15 Sundew Technologies Llc Vorrichtung und verfahren zur steuerung des dampfdrucks einer chemikalienquelle
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US7335277B2 (en) * 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170040603A (ko) * 2015-10-05 2017-04-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
KR20210093825A (ko) * 2015-10-05 2021-07-28 주성엔지니어링(주) 기판처리장치 및 배기가스 처리방법
US11371142B2 (en) 2015-10-05 2022-06-28 Jusung Engineering Co., Ltd. Substrate processing apparatus having exhaust gas decomposer, and exhaust gas processing method therefor
US11970770B2 (en) 2015-10-05 2024-04-30 Jusung Engineering Co., Ltd. Substrate processing apparatus having exhaust gas decomposer, and exhaust gas processing method therefor
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2020-04-07 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
WO2022025970A1 (en) * 2020-07-29 2022-02-03 Lam Research Corporation Low resistance gate oxide metallization liner

Also Published As

Publication number Publication date
JP4908738B2 (ja) 2012-04-04
CN1643179B (zh) 2010-05-26
EP1466034A1 (en) 2004-10-13
CN1643179A (zh) 2005-07-20
US8012261B2 (en) 2011-09-06
US20100043888A1 (en) 2010-02-25
CN101818334A (zh) 2010-09-01
JP2005515647A (ja) 2005-05-26
US7635502B2 (en) 2009-12-22
US20050160983A1 (en) 2005-07-28
CN101818334B (zh) 2012-12-12
US6911092B2 (en) 2005-06-28
KR100979575B1 (ko) 2010-09-01
US20030180458A1 (en) 2003-09-25
WO2003062490A2 (en) 2003-07-31

Similar Documents

Publication Publication Date Title
KR100979575B1 (ko) 원자층 침착 장치 및 이의 제조방법
US10998187B2 (en) Selective deposition with atomic layer etch reset
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
US11814727B2 (en) Systems and methods for atomic layer deposition
JP6752555B2 (ja) 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置
EP1649076B1 (en) Apparatus and method for chemical source vapor pressure control
KR101701024B1 (ko) 컨포멀한 알루미늄 질화물을 위한 고 성장 레이트 프로세스
TW202138607A (zh) 形成含氮碳膜之方法及用於執行該方法之系統
KR100914354B1 (ko) Pecvd막에 대한 1차 웨이퍼 효과 제거
US20030198754A1 (en) Aluminum oxide chamber and process
JP2006516304A (ja) 薄膜を層状堆積させるための方法及び装置
KR20090013111A (ko) 시클로펜타디에닐 금속 전구체들을 이용한 상이한금속-함유막들의 인 시투 증착 방법
JP2021019201A (ja) 半導体処理システム用シャワーヘッドデバイス
US20130087093A1 (en) Apparatus and method for hvpe processing using a plasma
JP4356943B2 (ja) 基板処理装置及び半導体装置の製造方法
KR20240007601A (ko) 기판 표면 상에 응축 가능한 재료를 증착하는 방법
JP2023098683A (ja) ガス供給ユニットおよびガス供給ユニットを含む基材プロセッシング装置
KR20090109058A (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP2006216597A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150729

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170818

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180730

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190729

Year of fee payment: 10