JP2005515647A - Ald装置及び方法 - Google Patents

Ald装置及び方法 Download PDF

Info

Publication number
JP2005515647A
JP2005515647A JP2003562353A JP2003562353A JP2005515647A JP 2005515647 A JP2005515647 A JP 2005515647A JP 2003562353 A JP2003562353 A JP 2003562353A JP 2003562353 A JP2003562353 A JP 2003562353A JP 2005515647 A JP2005515647 A JP 2005515647A
Authority
JP
Japan
Prior art keywords
draw
gas
chamber
purge
chemical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003562353A
Other languages
English (en)
Other versions
JP4908738B2 (ja
JP2005515647A5 (ja
Inventor
スネフ,オファー
Original Assignee
サンデュー・テクノロジーズ・エルエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by サンデュー・テクノロジーズ・エルエルシー filed Critical サンデュー・テクノロジーズ・エルエルシー
Publication of JP2005515647A publication Critical patent/JP2005515647A/ja
Publication of JP2005515647A5 publication Critical patent/JP2005515647A5/ja
Application granted granted Critical
Publication of JP4908738B2 publication Critical patent/JP4908738B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control

Abstract

要約書なし。

Description

本発明は、原子層蒸着(ALD)の分野に関し、特に高処理量と低コストでALDを行うためのシステム及び方法に関する。
薄膜蒸着は、半導体デバイス及び他の多くの有用なデバイスの製造において一般に実施されている。化学蒸着(CVD)の周知の技術は、反応チャンバ内で反応して所望の膜を基板上に堆積させる化学反応性分子を利用する。CVD用途に有用な分子状前駆体は、堆積させるべき膜を構成する元素(原子)及び典型的には追加の元素を含む。CVD前駆体は、気相にて、実用的に運ばれて基板で反応することができる揮発性分子である。
慣用のCVDは、種々の技術によって当該分野で実施されている。所望の薄膜特性及び費用効果的運転パラメータは、設備、前駆体組成、圧力範囲、温度、及び他の変数の選択に影響を与える。多くの異なる装置及び方法が成功裡に実現されている。ほとんどのCVD技術に共通することは、1種以上の分子状前駆体の良好に制御された流束のCVD反応器への適用である。基板は、副産物の効果的な堆積と同時に分子状前駆体の間の化学反応を促進するため、良好に制御された圧力条件下で良好に制御された温度に維持される。化学反応は、所望の膜厚を有する所望の薄膜を堆積させるように進行させられる。
最適なCVD性能は、避けることができない過渡が抑制又は最小化されているプロセス全体での流束、温度及び圧力の定常状態を達成し維持する能力と直接相関する。CVDは、再現可能な厚み及び並はずれた品質を有する均一な正角コーティングを提供している。
それにもかかわらず、集積回路デバイスにおいて、デバイス密度が増加し、デバイス幾何学がより複雑になるにつれて、優れた正角コーティング特性を有し、より薄い膜に対する必要性は、慣用のCVD技術の限界近くに達してしまい、新規な技術が必要となっている。異種CVD、原子層蒸着(ALD)の台頭は、アドバンスド薄膜蒸着に対する優れた厚み制御及び正角性を提供する。
ALDは、慣用の薄膜堆積プロセスを、自己終結し、自己終結暴露時間までもしくは自己終結暴露時間を超えて行われるとき、正確に1層の原子層を堆積する単層原子堆積工程に分割する。原子層は、典型的には、約0.1分子単層〜0.5分子単層と等しい。原子層の堆積は、反応性分子状前駆体と基板との間の化学反応の結果である。各別個のALD反応−堆積工程において、次の反応は、所望の原子層を堆積し、分子状前駆体に本来的に含まれている「過剰の」原子を排除する。
ALD用途において、典型的には2種の分子状前駆体を異なるステージにおいてALD反応器に導入する。例えば、金属前駆体分子MLxは、原子若しくは分子リガンドLに結合する金属元素M(例えば、M=Al、W、Ta、Siなど)を含む。金属前駆体は、基板と反応する。このALD反応は、基板表面が分子状前駆体と直接反応するように調製されている場合にのみ生じる。例えば、基板表面は、典型的には、金属前駆体と反応性である水素含有リガンドAHを含むように調製される。気体状前駆体分子は、基板表面上の全てのリガンドと効果的に反応して、結果的に金属の原子層の蒸着を生じる:基板−AH+MLx→基板−AMLx-1+HL(式中、HLは反応副産物である)。反応中、初期表面リガンドAHは消費され、表面はLリガンドで覆われるようになり、さらには金属前駆体MLxと反応できない。したがって、表面上のすべての初期AHリガンドがAMLx-1種で置換されると、反応は自己終結する。
反応ステージは、典型的には、他の前駆体の別個の導入前にチャンバから金属前駆体を排除する不活性ガスパージステージへと続く。
次に、第2の分子状前駆体を用いて、基板の表面反応性を金属前駆体に復元する。これは、例えば、Lリガンドを除くことにより、AHリガンドを再度堆積させることによってなされる。この場合、第2の前駆体は、典型的には、所望の(通常は非金属)元素A(すなわち、O、N、S)及び水素(すなわち、H2O、NH3、H2S)を含む。反応、基板−ML+AHy→基板−M-AL+HL(ここで、簡略化のために、化学反応は平衡していない)は、表面をAH−被覆されている状態に変換して戻す。所望の追加の元素Aは、膜に組み込まれ、望ましくないリガンドLは揮発性副産物として排除される。再び、反応は、反応性サイト(このとき、L末端サイト)を消費し、基板上の反応性サイトが全体的に消費し尽くされると、自己終結する。次いで、第2の分子状前駆体は、第2のパージステージにおいて不活性パージガスを流すことによって、蒸着チャンバから除かれる。
基板表面をその初期反応性状態に復元する表面反応及び前駆体除去のこのシーケンスは、典型的なALD蒸着サイクルである。基板をその初期状態に復元することは、ALDの重要な特徴である。化学平衡、サイクルごとの堆積、組成、及び厚みにおいて全て同一である等価計量シーケンス(equal metered sequences)において、膜が下に層状(layered down)になり得ることを含意する。自己飽和表面反応は、ALD集中を不均一に移動させる。この不均一な移動は、エンジニアリング及びフローシステムの限界のいずれかに関連するかもしれないし、又は表面トポロジー(すなわち、3次元への体積、高さアスペクト比構造)に関連するかもしれない。化学物質の不均一な流束は、異なる領域での異なる完了時間のみを結果的に生じるだけである。しかし、各反応が基板表面全体で完了することができるならば、異なる完了速度(kinetics)は不利益を生じない。これは、最初に反応を完了する領域が反応を自己終結させ、表面上の残りの領域が反応を完了して、自己終結し、本質的に遅れを取り戻すことができるからである。
ALDの効率的な実施は、化学流束をMLxからAHyへと突然に且つ早く変えることができる装置を必要とする。さらに、装置は、このシーケンスを多数のサイクルにおいて効果的に且つ信頼性良く行い、費用効果的な多数の基板のコーティングを容易にすることができるものでなければならない。典型的には、ALDプロセスは、ALDサイクルごとに膜の約0.1nmを堆積させる。有用且つ経済的な実行可能なサイクル時間は、殆どの半導体用途に対して約3nm〜30nmの範囲の厚みを累積させ、他の用途に対してはより厚い膜さえも累積させなければならない。産業処理量標準は、基板を2分〜3分で処理することを指定する。これはALDサイクル時間が約0.6秒〜6秒の範囲になければならないことを意味する。多くの技術的試行は、これまで、ALDシステム及び半導体デバイス及び他のデバイスの製造方法の費用効果的実施を妨害してきた。
一般に、ALDプロセスは、基板への化学流束を逐次的に交互に変えることを必要とする。代表的なALDプロセスは、上述のように、異なる4種の運転ステージを必要とする。
1.MLx反応;
2.MLxパージ;
3.AHy反応;及び
4.AHyパージ
短いサイクル時間に対する必要性を仮定すると、ALDにて使用するに適切な化学物質搬送システムは、流入する分子状前駆体の流れを交互に変えて、秒以下の応答時間でパージすることができなければならない。さらに、顕著な流れの不均一性が存在する場合には、これらは、最小の流束に暴露される領域によって指定された時間まで、反応ステージ時間を増加することによって、化学反応の自己終結性質を通して解決され得る。それにもかかわらず、サイクル時間が対応して増加するので、この必要性は処理量を減少させる。
ALD反応が自己終結に達するために必要とする時間を最小化するために、任意の所与の反応温度にて、ALD反応器への化学流束を最大化しなければならない。ALD反応器への化学流束を最大化するために、不活性ガスの最小の希釈で且つ高圧力にて、分子状前駆体をALD反応器に導入することが有利である。他方、短いサイクル時間を達成する必要性は、これらの分子状前駆体のALD反応器からの迅速な除去を要求する。迅速な除去は、次に、ALD反応器内での最小化されるべきガスの滞留時間を指定する。ガス滞留時間τは、反応器の容積V、ALD反応器内の圧力P、流れの逆数Qに比例するτ=VP/Q。したがって、ALD反応器内でのより低い圧力(P)は、低いガス滞留時間を促進し、ALD反応器からの化学物質前駆体の除去(パージ)速度を増加させる。対比して、ALD反応時間を最小化することは、ALD反応器内での高圧力の使用を通じて、ALD反応器への化学物質前駆体の流束を最大化することを要求する。加えて、ガス滞留時間及び化学物質使用効率の両者は、流れに反比例する。よって、流れを低くすると効率は増加するが、ガス滞留時間も増加するであろう。
現存するALD装置は、反応時間を短縮して化学物質利用効率を改良する必要性と、他方ではパージガス滞留時間及び化学物質除去時間を最小化する必要性との間での兼ね合いを図ろうとしてきた。従来の2,3のALD装置は、多重バルブの同期作動を用いる化学物質搬送マニホルドを含む。このようなシステムにおいて、完全な同期を有するバルブ作動はそれ自体理論的に不可能であるから、流れの変位を十分に排除することは不可能である。その結果、不可避の流れの変位は、不利な化学物質の混合を導くガスの逆流を発生させるものとして広く知られている。
よって、逆流を防止しながら、短い反応時間及び良好な化学物質利用効率を達成することができ、パージガス滞留時間及び化学物質除去時間を最小化することができるALD装置に対する必要性が存在する。
慣用のALD装置を利用するから、「メモリー」効果は、ALD反応器の効率を減少させる傾向にある。このようなメモリー効果は、吸着エネルギー及び壁の温度によって指定される時間枠にて、ALD反応器の壁上に吸着しやすく、よってALD反応器の壁から逃げやすいという化学物質の傾向によって引き起こされる。この現象は、ALD反応器内での痕跡量の化学物質の滞留時間を増加させる傾向にある。結果的に、メモリー効果は、化学物質の除去に要するパージ時間を増加させる傾向にある。よって、メモリー効果を最小化するALD装置が必要性とされている。
膜は、化学物質に暴露される慣用のALD装置のすべての領域において成長する。特に、膜成長は、暴露されたチャンバ壁並びに基板上にて生じる。チャンバ壁上での膜成長は、膜の成長がALDチャンバの壁上の表面積を増加させる程度まで、ALD装置の性能を低下させる。チャンバ壁上で成長する膜の好ましくない傾向は、チャンバ壁の表面積で評価する。同様に、表面積の増加は、さらにチャンバメモリー効果を大きくする。表面積の増加は、あまり多孔性ではない膜堆積の成長の結果かもしれない。多孔性堆積をもたらす膜成長は、ポア内部の化学分子の捕捉により、チャンバメモリーを拡大することができる。よって、膜の成長及び堆積を最小に維持すること、及び生じる任意の膜成長を制御して表面積又は多孔度の増加なしに壁を効果的に被覆する高品質膜を堆積させることは、ALD装置の機能にとって必須の要素である。よって、膜成長を最小化して、生じ得る任意の膜成長の制御を行うALD装置に対するさらなる必要性が存在する。
良好に最適化されたALD装置及び方法は、基板上のALD蒸着が生じる反応スペース内でALD前駆体の適切な最小の共存を維持するように設計される。対照的に、ALD前駆体の不利な共存は、ALD反応スペースから下流側のシステムスペース内で事実上避けることができない。ただし、処理量はあまり折り合わない。不利な共存は、非常に多量の容積をパージすることによってのみ避けることができるので、ALDシステムの処理量を大幅に犠牲にする。典型的には、チャンバスペース内に共存するALD前駆体は、低品位の膜を製造する傾向にある。結果として、処理量が最適化されたALDシステムは、ALDスペースからすぐ下流にあるスペース内で低品位の固体堆積を成長させる傾向に悩まされる。低品位膜は増加した表面積を与え、前駆体の共存を強めるので、低品位膜の成長は、ますます悪くなり、問題は深刻化する。ALDスペースから非常に近接した下流の化学物質のいくらかはALD反応スペースに戻るので(例えば、拡散によって)、ALD性能は悪化する。さらに、結果的に、基板上に粒子の低品位な堆積が生じる。したがって、ピーク処理量にて運転される慣用のALDシステムは、汚染物質が早く蓄積して、ALD性能が早く劣化する運命にある。
処理量が最適化されたALDシステムは、ALD反応スペースからすぐ下流での前駆体共存によって特徴づけられるので、これらのシステムを長時間の費用効果的メンテナンスサイクルにわたってピーク性能に維持することは、避けることができない膜のダウンストリーム堆積が適切な品質及び好ましい位置に能動的に制御されるべきことを指示する。ALDスペースから下流での局部的な前駆体の排除は、さらに、ポンプ、バルブ及びゲージなどのダウンストリーム成分の摩耗をも実質的に減少させる。
冷たいトラップ及び熱いトラップは、周囲圧力範囲よりも低い圧力範囲でダウストリーム流出物から望ましくない汚染物質を除去するために広範囲にわたって用いられており、当業者には周知である。このために、プラズマ排除装置や滞留時間延長トラップなどの他の技術もまた効果的である。これらの排除解決法のほとんどは、種々の異なるシステムにおける効果的な使用に適応され得る「ターン−キー(turn-key)」機器として商業的に入手可能である。典型的には、これらの排除装置は、永久的に(例えば、化学反応により固体膜を堆積させるなど)又は瞬間的に反応性成分を効果的にトラップするための犠牲的排除表面を実装する。これらのトラップの大半は、理論的には、ALDシステムの下流に適合させられ得る。しかし、安全性の問題及び最適化されたALDシステムへ排除をシームレス的に一体化する必要性は、ほとんどの排除技術の実施可能性及び費用対効果を相当制限する。
原則的に、安全性の問題は、コールドトラップによるALD前駆体の化学物質排除を阻害する。ALD前駆体間の反応を促進するためのホットトラップの実装は、低品位膜の成長を防止するために慎重な設計及び条件の制御を必要とする。例えば、Al2O3 ALD膜を堆積させるために用いられる前駆体TMA及びH2Oなど、典型的なALD前駆体の組み合わせのある種の特性は、ホットトラッププロセス条件の設計を特殊且つ制御困難なものとする。ALD条件下での排除は許容できない処理量損失を伴うので、排除スペースにおける反応物質の共存が要件である。したがって、低品位Al(OH)3堆積の成長を避けることは困難である。高品位Al2O3堆積の成長を促進するために、Al(OH)3成長を抑制することは、H2Oレベルを非常に低レベルに維持することを必要とする。H2Oの低い反応性は、高処理量プロセスにおける過剰量の投与を意味するから、このタスクは取るに足らないものではない。温度上昇は、TMA熱分解を避けるために350℃以下に制限される。TMA熱分解は、炭化され、より低品位のアルミナの堆積の成長を促進する。
同様に、他のALD前駆体システムの詳細な検査は、典型的なAHyタイプ前駆体が過剰に投与されなければならず、こうしてオキシ塩化物やアミン塩などの問題のある低品位堆積を作り出すことを明らかにしている。したがって残念ながら、ALD前駆体の組み合わせは並はずれた品質のALD膜を堆積可能であるが、CVD条件下で、AHy前駆体の濃度が高い典型的な排気条件下で反応させると、低品位膜を作り出すことが典型的な観察結果である。一般に、CVD堆積の品質は、温度を上昇させて、AHy前駆体の濃度を非常に低レベルに維持することによって改良する。
一般化されたALD排除解決法は、多くの異なるタイプのALDプロセスに適切であるべきである。米国特許公開公報U. S. Patent Application publication 2002/0187084には、基板と実質的に同じ反応条件下に維持されている犠牲物質まで、過剰の反応物質を送ることを含むALD反応プロセスから排出されたガス中の物質を除去する方法が記載されている。しかし、最適なALD処理量は妥協すべきではなく、排除表面における条件は、ALDスペース内の条件から明らかに逸脱していなければならない。特に、ALDスペースが高品位ALD膜を成長させるために最適化されるが、一方で排除表面におけるALD前駆体の共存は低品位膜の堆積を促進する。排除表面の実際の容量は、排除表面が非常に多孔性の要素からなるか、あるいは排除容積が非常に大容量からなるか、のいずれかを指示する。いずれにしても、得られる排除スペースは、ALD前駆体を製造する非固体を蓄積する傾向にあるであろう。なぜなら、これらの前駆体は、処理量が最適化されたALDプロセスにおいて、常に大過剰量で用いられるからである。例えば、TMA及びH2OからAl2O3を堆積させるためにALDプロセスにて用いられるH2O前駆体は、低品位膜の堆積を促進する実質的に高い分圧まで排除スペース内に蓄積する。このH2Oの潜在的な蓄積は、低品位膜の堆積が過剰になると悪化し、蓄積されたH2Oの反応スペースへの逆拡散は、ALD性能を劣化させる。したがって、米国特許公開公報U. S. Application Publication 2002/0187084に記載されているようなホットトラップは、典型的には過剰に用いられなければならないALD前駆体の蓄積を制御する手段が提供されるまでは、ALD排除に対する良好な選択ではない。一般的な排除解決法に対して、多様な条件下で高品位膜堆積を生じさせることができる一般的な排除手段を提供することもまた必要である。
現存のCVDシステム、PECVDシステム及びALDシステムにおいて、反応チャンバにおけるガス捕捉(entrapment)及びガスフロー乱れ、及び結果として得られる基板表面でのガスフロー及びガス圧の不均質は、通常、堆積した薄膜の厚み及び他の特性における不利な不均質をもたらす。ALDにおいて、適切に長い投与時間が実現される限り、化学物質投与中のガスフロー及びガス圧の不均質は、必ずしも膜の不均質をもたらすものではない。しかし、ガス捕捉及びガスフローの乱れは、しばしば、パージ工程の効率に重大で不利な影響を与える。例えば、単一ウェハ処理チャンバの壁内のウェハ搬送チャネルに関連する「デッド区間(dead-leg)」スペースは、CVD、エッチング、ALD及びPVDなどの従来のウェハ処理における公知の問題である。特に、このスペースの効果的なALDパージは典型的には不可能である。単一ウェハ蒸着の従来技術は、この問題に対する種々の効果的な改善方法を与えている。例えば、1996年9月24日発行のZhaoらの米国特許U. S. Patent No. 5,558,717は、環状フローオリフィス及び環状ポンプチャネルの有利な実装を教示する。この環状設計は、比較的広いプロセスチャンバ設計を要する。別の例において、2001年1月16日発行のDoeringらの米国特許U. S. Patent No. 6,174,377には、低いチャック位置でウェハを装填し、一方ウェハの処理は高いチャック位置で行われ、ウェハ搬送チャネルを出て、これに関連するフローの乱れはウェハレベルよりも実質的に低くなるように設計されたALDチャンバが記載されている。これら従来技術の解決法及び他の従来技術の解決法とも、ALDシステムにおける基板搬送機構に関連する問題を解決するには十分ではない。
よって、化学物質堆積プロセス、特にALD技術において、化学物質の均一で対称的な流束を基板表面に与え、デッド区間ウェハ装填空隙のない円滑なフロー経路構造を提供する装置に対する必要性が存在する。
本発明による実施形態は、上述の問題のいくつかを解決する補助となった。本発明によるシステム、装置及び方法は、化学プロセス、特に原子層蒸着プロセス及びシステムにおけるフローとドローとの同期調整(「SMFD」)を提供する。
原子層蒸着(「ALD」)は、好ましくは、パージ中に蒸着チャンバを通過する可能な限り最高の流量で、且つ、化学物質の投与中に可能な限り最低の流量で実施される。したがって、本発明によるALDシステムは、ALDサイクル中に、流量の顕著な変調を生じさせ吸収する。プロセスチャンバ(又はALDチャンバもしくは蒸着チャンバ)へのプロセスガス(不活性パージガス又は化学反応物質ガスのいずれか)の流れを本明細書において「フロー」と称し、プロセスチャンバから出るガスの流れを本明細書において「ドロー」と称する。定常状態条件下で、ドローは、一般にフローと一致する。過渡的流れ条件中、フロー及びドローは「不一致」である。
本発明による実施形態の重要な側面は、蒸着チャンバのパージ中には大きな流量を必要とし、化学物質投与中には小さな流量を必要とする2種の相反する要求の間で、慣用のALDシステムの兼ね合いを解決することである。本発明によるSMFDは、低圧及び高いパージガス流量にてプロセスチャンバをパージし、続いてプロセスチャンバ内で化学反応物質ガスの高圧及び低い流量での化学物質投与を行い、早い応答時間で圧力及びガス流量を緩和する能力を与える。
一側面において、本発明による方法は、第1の化学反応物質ガスを選択された第1の投与流量にて且つ独立に選択された第1の投与圧力にて、蒸着チャンバを貫通して流す第1の化学物質投与ステージを行い、;第二に、第1のパージガスを選択された第1のパージ流量にて且つ独立に選択された第1のパージ圧力にて、蒸着チャンバを貫通して流すことにより第1のパージステージを行い;第三に、第2の化学反応物質ガスを選択された第2の投与流量にて且つ独立に選択された第2の投与圧力にて、蒸着チャンバを貫通して流すことを含む第2の化学物質投与ステージを行い;第四に、第2のパージガスを選択された第2のパージ流量にて且つ独立に選択された第2のパージ圧力にて、蒸着チャンバを貫通して流すことにより第2のパージステージを行う、サイクルを含む。典型的には、第1のパージガス及び第2のパージガスは同じであり、共通のパージガス源により供給される。本発明による方法の固有の特徴は、第1の化学物質投与ステージ、第1のパージステージ、第2の投与ステージ、及び第2のパージステージが、それぞれ、選択され制御された時間で行われ、サイクルの各繰り返しにおいて同じものが残ることである。典型的な4ステージサイクルは、通常、ALDプロセスにおける単一の薄膜を堆積するために、多数回又は数百回、繰り返される。本発明による実施形態の重要な利点は、サイクルの各ステージの持続時間であり、よってサイクルの総持続時間が典型的には慣用のALDプロセス及びシステムにて実際に実現可能な時間よりも非常に短いことである。ゆえに、第1の化学物質投与ステージ、第1のパージステージ、第2の化学物質投与ステージ、第2のパージステージを逐次的に行うことは、典型的には、3秒未満でシーケンスを行うこと、好ましくは1秒未満で、より好ましくは0.5秒未満でシーケンスを行うことを含む。例えば、Al2O3の優れたALD薄膜は、サイクル時間がわずかに450ミリ秒(「msec」)である本発明による方法によって製造される。良好な薄膜品質を維持しながら処理量を最大化するために、各4ステージの持続時間は、典型的には他のステージとは異なる。さらに、各ステージの流量は、典型的には、サイクルにおける他のステージの流量とは異なる。一般に、第1のパージ流量は第1の投与流量よりも大きく、第1のパージ流量の第1の投与流量に対する比率は、典型的には1.5を超え、通常は20を超え、好ましくは100を超える。同様に、第2のパージ流量は一般に、第2の投与流量よりも大きく、第2の投与流量に対する第2のパージ流量の比率は、典型的には1.5を超え、通常は20を超え、好ましくは100を超える。
別の側面において、第1の化学物質投与ステージを開始することは、第1の化学反応物質ガスを第1の過渡的流量にて最初に流すことを含み、第1の過渡的流量は第1の投与流量よりも最初は実質的に大きい。また別の側面において、第2の化学物質投与ステージを開始することは、第2の化学反応物質ガスを第2の過渡的流量にて最初に流すことを含み、第2の過渡的流量は第2の投与流量よりも最初は実質的に大きい。
別の側面において、第1の化学反応物質ガスを選択された第1の投与流量にて且つ独立に選択された第1の投与圧力にて流すことは、蒸着チャンバへの第1の化学反応物質ガスの第1の投与流量を制御し、独立に、蒸着チャンバから出る第1の化学反応物質ガスの第1の化学物質ドローを第1の投与流量と実質的に一致させることを含む。別の側面において、蒸着チャンバから出る第1の化学反応物質ガスの第1の化学物質ドローを独立に実質的に一致させることは、蒸着チャンバから下流の第1の投与ドロー圧力を制御することを含む。別の側面において、第1の投与ドロー圧力を制御することは、ドロー制御チャンバを貫通して第1の投与ドローガス流量にてドローガスを流すこと、及び第1の投与ドローガス流量を制御して第1の投与ドロー圧力を達成することを含み、ドロー制御チャンバは蒸着チャンバから下流に位置づけられている。
別の側面において、選択された第1のパージ流量にて且つ独立に選択された第1のパージガス圧力にて蒸着チャンバを貫通して第1のパージガスを流すことは、蒸着チャンバへの第1のパージガスの第1のパージ流量を制御すること、蒸着チャンバを出る第1のパージガスの第1のパージドローを第1のパージ流量に独立に実質的に一致させること、を含む。別の側面において、蒸着チャンバを出る第1のパージガスの第1のパージドローを独立に実質的に一致させることは、蒸着チャンバから下流の第1のパージドロー圧力を制御することを含む。別の側面において、第1のパージドロー圧力を制御することは、ドロー制御チャンバを貫通して、第1のパージドローガス流量にてドローガスを流すこと、及び第1のパージドロー流量を制御して第1のパージドロー圧力を達成すること、を含む。
別の側面において、第2の化学反応物質ガスを選択された第2の投与流量にて且つ独立に選択された第2の投与圧力にて流すことは、蒸着チャンバへの第2の化学反応物質ガスの第2の投与流量を制御して、蒸着チャンバを出る第2の化学反応物質ガスの第2の化学物質ドローを第2の投与流量に独立に実質的に一致させることを含む。別の側面において、蒸着チャンバを出る第2の化学反応物質ガスの第2の化学物質ドローを独立に実質的に一致させることは、蒸着チャンバから下流の第2の投与ドロー圧力を制御することを含む。別の側面において、第2の投与ドロー圧力を制御することは、ドローガスを第2の投与ドローガス流量にてドロー制御チャンバを貫通して流すこと、及び第2の投与ドローガス流量を制御して第2の投与ドロー圧力を達成すること、を含む。
別の側面において、第2のパージガスを選択された第2のパージ流量にて且つ独立に選択された第2のパージ圧力にて蒸着チャンバを貫通して流すことは、蒸着チャンバへの第2のパージガスの第2のパージ流量を制御すること、及び蒸着チャンバを出る第2のパージガスの第2のパージドローを第2のパージ流量に独立に実質的に一致させること、を含む。別の側面において、蒸着チャンバを出る第2のパージガスの第2のパージドローを独立に実質的に一致させることは、蒸着チャンバから下流の第2のパージドロー圧力を制御することを含む。別の側面において、第2のパージドロー圧力を制御することは、ドローガスを第2のパージドロー流量にてドロー制御チャンバを貫通して流すこと、第2のパージドローガス流量を制御して第2のパージドロー圧力を達成すること、を含み、ドロー制御チャンバは蒸着チャンバから下流に位置づけられている。
別の側面において、第1の化学反応物質ガスを選択された第1の投与流量にて且つ独立に選択された第1の投与圧力にて流すことは、蒸着チャンバへの第1の化学反応物質ガスの第1の投与流量を制御すること、蒸着チャンバから下流のドロー圧力を制御することによって蒸着チャンバから出る第1の化学反応物質ガスの第1の投与流量と第1の化学物質ドローとの間の不一致を独立に実質的に発生させることを含み、圧力移行期間中、蒸着チャンバ内の第1の投与圧力が実質的に変動し、こうして第1の化学物質ドローを第1の投与流量に実質的に一致させる。
別の側面において、第2の化学反応物質ガスを選択された第2の投与流量にて且つ独立に選択された第2の投与圧力にて流すことは、蒸着チャンバへの第2の化学反応物質ガスの第2の投与流量を制御すること、及び蒸着チャンバから下流のドロー圧力を制御することによって第2の投与流量と、蒸着チャンバから出る第2の化学反応物質ガスの第2の化学物質ドローとの間に不一致を独立に意図的に発生させること、圧力移行期間中、蒸着チャンバ内での第2の投与圧力が実質的に変動して実質的に不一致を減少させ、こうして第2の化学物質ドローを第2の投与流量に実質的に一致させること、を含む。
別の側面において、第1の化学反応物質ガスを蒸着チャンバに流通させることは、既知の第1源圧力を有する第1の反応物質ガス源を提供することと、第1の化学物質ガスを第1の反応物質ガス源から第1の源流量制限要素(FRE)を通して蒸着チャンバに流すことと、を含む。
別の側面において、第2の化学反応物質ガスを蒸着チャンバに流通させることは、既知の第2源圧力を有する第2の反応物質ガス源を提供することと、第2の化学反応物質ガスを第2の反応物質ガス源から第2の源FREを通して蒸着チャンバに流すことと、を含む。
さらに別の側面において、本発明による方法は、好ましくは、第1の源FREから下流側で且つ蒸着チャンバから上流側に位置づけられている第1のブースターチャンバを、第1の化学物質投与ステージを含まない期間中に、実質的に既知の第1源圧力における第1の化学反応物質ガスで充填することと、続いて、第1のブースターチャンバと蒸着チャンバとの間で連続流体連通状態にある第1の化学物質遮断弁を開いて第1の化学物質投与ステージを開始することとをさらに含み、こうして第1の化学反応物質ガスを、第1の投与流量よりも最初は実質的に大きな第1の過渡的流量にて最初に流す。
さらにまた別の側面において、本発明による方法は、好ましくは、第2の源FREから下流側で且つ蒸着チャンバから上流側に位置づけられている第2のブースターチャンバを、第2の化学物質投与ステージを含まない期間中に、実質的に既知の第2源圧力における第2の化学反応物質ガスで充填することと、続いて、第2のブースターチャンバと蒸着チャンバとの間で連続流体連通状態にある第2の化学物質遮断弁を開いて第2の化学物質投与ステージを開始することとをさらに含み、こうして第2の化学反応物質ガスを、第2の投与流量よりも最初は実質的に大きい第2の過渡的流量にて最初に流す。
一側面において、本発明による装置は、プロセスチャンバ内のガスのフロー、ドロー及び圧力を同期的に調整することができる。ここで、用語「同期的に」とは、早い応答時間で、ガス流量の圧力の未制御の変位を最小にして、素早い制御された連続状態を意味する。本発明によるシステムは、プロセスチャンバ(PC)へのガスの流れを調整することができ、PC内のガスの流れ及び滞留時間を実質的に調整しながら、蒸着全体にわたって実質的に定常圧力を維持するため、プロセスチャンバから出るガスのドローと、フローとを、実質的に同時に且つ独立に一致させることができる装置である。大きな流れ調整に対応することは、パージステージ及び投与ステージの独自の最適化を可能とし、SMFD実装の重要な利点である。それにもかかわらず、いくつかの実施形態において、いくらかの制限された意図的な圧力調整、特に化学物質投与中の所望の圧力増加が、流れ調整に加えて、実施される。このような圧力調整は、フロー調整と同時のドロー調整がある種の所定の不一致を伴う場合に、達成される。この不一致は、申し分なく設計されたSMFD装置及び方法に逆流を発生させないものであるが、圧力移行期間中に、PC内の圧力に変化を生じさせてフローとドローとの一致に到達させ、こうして同期圧力調整を効果的にする。
別の側面において、装置は、プロセスチャンバと、プロセスチャンバへのガスの流量を制御するためのプロセスチャンバに接続されているプロセスガス導管と、ドローガスフロー用に構成されているドロー制御チャンバ(DC)と、プロセスチャンバとドロー制御チャンバとの間で連続流体連通状態にあるプロセスチャンバ流量制限要素(FRE)と、ドロー制御チャンバと連続流体連通状態にあるドロー排気ラインと、ドロー排気ラインと連続流体連通状態にあるドロー制御FREと、を含む。一般に、FREは、ガス流路にある種のコンダクタンス(または逆に抵抗)を与えるように設計されている。別の側面において、システムは、さらに、ドロー制御チャンバを通るドローガスの流れを制御するためのドロー源遮断弁を含む。また別の側面において、システムは、ドロー遮断弁及びドロー制御チャンバと連続流体連通状態にあるドロー源FREを含む。別の側面において、システムは、プロセスガス導管と連続流体連通状態にある複数のプロセスガス遮断弁をさらに含む。各遮断弁は、プロセスチャンバへのプロセスガスの流入を制御するように構成されている。別の側面において、プロセスガス遮断弁の一つは、プロセスチャンバと連続流体連通状態にあるプロセスチャンバへのパージガスの流れを制御するための1個のパージ源遮断弁を含む。別の側面において、システムは、パージ源遮断弁と連続流体連通状態にある1個のパージ源FREをさらに含む。別の側面において、システムは、複数のプロセスガスFREをさらに含み、各プロセスガスFREはプロセスガス遮断弁の一つと連続流体連通状態にある。別の側面において、システムは、複数のブースターチャンバをさらに含み、各ブースターチャンバはプロセスガス導管と連続流体連通状態にあり、各ブースターチャンバはプロセスガス遮断弁の一つから上流側に且つプロセスガスFREの一つから下流側に位置している。別の側面において、システムは、複数のブースターFREをさらに含み、各ブースターFREはブースターチャンバの一つから下流側にある。別の側面において、システムは、プロセスガス遮断弁とプロセスチャンバとの間で連続流体連通状態にあり且つパージ源遮断弁とプロセスチャンバとの間で連続流体連通状態にある1個のガス分配チャンバと、ガス分配チャンバとプロセスチャンバとの間で連続流体連通状態にある1個のガス分配FREとをさらに含む。また別の側面において、ガス分配FREは、ノズル列を含む。別の側面において、ノズル列は、1.5以上のアスペクト比を有する複数のノズルを含む。
別の側面において、システムは、ガス分配チャンバと連続流体連通状態にあるパージ排気ラインと、ガス分配チャンバとパージ排気ラインとの間で連続流体連通状態にあるパージ排気遮断弁と、をさらに含む。別の側面において、システムは、パージ排気遮断弁と連続流体連通状態にあるパージ排気FREをさらに含む。別の側面において、いくつかのプロセスガス遮断弁は、複数の非共通ポートと複数の共通ポートとを有する多方向バルブを含み、各非共通ポートはプロセスガス源と連続流体連通状態にあり、複数の共通ポートはプロセスチャンバと連続流体連通状態にあり、少なくとも1個の共通ポートはパージ源遮断弁と連続流体連通状態にある。
いくつかの好ましい実施形態において、装置は、プロセスチャンバとドロー制御チャンバとの間で連続流体連通状態にあるドローガス導入チャンバ(DGIC)と、DGICへのドローガスの流れを制御するドロー源遮断弁と、プロセスチャンバとDGICとの間に位置づけられているプロセスチャンバFREと、DGICとドロー制御チャンバとの間に位置づけられているDGIC-FREと、をさらに含む。別の側面において、システムは、ドロー源遮断弁とDGICとの間で連続流体連通状態に位置づけられているドロー源−FREをさらに含む。
別の側面において、システムは、ドロー制御チャンバ内に位置づけられている排除表面(abatement surface)をさらに含む。また別の側面において、システムは、化学物質排除を増強させるため、反応性ガスをドロー制御チャンバ内に導入するための反応性ガス入口をさらに含む。別の側面において、反応性ガス入口は、ドロー制御チャンバに近接する反応性ガスプレナムを含む。別の側面において、システムは、ドロー制御チャンバから下流側に位置づけられている排除チャンバをさらに含む。
別の側面において、システムは、ドロー制御チャンバから下流側に位置づけられており且つドロー制御チャンバ及びドロー排気ラインと連続流体連通状態にある圧力制御チャンバをさらに含む。別の側面において、プロセスチャンバは、原子層蒸着チャンバ(ALDC)である。
別の側面において、本発明によるシステムは、反応容器壁と容器内部とを有し、容器内部に蒸着チャンバと、DGICと、ドロー制御チャンバとが囲包されている反応容器を含む。
別の側面において、本発明によるシステムは、周囲スロットバルブ(PSV)を包含する反応容器を含む。周囲スロットバルブは、反応容器壁を貫通する基板搬送スロットと、反応容器壁内の連続周囲空隙と、連続周囲シーリングポペット弁と、シーリングポペット弁を開位置と閉位置との間で移動させるためのアクチュエータと、を含む。シーリングポペット弁は、閉位置にあるときに周囲空隙内に移動し、開位置にあるときに周囲空隙から出るように移動する。基板搬送スロットは、基板ホルダーの基板支持表面と実質的に面一であり、周囲空隙は基板搬送スロットと実質的に面一である。シーリングポペット弁が開位置にあるとき、基板搬送スロットは、反応容器壁を基板ホルダーまで貫通する基板搬送チャネルを画定する。シーリングポペット弁が閉位置にあるとき、シーリングポペット弁は基板搬送スロットを容器内部から分離する。
いくつかの実施形態において、プロセスチャンバ(又はALDチャンバ)内の圧力は、同期フロー−ドロー調整中、実質的に一定に維持される。本発明による他の実施形態において、処理量及び物質利用率は、高圧にて、1以上の化学物質投与ステージを行うことにより、さらに改良される。例えば、いくつかの実施形態において、パージ中の圧力は、約30mTorr〜100mTorrの範囲に維持され、化学物質投与中のALD圧力は約200mTorr〜1000mTorrの範囲に維持される。
別の側面において、本発明による実施形態は、プロセスチャンバへプロセスガスを流すことにより、また、プロセスチャンバと流体連通状態にありプロセスチャンバから下流側にあるドロー制御チャンバへドロー制御ガスを流すことにより、プロセスチャンバ内の圧力を制御し、こうして、プロセスチャンバから下流側のドロー圧力を制御する。別の側面において、ドロー制御チャンバへドロー制御ガスを流入させることは、ドロー制御チャンバ内化学物質排除を促進するために反応性ガスを流すことを含む。別の側面において、ドロー圧力は、1atm未満に、典型的には5Torr未満に、制御される。
[好ましい実施形態の詳細な説明]
図面を参照することにより、本発明をより完全に理解できるであろう。
図1〜17を参照しながら、本発明を説明する。明確にするために、いくつかの図面において、類似又は同一の成分に対しては同じ参照符号を用いる。図1〜17に概略的に示されている構造及びシステムは例示であり、本発明による実際の構造及びシステムの正確な図示ではないことは理解されるべきである。さらに、本明細書に記載された実施形態は、例示であり、特許請求の範囲に規定されている本発明の範囲を限定するものではない。以下、本発明による実施形態を、単一の200mmウェハ基板上のALD蒸着のためのシステム及び方法を主として参照しながら記載する。本発明は、より大規模又はより小規模でも有用であり、以下に記載する寸法及び運転変数は適宜スケールアップしたりスケールダウンしたりすることができることは理解されよう。
原子層蒸着(ALD)は、好ましくは、パージ中に蒸着チャンバを通過する可能な限り最高の流量で実施され、化学物質投与中に可能な限り最低の流量で実施される。したがって、効果的なALDシステムは、流量の大幅な調整を生じさせ且つ吸収することができる。定常状態条件下にて、チャンバ内へのプロセスガス(不活性パージガス又は化学反応物質ガスのいずれか)の流れ(本明細書にて「フロー」という)は、チャンバから出るガスの流れ(本明細書にて「ドロー」という)と一致する。
大幅な流れ調整を吸収するために、本発明によるシステムは、フローとドローとを実質的に一致させることができる。たとえば、代表的なALDサイクルは、それぞれ、10sccm、1000sccm、5sccm、及び1000sccmのガス流量での化学物質A投与、化学物質Aパージ、化学物質B投与、化学物質Bパージのシーケンスを含む。実質的に同じ流量にて同期的に調整するためにドローが制御される場合には、プロセス圧力は、実質的に定常に維持される。
ドローの調整がフロー調整と実質的に一致しない場合には、システムはプロセス圧力を維持しない。代わりに、システムは、フロー及びドローを一致させる実質的に異なる圧力に不可避的に遷移する。
蒸着チャンバ又は他のタイプのプロセスチャンバへのフローは、一般に、プロセス圧力とは実質的に独立に、アップストリームマニホルドを用いて制御される。ドローは、一般に、プロセスチャンバ出口のコンダクタンスCPCにより、及び出口を横断する差圧ΔP=PPC−PDRAWによって決定される。したがって、ドロー=CPCΔP。一般に、ドロー調整は、コンダクタンスCPC又は差圧ΔPの一方又は双方を調整することによって達成され得る。プロセスチャンバから出るコンダクタンスを調整することは、一般に、スロットルバルブと名付けられた機械的装置を用いて、CVD、PVD及びエッチングシステムなどのプロセスフローシステムの分野で用いられている。スロットルバルブは、これらのシステムにおいて定常状態圧力を制御するために適切に用いられているが、これらは、現在、SMFDシステムに必要とされる早い応答時間に適応するには、ゆっくりすぎる。加えて、スロットルバルブは、望ましくない流れの乱れを引き起こし、粒子を発生させる。流れひずみ及び粒子発生問題を回避するために、スロットルバルブは、典型的には、プロセスゾーンから大きく離れている下流側位置で、従来利用されている。しかし、好ましいALDシステム設計は、ALD蒸着チャンバ容積を最小化し、ドロー制御の位置を基板に近づける。プロセス出口の温度を変化させるなど、コンダクタンスを調整する他の手段は、範囲がより限定され非常に遅い。最後に、ドロー調整は、真空ポンプのポンプ排気速度を調整することにより、達成され得る。しかし、大きなポンプ排気速度調整は、ゆっくりと応答し、所望のALDスイッチング速度にて試行される際に、さらにポンプを大きく摩耗させる。
本発明によるドローの調整に最も適するのは、ΔP調整である。プロセスチャンバ圧力PPCを実質的に一定に維持しながらのΔPの調整は、PDRAWを調整することにより、本発明によって行われる。たとえば、ドロー制御チャンバ(DC)は、プロセスチャンバから下流側に位置づけられており、ドロー制御チャンバはコンダクタンスCDRAWの出口を有する。ドロー制御チャンバ内へ及び貫通して制御ガスを流すことにより、PDRAWは、プロセスチャンバ又は蒸着チャンバ上流における圧力PPCとは独立に制御され得る。ドロー制御チャンバへのガスのフローは、プロセスチャンバからのドローと直接挿入されたドローガス流れとの合計である。ドローは、ΔPの関数であるから、ΔPの独立制御は、フローとは独立に、ドローをフローに実質的に一致させる。原則的に、ドローチャンバは非常に小さく作ることができるので、ある種の実施形態におけるΔPの調整は、ミリ秒以下の速度で行われる。本発明のある種の実施形態は、小容量DC チャンバで有利に実行され、短い過渡時間でプロセス条件を推進する。別の実施形態は、有利に、ドロー制御速度を犠牲にして、実質的により大きなドロー制御チャンバを与える。容量数リットルのドロー制御チャンバは、化学物質のトラップ又は排除に有用である。結果として、ドロー制御応答時間は、典型的な200mmウェハ蒸着システムにおいて10msec〜20msec範囲にあるが、約3リットルの使用可能なドロー制御チャンバスペースの利点を有する。この「よりゆっくり」なドロー応答時間は、典型的には、化学物質投与ステージの終わりに、蒸着チャンバ内に約5%〜15%の圧力変動を生じさせ、シミュレーション及び実際の稼働の結果は、これらの圧力変動がALD性能に無視できる影響を与えることを示す。不可避的なプロセス圧力変動は、高パージフローの始まりの時のALDチャンバの典型的に短い(例えば、2msec〜4msec)応答時間と、ドローチャンバのより長い(例えば10msec〜20msec)応答時間との間の差に関連する。ある種の実施形態において、素早く応答するドローチャンバとより大容量の排除チャンバとの両者は、ドロー制御チャンバに対して下流側に位置づけられた別個の追加の排除チャンバを有する小さなドロー制御チャンバ(約40ml)を用いることにより与えられる。この場合、ドローチャンバFREでの圧力降下が好ましくは大きいので、大容量排除チャンバを用いて追加の排除チャンバ内の滞留時間は適度に長く維持される。例えば、ドロー制御FREが全体の1/10だけ圧力降下である場合には、同様の効果的な排除を提供するために、排除チャンバは、10倍大きくなければならず、典型的には1リットル〜5リットルではなく10リットル〜50リットルでなければならない。このサイズ比較は、理想的なSMFD性能との妥協の利点を説明し、ほとんどの場合、ドロー制御チャンバ内の化学物質のトラップ又は排除の見込みに十分である、と考えられる。したがって、ドローチャンバがドロー制御の目的だけに用いられている場合(ドローチャンバが非常に小さいことを意味する)には、低品位膜成長がドロー制御チャンバ内で生じることのないように、ドロー制御チャンバを含むまでALDパージステージを拡大させるよう注意を払うべきである。これは、無視できる処理量の犠牲を有する(ただし、ドローチャンバ流路は十分に設計され、ドローチャンバ壁は適切な温度まで制御される)。なぜなら、これらのチャンバは、典型的な200mmウェハシステム用に、30cc〜70ccの容積を有して作られているからであり、さらに、高いドロー流量は本質的に化学物質投与中に化学物質を希釈する作用をするので、これらのチャンバは化学物質投与中に、化学物質の非常に小さな分圧にさらされる。
ΔP調整の応答時間は、PDC調整の応答時間に直接的に関連し、DC容積、DC出口のコンダクタンス及びフローに依存する。
図1は、本発明による同期調整フロードロー(SMFD)ALDシステム100の基本的な実施形態のフローダイアグラムを示す。
システム100は、圧力安定化不活性パージガス源101を具備する。パージガスは、パージ源遮断弁102及びパージ源流量制限要素(FRE)103を通って、通常は慣用のシャワーヘッドであるガス分配チャンバ104に供給される。図1に示すように、パージ源遮断弁102及びパージ源FRE103は、パージガス源101とガス分配チャンバ104との間に連続流体連通状態を与える。本明細書において、流量制限要素(FRE)は、ガスがこれらを流通する際に圧力減少(ステップダウン)を生じさせる。純粋な化学物質ガス、液体又は固体化学物質からの蒸気、または蒸気もしくは不活性ガスを伴うガス化学物質の混合物の形態での化学反応物質前駆体は、十分に制御された圧力にて、複数の化学物質ガス源105、105’にて提供される。化学物質ガス源105は、化学物質源FRE106を介して、ブースターチャンバ107と連続流体連通状態にある。ブースターチャンバ107は、化学物質投与遮断弁110及びブースターFRE109を介して、ガス分配チャンバ(シャワーヘッド)104と連続流体連通状態にある。ガス分配チャンバ104は、ブースターFRE109、パージ排気遮断弁108、及びパージ排気FRE111を介して、パージ排気ライン112と連続流体連通状態にある。図1に示すように、化学物質投与遮断弁110及びパージ排気遮断弁108は、それぞれ、接合部123から枝分かれしているシステム100のガスマニホルドシステムの平行分枝内に単一の2方向バルブを具備する。
図1に示すように、第2の化学物質ガス源105’は、シャワーヘッド104及び化学物質ガス源105に関する参照符号で記載した装置に対応する装置を有するパージ排気ライン112に接続している。
ガス分配FRE113は、ガス分配チャンバ104と原子層蒸着チャンバ(蒸着チャンバ)114との間に連続流体連通状態を与える。ガス分配チャンバ104がシャワーヘッド装置である好ましい実施形態において、ガス分配FRE113は、通常のノズル列113である。ノズル列は、ガス分配チャンバ104から、処理中の基板を包含する蒸着チャンバ114に至る制限された均一なフローを提供する。蒸着チャンバ114は、蒸着チャンバFRE115を介して、ドロー制御チャンバ116と連続流体連通状態に接続されている。ドロー制御チャンバ116は、ドロー制御出口124を具備し、ドロー制御FRE117及び真空ライン118を介して真空ポンプ125と連続流体連通状態に接続されている。ドローガス源101は、ドローガスライン119、ドロー源遮断弁120及びドロー源FRE121を介して、ドロー制御チャンバ116に連続流体連通状態に接続されている。
典型的なALD運転中、装置100は、本質的に2つの定常モード、パージモード及び化学物質投与モードの間で切り替わる。2つの基本的な運転モードの代表的なバルブ設定は、Table 1に示されている。
Figure 2005515647
明瞭にするために、SMFDシステムを運転する方法を定常圧力プロセスの場合について記載する。上記に説明したように、このモードは、一般に、重要な用途に対して良好な結果を与える。さらに、上述したように、ALDプロセスは、典型的には、少なくとも4つの別個のステージを有するサイクルを含み、このサイクルは所望の薄膜を堆積させるために複数回連続して繰り返されることが理解される。Table 1及び図1を参照して記載した2つのモードは、典型的な4ステージサイクルの化学物質投与ステージ及びパージステージを代表する。パージモードにおいて、パージガス源101の既知のパージ源圧力に対して、FRE103及び113は、パージガス源101からのパージガスの所望の流れQPURGEを分配チャンバ104に送り、次いで、ガス分配チャンバ104、蒸着チャンバ114及びドロー制御チャンバ116を通ってドロー制御出口124に送り、次いでFRE109及びパージ排気遮断弁108を通ってパージ排気ライン112に送るように設計されている。このとき、シャワーヘッド圧力PSH PURGE及びALD蒸着チャンバP114は維持されている。化学物質投与モードにおいて、化学物質ガス源105の既知の圧力に対して、FRE106及び109は、典型的には、好ましくはQPURGEよりも実質的に小さい化学物質投与流量QCDにて、化学反応物質ガスをガス分配チャンバ(シャワーヘッド)104に送るように設計されている。この低い流量は、シャワーヘッド圧力PSH CDを低下させることにより達成される。蒸着チャンバ114及びガス分配チャンバ104内の圧力P114及びP104は、それぞれ、化学物質ガス源105の圧力(典型的にはTorrの単位で測定される)よりも非常に低く(典型的にはmTorrの単位で測定される)、FRE106及び109は、化学物質投与流量QCDが圧力P114及びP104とは事実上独立するように、典型的に設計されている。化学物質投与モードにおいて、既知のドローガス源圧力であるドローガス源101は、所望のドローガス流量にてドローガスを、FRE121を通してドロー制御チャンバ116に供給する。本発明によれば、ドローガス源101及びFRE121におけるドローガス源圧力は、ドロー制御チャンバ116を通るドローガス流量を決定する。このドローガス流量及びFRE117は、ドロー制御チャンバ116内での化学物質投与ドロー圧力を確立する。ドロー圧力は、ドローガス流量が増加すると共に増加する。蒸着チャンバ114及びドロー制御チャンバ116の間の圧力における差は、FRE115のコンダクタンスと一緒に、蒸着チャンバ114から出る未反応化学物質前駆体を含むプロセスガスのドローを決定する。蒸着チャンバ114からドロー制御チャンバ116へ入り且つドロー制御チャンバ116を通るプロセスガスのドローもまた、ドロー制御チャンバ116を通るガスの総流量、したがってドロー圧力にわずかに貢献する。ドロー制御チャンバ116内の化学物質投与ドロー圧力における増加は、蒸着チャンバ114からドロー制御チャンバ116に入り且つ貫通するドローを減少させる。逆に、ドロー制御チャンバ116内の化学物質投与ドロー圧力における減少は、蒸着チャンバ114からのドローを増加させる。
本発明による好ましい実施形態において、2つの静的モード、すなわちパージモード及び定常状態化学物質投与モードに加えて、重要な過渡的なモードが化学物質投与の開始の設計に盛り込まれている。最初の過渡的流量は、ブースターチャンバ107からの化学物質ガス流により発生する。バルブ110が閉じている場合、平衡になるために時間を仮定すると、ブースターチャンバ107内の圧力は、化学物質ガス源105における圧力と等しくなる、Pstatic 107=P105。バルブ110が開いている場合、定常状態条件下では、107における定常状態圧力PSS 107は、FRE106での圧力傾斜ゆえに、P105よりも小さい。遮断弁110が開くように作動されると、ブースターチャンバ107における初期圧力はP105から定常状態圧力PSS 107まで下がるように遷移する。化学物質投与中のガス分配チャンバ104への化学反応物質ガスのフローは、ブースターチャンバ107における圧力及びFRE109のコンダクタンスにより決定される。ブースターチャンバ107における圧力遷移(pressure transient)は、ガス分配チャンバ104における圧力遷移を引き起こす。結果として、ブースターチャンバ107における圧力遷移中に、蒸着チャンバ114への化学的反応性ガスの対応するフローが、定常状態流量まで徐々に減少する初期脈動に一致する。並行して、ドロー制御チャンバ116内のドロー圧力は、減少方向に移り変わる初期脈動に一致する。過渡時間(transient time)は、ドロー制御チャンバ116の容積、ドロー流量、及びFRE117のコンダクタンスによって決定される。116における圧力遷移中、蒸着チャンバ114から出るドローは、下向き過渡(down transient)に追従する。ドロー制御流量のFRE106、ブースターチャンバ117の容積のFRE109及びドロー制御流量116のFRE117のコンダクタンスの選択的設計を通じて、蒸着チャンバ114内の圧力のずれを最小化するように、過渡的フロー(transient flow)及び過渡的ドロー(transient draw)を一致させる。しかし、過渡的時間定数が完全に一致せず種々のバルブ作動が好ましく同期しない場合であっても、圧力のずれが、SMFDシステムにより(特に定常圧力で運転されるとき)内部に抑止されることは、本発明の重要な側面である。事実、本発明の実施形態のいくつかに教示されているようなSMFD実装の主要な利点は、装置及び方法の不備に対する簡便で費用効果的な性能の不感性である。
好ましくは、投与工程は、化学流束を最大化して、化学物質の利用を最小にするように設計される。極端な例としては、200mmウェハの処理用の典型的に300cc〜400ccのALDチャンバは、200mTorrからの化学物質投与圧力にて、化学物質枯渇効果が事実上ないままである。したがって、200mTorrでの100%化学物質の投与を実行することは、ブースターの効果を超える投与化学物質の些細な追加のフローを典型的に必要とする。実際、我々は、300℃でのAl2O3のALDに対して用いられるトリメチルアルミニウム(TMA)のブースター投与を超える追加の投与の影響が、200mTorrでの未希釈前駆体の投与条件下で2%未満であったことを経験的に知見している。したがって、TMA定常状態流れの典型的な<10sccmの実行は、最適化されたALD性能を達成するために十分であった。
化学物質投与ステージ中の定常状態流量は、パージステージ中のパージガス流束の典型的には1/10〜1/100である。したがって、化学物質投与の定常状態を確立するための時間尺度は、許容できないほど長い。この処理量の損失を避けるため、本発明の実施形態によれば、効果的な化学物質投与工程は、化学物質投与工程の初期ステージにて化学物質の初期の素早い流れを提供するように誂えられる。したがって、初期流れ過渡(initial flow transient)は、化学物質投与ステージの応答時間を大幅に減少させるように作用する。この減少された応答時間は、ALDプロセスの効率及び処理量を増強させるために重要である。化学物質投与ステージの初期の過渡的部分中、ガス分配チャンバ104及び蒸着チャンバ114の容積は、最初は高い圧力及び対応する最初は高い過渡的流束での化学物質ガスの脈動により、速やかに充填される。化学物質投与中の初期過渡的流量は、典型的には調節されて、先行するパージステージ中の不活性パージガスのパージ流量と一致する。したがって、フローの連続性は保存される。ガス分配チャンバ104及び蒸着チャンバ114は、化学物質ガスで速やかに充填される。なぜなら、最初は高い化学物質投与流量に対応して、チャンバを流通する化学物質ガスの滞留時間は短いからである。ブースターチャンバ容積及びブースターFREは、化学物質投与の初期ステージにおけるドローチャンバ圧力増に実質的に一致するように、選択的に設計される。ブースターチャンバ容積もまた、投与中に、ALDチャンバの1圧力×容積−2圧力×容積相当量のオーダーでの総合ブースター暴露を提供するように設計される。例えば、蒸着チャンバ容積が400ccで投与圧力が200mTorrである場合、ブースター容積は、0.08リットル×Torr−0.16リットル×Torrのブースター暴露を提供するように設計される。ALDシステム100が化学物質投与モードからパージモードに切り替わるとき、過渡は通常、それほど重要ではない。ガス分配チャンバ104の比較的少量の容積は、FRE103の比較的高いコンダクタンスを通してPSH PURGEまで速やかに負荷がかけられる。小容量のドロー制御チャンバが実装される場合、ドロー制御チャンバ116内の化学物質投与ドロー圧力からパージドロー圧力までの圧力降下は、パージ滞留時間よりも非常に速やかであり、投与からパージへの切り替えは数msecの時間尺度で生じる。しかし、ドローチャンバの容積が大きい場合には、ドロー制御チャンバ圧力降下に要する応答時間は、プロセスチャンバ内でのパージ滞留時間よりも長い。例えば、200mmウェハでのALDに用いられる典型的なプロセスにおいて、プロセスチャンバ内での滞留時間は、3msecである。化学物質投与とパージとの間のドロー圧力調整は、総ドロー圧力の10%〜20%のオーダーである。典型的には、システムに排除能を一体化するために、1リットル〜5リットルのドロー制御チャンバ容積が簡便である(後述する)。したがって、ドローチャンバ圧力調整は、10msec〜20msecの典型的な一定時間で追従する。結果として、いくらかのプロセスチャンバのずれが投与工程の終わりには避けることができない。それにもかかわらず、これらの圧力調整は、計測できない程度の性能損失を生じるだけである。さらに、化学物質投与ステージの初期脈動の場合におけるなど、故意に過渡を生じさせる理由はない。したがって、化学物質投与ステージを終了させることに関連する過渡効果は少ない。
上述のように、本発明によるSMFD ALDシステムは、パージ中(効果的且つ短時間のパージを可能とするため)の高い流量(及び低い圧力)に対する必要性と、化学物質投与中(素早い反応及び高い化学物質利用性を可能とするため)の低い流量(及び高い圧力)に対する必要性との間の従来の兼ね合いを解決する。定常圧力実施形態において、システムは、実質的に一定のプロセス圧力を維持することができ、一方、流量は1/10超過分、調整される。事実、本発明によるプロセスハードウェア及び方法は、定常圧力にて1/100を超えるフロー及びドローの制御された調整を生じさせるために成功裡に使用されている。あるいは、事実上、兼ね合い効果なしに、パージ及び化学物質投与ステージに対するより高い効率を得るために、圧力及び流量の両者を調整することもできる。本発明による装置及び方法は、蒸着チャンバへ入るガスのフローを調整しながら、同時にドロー(蒸着チャンバから出るガスの流量)を調整することにより、この所望の能力を達成する。
本発明によるシステム及び方法の利点は、本発明を実施する構造体及び技術のいくつかが、新規な組み合わせにて市販のパーツを用い、本発明の実施形態を低コストで設計し及び有用とすることである。たとえば、ある種の実施形態は、既知の圧力における不活性ガスの集中単一ポイント供給を含み、ガスは、システム内の流量制限要素を通って、選択的に制御された態様で分配される。不活性ガス源の例は、商業的に入手可能な圧力コントローラ(例えば、MKS 640Aシリーズ)及びガス容器である。別の例は、単純な圧力レギュレータである。本発明によるある種の実施形態において、約100msecの期間は、化学物質投与ステージ及びパージステージの各々に対する実用的な目標である。対応するシステムのガス力学は、典型的には、5msec未満のシステム応答時間の目標を持って設計される。したがって、バルブ102、120、108及び110の間並びに他の化学物質源に関連するバルブにおける望ましくない過渡状態、圧力不安定さ及び微小変動(ジッター:未制御で再現性のない遅れ)を避けるために、5msec未満の速度で作動することができるバルブを用いることが好ましい。バルブ108及び110は、1つの化学物質源に対する1セットのバルブを表す。図1の図により表される特定の実施形態において、ALDシステムは、各化学物質ガス源用にこのようなバルブを2個含む。ALDは、典型的には、少なくとも2個の異なる化学物質ガス源を要求する。5msec以下の作動速度は、UHPダイアフラム空気制御弁の典型的な性能よりも素早い。それにもかかわらず、UHPソレノイドバルブ(例えば、Parker General Valve シリーズ99、Fujikinn ECVシリーズ)、圧電バルブ、電気式燃料噴射器、比例弁及び高速MFC(たとえば、AE-EMCOのMach One)を含む商業的技術のいくつかのタイプを実装することができる。
本発明による実施形態は、FREを利用する、例えば、FREは、調整可能な成分(例えば、メータリングバルブ、プロポーショナルバルブ(比例弁)、加熱されたオリフィス(heated orifice)、高速MFC)又は受動成分(オリフィス、バッフル、キャピラリー、多孔性媒体)であってもよい。受動FREは、典型的には、調節可能なFREよりも高価ではなく堅牢である。それにもかかわらず、調整可能なFREは、通常、最適化調整にとってより良好に適する。遮断弁を包含しないガス流路の部分において、必要なFREコンダクタンスは、流路のコンダクタンス設計に好ましくは盛り込まれる。遮断弁及びFREを包含するガス流路の部分において、好ましい設計は、FREと遮断弁とを一体化する。一体化されたバルブ−FREにおいて、完全に開いたときに、必要なコンダクタンスを有するように、バルブを設計することが好ましい。あるいは、プロポーショナルバルブやMach One高速MFCなどの装置は、所望のコンダクタンスまで開くことができる。本発明によるシステム及び方法の最適化において、FRE103、106、109、111及び121の調整可能性は、定常パージモード、定常化学物質投与モード及び化学物質投与過渡性能の効果的で且つ独立した最適化を可能とする。
化学物質ガス源105の圧力は、好ましくは1Torrよりも高く、簡便な使用のために十分高くあるべきである。加えて、化学物質ガス源105は、化学物質投与ステージ中に、圧力を持続しなければならない。
用語「チャンバ」及び関連する用語は、少なくとも1つの入口及び1つの出口を有する比較的大きな囲包された容積Vを有する成分をいい、有用な流量Qの範囲内で、チャンバ内の平均圧力Pよりも、チャンバを横断する(例えば入口と出口との間)圧力傾斜ΔPが実質的に小さい。一般に、本発明による実施形態において、Vが約1000ccでチャンバを貫通する流量Qが約1000sccm以下である場合、チャンバの比率ΔP/Pは、0.1未満である。さらに、本明細書において、ガスは、チャンバ内部での実質的な滞留時間を有し、滞留時間はτ=VP/Qで定義される。一般に、本明細書において、チャンバ内の滞留時間τは、典型的には50μsec以上である。
対比して、用語「流量制限要素(FRE)」とは、無視できるほどの容積を有し、典型的には1つの入口と一つの出口とを有する成分をいい、有用な流量Qの範囲内で、FREの入口及び出口の間の平均圧力Pと比較して圧力傾斜ΔPが比較的大きい。一般に、本明細書におけるFREにて、FREを貫通するフローQが約1000sccm以下である場合、比率ΔP/Pは、0.1よりも大きい。さらに、本明細書にて、FRE内でのガスの滞留時間τは、比較的短く、一般には50μsec未満である。
レジスタ(抵抗器)、キャパシタ(コンデンサ)及びインダクタ(誘電器)などの基本的な受動素子を有する電気回路と同様に、ΔP=0(非FRE特性)を有するチャンバ及びV=0を有するFREは、実際には存在しない。それにもかかわらず、電気回路の慣例に類似して、ALD及びチャンバやFREを含む他の流体フローネットワークは、純粋な成分特性を基本的な要素に割り当てることによって、実際的に表現することができ、設計することができる。
したがって、当業者は、チャンバ及びFREの理想的な特性を用いるフローシステムの記述及び設計を理解する。例えば、用語「プロセスチャンバ」とは、フローシステム中の流れが存在するいかなる場所でも圧力傾斜が存在することは明らかであるが、プロセススペースにおいて圧力傾斜が一般に最小になることを意味する。実際、本発明によるSMFDのある種の実施形態において、細長いプロセスチャンバは、そこを横断する圧力傾斜を含むように設計される。
FREは、V=0を有するFREを実装する実際的な方法はないことは当業者には公知であるが、典型的に設計され、ゼロ又は最小の容積を有するように理想化される。特に言及しない限り、ΔPが無視できるチャンバ内でプロセスは有利に実施されることも理解される。他方、いくつかの例において、無視できるV(又はτ)を有するFREは実際的ではない。けれども、大きな容積を有するFREが、性能の損失なしに一般に用いられる。大きな容積を有するFREの特性は、純粋なチャンバ、V及び純粋なFREを組み合わせることにより、「等価回路」により記載される電気回路素子、例えば抵抗を有する誘電器の電気的な記載と同様に、実際に記載され設計される。
FREの容積を考慮に入れることは、近似チャンバの「効果的な」容積を増加させることにより設計に含まれ得る。チャンバの流量制限特性を考慮に入れることは、チャンバの残留コンダクタンスをダウンストリームFREのコンダクタンスに加えることによって達成され、「効果的なFRE」コンダクタンスCeffを得ることができる。
Figure 2005515647
実際に、直列及び平行な数個のFREを有するシステムは、システムの記載を改良するために効果的なFREによって表すことができる。例えば、共にFREであるキャピラリー及びバルブを含むラインは、単一の効果的なFREにより表すことができる。本発明による好ましい実施形態において、フロー抵抗特性は、バルブの構成の設計に盛り込まれる。
図2は、本発明によるSMFD ALD反応容器200の概略横断面図である。明瞭にするために、ウェハ装填ポート、ウェハリフトピン及び電気的フィードスルーなどの従来、標準である装置200のいくつかの成分は、図2に含めていない。
ガス分配チャンバ(シャワーヘッド)201は、100mmの内径を有し、内容積内部は3mm高さである。シャワーヘッドは、ウェハ基板表面領域のすべてを覆う必要はない。したがって、シャワーヘッド容積は23.6cm3である。ノズル列(FRE)202は、ガス分配チャンバ201の底部を蒸着チャンバ203から分離する。200mmの半導体ウェハ204を、熱伝導性金属(例えばW、Mo、Al、Ni)又はホットサスセプター(熱感受体)及びチャック用に当該分野で通常用いられている他の材料から作られた加熱された基板ホルダー205の上に位置づける。ホットプレートの周囲は、FRE206に良好な熱接触で取り付けられている(又はワンピースとして機械加工されている)。FRE206は、一列の半径方向スロットとして形成されている。図2の右側は、スロットなしの断面の一部207を示す。運転中、ガスは蒸着チャンバ203から蒸着チャンバFRE206を通ってドロー制御チャンバ208まで流れる。ガスは、ドロー制御チャンバ208からドロー制御されたFRE209を通って真空ポート210まで流れる。ドローガスは、ドローガスライン211、ドロー源遮断弁212及びドロー源FRE213を通ってドロー制御チャンバ208まで流れ、ドロー制御チャンバ208内のドロー圧力を管理する。典型的なALD運転中、ドロー制御チャンバ208へのドローガスの流量は、選択的に制御されて、さまざまに、第1の化学物質投与ステージ中に第1の投与ドロー圧力を達成し、パージステージ中にパージドロー圧力を達成し、第2の化学物質投与ステージ中に第2の投与圧力を達成する。通常は、ドロー制御チャンバ208へのドローガスの流量は、ドロー制御チャンバ208内の圧力(投与ドロー圧力)を増加させるためのパージステージ中の流量に対して、化学物質投与ステージ中に増加し、こうして蒸着チャンバ203から出るプロセスガスのドロー(化学反応物質前駆体を含む)を減少させ、こうして化学物質の廃棄を最小化し、必要であれば、蒸着チャンバ203内の圧力及び化学流束を増加させる。パージステージ中、ドロー制御チャンバ208へのドローガスの流量は、典型的にはより低い流量に減少して戻され、ドロー制御チャンバ208内の圧力(パージドロー圧力)を減少させ、こうして蒸着チャンバ203から出るパージガスのドローを増加させることは、より高いパージガス流量及び必要に応じてより低いパージ圧力を吸収する。さらに図2に示されているのは、化学物質源ライン214、化学物質源FRE215、ブースターチャンバ216、化学物質投与遮断弁217、パージ排気遮断弁218及びブースターFRE219である。さらに示されているのは、反応容器壁221と反応容器頂部222との間の熱伝導を阻止するように構成された熱バリア220である。この熱バリアは、チャンバ壁221及びシャワーヘッド(ガス分配チャンバ)201が異なる制御温度にて運転される実施形態において有用である。
化学物質の使用速度及び化学物質除去速度の両者は、蒸着チャンバ203の容積が減少するにつれて改良される。種々の技術において実装されているような、半導体デバイス製造にて通常使用されている200mm及び300mm円形シリコンウェハなどの基板サイズは、蒸着チャンバのサイズを決定する。たとえば、200mm円形ウェハを処理するための蒸着チャンバは、少なくとも200mmの直径である円形領域を有していなければならない。ガス流力学は、この蒸着チャンバの高さが数ミリメートルを含むことを示す。したがって、このような200mm蒸着チャンバの容積は、一般的に、少なくとも150cm3であり、より典型的には300cm3以上である。0.6秒までの典型的に望ましいサイクル時間は、化学物質除去パージステージに要する最大許容可能な時間を約0.1秒〜0.2秒の範囲に制限する。この時間の間、蒸着チャンバ内の化学物質前駆体反応物質の濃度は初期値の1%以下まで減少することが一般に望ましい。より正確には、化学物質除去の程度は、堆積した膜の特定の化学及び品質に対する特定の必要性によって決まる。ALDサイクルのパージステージ中、蒸着チャンバ内の化学物質濃度は、exp(-t/τ)[ここでtは化学物質除去時間である]に比例する。したがって、1%レベル未満を達成するために、tは4.6τ以上に等しくなる必要がある。4.6τ<0.2秒を達成するために、VP/Qは、約0.044秒である。典型的には約300cm2よりも大きい範囲に制限されているVで、P/Qは0.145秒/リットルよりも小さい範囲に限定される。たとえば、Qが500sccm(6.33リットルTorr/sec)であったら、プロセス圧力は0.92Torr以下に等しくなるであろう。例として、0.0001%よりも低い化学物質除去が必要であったら、パージ中のチャンバ内の圧力は、300mTorr以下の範囲に制限されるであろう。
本発明による例示的な実施形態において、シャワーヘッド(ガス分配チャンバ)は、VSH=23.6cm3の容積を有する。シャワーヘッド圧力は、PPURGE SH=500mTorrである。ALDスペースの容積は、約400cm3である。プロセス蒸着チャンバ定常圧力は、P114=100mTorrである。パージステージ中のシャワーヘッドを通る蒸着チャンバへのパージガスの流量は、QPURGE=1000sccmに制御される。したがって、パージステージ中のガス滞留時間τ=VP/Qは、シャワーヘッドについて1msecであり、蒸着チャンバについて3msecである。ALDシステム及びプロセスは、400msec〜500msecの範囲にあるサイクル時間でALDを行うように設計される。各サイクルは、典型的には、第1の化学物質投与ステージ、続いてパージステージ、次に第2の化学物質投与ステージ、続いて別のパージステージを含む。したがって、各ステージの目標時間は、約100msecである。これらの例示的な設計寸法及び運転条件で、パージステージ中に、例示的なALDシステムは、シャワーヘッドの100回の容積掃引及び蒸着チャンバの30回以上の容積掃引を与える。これらの掃引回数は、慣用のALD技術を用いて通常達成される回数(例えば、典型的には、パージ時間の1秒〜5秒にわたり、蒸着チャンバについて3回の容積掃引〜10回の容積掃引)をはるかに超えている。これらの実質的に改良されたパージ性能及び短縮されたパージ時間は、改良された化学物質利用と共に、慣用のALD方法及び装置を凌駕するSMFD装置及び方法の大きな利点を代表する。したがって、パージステージ中のシャワーヘッドコンダクタンスは、CPURGE SH=QPURGE/(PPURGE SH ― P114)=3.17リットル/秒として計算され設計される。本発明によるSMFD ALDシステムの好ましい設計は、シャワーヘッド圧力に対するシャワーヘッド流量の高い感受性を含む。したがって、ノズル列FRE内のノズルは、実際に可能である程度に高いアスペクト比を有するように設計される。例えば、直径100mmを有するノズル列プレートを横断する600本のノズルの密集したパターンにおいて、パージステージ中のノズル1本当たりのガス処理量は約1.67sccmである。3.125mm(1/8インチ)の厚みを有するノズル列プレートにおいて、チューブ型ノズルは、約3mmの長さを有する。ノズル直径の予測値は、ポアズイユの式(1):
Figure 2005515647
を用いて計算される。
ここで、パージガスの粘度は、250℃にてη=270μポイズであるN2の粘度として理想化される。対応するガス処理量は、式(2):
Figure 2005515647
により計算される。
比較するために、典型的なシャワーヘッド設計による、100mmの直径のノズル列プレートに無視できる開口長さを有する300本の開口型ノズルを有するシャワーヘッド設計を考える。ノズルごとのコンダクタンスC=Q/ΔPは、105.6cm3/secである。ノズルの面積は式(3):
Figure 2005515647
によって計算される。
ここで、PPURGE SH及びP114は、それぞれ、シャワーヘッド圧力及びチャンバ圧力(Torr)であり、ガス熱容量比γ=Cv/Cpは250℃のN2に対して約1.4である。T1は、シャワーヘッド温度(これもまたガス温度であると仮定する)であり、250℃=523Kとして換算する。Mは、ガスの分子量(MN2=28gm/moleとして理想化する)である。これらの変数値で、式(3)により計算されたシャワーヘッドノズルのおよその面積は、A=4.4×10-3cm2である。したがって、ノズル直径は、約750μmである。パージガス処理量は式(4):
Figure 2005515647
により計算される。
図3は、上述の開口型ノズル列設計及び好ましいチューブ型ノズル列設計に対するPSHの計算値をQCDの関数としてプロットしたグラフを示す。図3は、シャワーヘッドにおけるチューブ型ノズル列設計が好ましい処理量圧力依存性を与えることを示す。設計によるP対Qの2つの曲線は、約0.5Torr−1000sccmポイントにて交差する。しかし、チューブノズル列は、10sccm未満に降下する安定な圧力依存性処理量制御を可能とし、一方、開口型設計は、約400sccm以下の流量にて非常に制限された制御を可能とするだけである。化学物質投与ステージの定常状態部分中、シャワーヘッドにおける圧力は、PCD SHまで降下する。この減少したシャワーヘッド圧力は、ALD蒸着チャンバへの流量を低下させ、本発明による蒸着チャンバからのドローを低下させることで補償される。純粋な化学反応物質前駆体ガスの各100mTorrの圧力について、250℃における化学物質濃度は約2×1015分子/cm3である。400cm3の蒸着チャンバ容積内の前駆体分子の総数は、8×1017まで(〜8×1017)である。蒸着チャンバは、非基板領域を含み、総表面積1000cm2を有すると予想される。典型的な中間ALD表面上の反応性サイトの数密度は、約1×1014サイト/cm2〜7×1014サイト/cm2の範囲にあるか、又は蒸着チャンバ面積(ウェハ上及び他の露出表面上)あたり1×1017サイト〜蒸着チャンバ面積当たり7×1017サイトの範囲にあると予測される。この予測に従い、停滞している(充填されている)ALD蒸着チャンバの完全な反応の後の枯渇レベルは、約10%〜90%の範囲にある。化学物質の100%濃度未満が投与されると、枯渇効果は対応してより顕著になる。化学物質の枯渇は、ALD反応の完了時間を延長する。化学反応物質前駆体分子の分圧が枯渇ゆえに減少すると、衝突する分子の流束は比例して減少する。したがって、多くのALDプロセスが化学物質の停滞した(充填した)圧力で良好に行われるが、ある種のプロセスは蒸着チャンバへの化学反応性ガスの有限流量により再び充填されるべきことを要求する。実際、ある種のシステムは、不活性キャリアガスで化学物質を希釈して化学物質の搬送を促進することを要求する。加えて、ダイナミックランダムアクセスメモリ(DRAM)製造用等の高密度高表面積デバイスを有するウェハは、典型的により多くの枯渇効果を引き起こす。
化学物質投与ステージ中、表面に到達する分子の流束は、250℃における純粋な化学反応物質圧力の各100mTorrに対して、
Figure 2005515647
(ここで、化学物質前駆体の分子量Mは、一般的な控えめの見積もりで、100gm/moleであるとして理想化されている)したがって、100msec脈動中、表面はcm2あたり1.5×108までの衝突(〜1.5×108衝突/cm2)にさらされる。経験的に、ALD反応は、1×1016衝突/cm2〜3×1017衝突/cm2の暴露範囲内で飽和する(>99%反応した)。したがって、100mTorrのプロセス圧力は、典型的には十分である。ALD化学物質投与ステージのタイミングは比較的短い(例えば、100msec)が、必要な暴露は比較的小さい。飽和暴露レベルは、特定の反応の固有反応性固着係数σRに依存する。反応性固着係数は、以下の式:
Figure 2005515647
に従う実際の流束に対する成功した表面反応の比として定義される。式中、nは反応性サイト/cm2の数密度を示し、kは反応速度である。例えば、金属前駆体トリメチルアルミニウムは、300℃でのAl2O3のALD中、中間Al-OH表面を反応させて飽和させるために、典型的には約3×1016分子/cm2の暴露を要求する。ALD反応は、
Figure 2005515647
によって表される。ここで、BULKは中間基板である。反応性サイトの数密度は3.9×1014と予測される。したがって、反応性固着係数は、σR=5×3.9×1014/暴露=約0.064であり、ここで、exp(-5)=exp[暴露×σR/(3.9×1014)]〜6.7×10-3が飽和の定義として採用される(すなわち、反応が反応性サイトの99%を超えて進行する)。ほとんどの金属ALD前駆体は、0.006〜0.08の範囲にあるσRを有する。H2O、NH3、H2Sなどの典型的な非金属性前駆体は、あまり反応性ではなく、これらのσR値は典型的には約0.001〜0.005の範囲にある。このような低い反応性固着係数を有することは、100℃を超えるガス温度及び1Torr以下のプロセス圧力における拡散による化学物質搬送に比較して、ウェハに近い領域での化学物質の堆積が無視できることを意味する。したがって、遷移層及び拡散制限搬送の存在は、典型的には考慮しない。それにもかかわらず、DRAMウェハの場合におけるなど、高密度高表面積特性がウェハ上に存在するある種の用途においては、遷移層効果は基板の領域における局部的な枯渇を引き起こし得る。したがって、これらの局部的な枯渇効果を抑制するために、典型的ななめらかな表面暴露よりも2〜4倍高い暴露が有用である。
例として、化学物質投与中に枯渇に対処するために、50sccmの流量が望ましいと仮定する。したがって、100msec化学物質投与ステージ中に、ALD蒸着チャンバは2回掃引され、枯渇効果の抑止を3倍に引き上げる。50sccmにて、シャワーヘッド及びALD容積の応答時間は、それぞれ20msec及び60msecである。300℃でのAl2O3 ALD中、TMA投与を維持するために十分な定常状態投与<10sccmにて、低い定常状態流れ条件下での投与の応答時間は、一様に長い。これらの時間応答は、100msecの所望の化学物質投与時間に関して長い。したがって、ALD蒸着チャンバ内のガスを100%不活性ガスから100%まで(〜100%)の化学反応したガスに変えるために要した時間故に、100msec化学物質投与中の化学物質暴露は、(化学物質投与流量50sccmで)約50%まで低下する。好ましい実施形態において、この潜在的な問題は、上述したように、化学反応物質ガスの初期過渡増加により矯正される。
図4は、化学物質投与ステージの初期における化学物質のブースター発生の過渡的脈動のある場合とない場合で、画分的化学物質投与(化学反応物質ガスによる不活性パージガスの置換)をシャワーヘッド及び蒸着チャンバに対する化学物質投与時間の関数としてプロットしたグラフを示す。曲線は、化学物質投与ステージの先端にて過渡を実行することの利点を明らかに示す。50msec〜100msecの有用な短い投与時間の範囲における累積暴露は、過渡のない場合よりもブースター過渡を用いる場合で2倍以上高い。
上述のように、ブースター脈動による化学物質投与ステージの開始は、残りの化学物質のガス放出からの不利な堆積効果を減少させるに有効である。化学物質投与ステージの初期には、化学反応物質ガスの流量は、最初は比較的高く(続いて生じる定常状態化学物質投与流量と比較して)、こうして(あらかじめ投与された化学物質からの)ガス放出残渣化学物質の初期高度希釈を提供する。同時に、ガス放出源は、典型的には投与中の主要な化学物質と反応して、隙間及び他のガス放出領域の内部に膜を形成する。得られる膜が多孔性ではない場合(これは、通常、化学物質の非常に大きな集中が捕捉されなかった場合である)、滴定プロセスにより形成された膜は基板堆積にあまり不利な効果を生じさせず、一方、ガス放出源は本質的に滴定で除かれる。この機構によれば、過渡的流量が顕著に減少してしまう時間により、ガス放出もまた典型的に非効率的なレベルまで減少する。高濃度での短い化学物質投与ステージもまた、残渣ガス放出からの寄生的堆積を減少させるに効果的である。
図5は、上述のように、本発明によるALDの実施形態に対する実際の化学物質使用量を現在当該分野で実施されているような慣用の連続流プロセスと比較するグラフを示す。化学物質投与ステージごとの物質使用量は、続くパージ工程中にシャワーヘッド及びALDスペースから取り除かれた化学物質を含む。50sccmでの定常状態投与の場合について、本発明によるSMFD ALDでの物質使用量は、慣用のALDにおけるよりも5倍以上小さい。もっと減少した化学物質使用と一緒に、本発明によるSMFD ALDは、慣用のALDにより得られたレベルと同様の流束レベル及び暴露を達成する。慣用のALDシステムにおける連続流の1000sccmの流量は、非常に高い化学物質使用コストではあるが、慣用のシステムで本発明による上述の化学物質パージ性能を達成させることができる。しかし、このような高い化学物質投与速度を実施することは、蒸着システムにとって有害となるので、パージ最適化及び投与最適化の間の兼ね合いに従って、慣用のALD装置及び方法は、パージ効率とより低い流量での運転との妥協をしなければならない。慣用のALDに対してより低くより典型的な流量を用いる場合、化学物質利用は改良されるが、パージ性能は相当低下する。
効率的なALDの課題は、効率的なパージと効率的な化学物質投与とに対する矛盾する要求から生じる。これらの重要なモードのいずれも、全体の効率及び実際に低いメンテナンスを達成するに際して、それほど妥協できない。化学物質投与ステージは、最大の前駆体束を伴い、できるだけ短くすべきである。化学物質の濃度及び化学流束が高くなるほど、投与工程は短くなる。さらに、残渣化学物質の寄生的源は、投与中の主要な化学物質のより高い化学流束によって、より良好に扱われる。多くのALD前駆体は比較的低い蒸気圧を有し、高い化学物質流量の搬送を困難にする。この点に関して、本発明による実施形態は、未希釈化学物質を搬送する能力を増強する。
化学物質の効率的な利用は、化学物質排除及びチャンバメンテナンスに対して、あまり妥協せず、より実際的な解決に力を貸す。好ましくは、ALDシステムは、化学的に反応性である前駆体の少なくとも1種、例えばMLx前駆体を排除するように設計される。入手可能な実験データから、本発明による好ましい実施形態におけるTMA利用は、現在、約20%よりも大きい(ウェハ上の薄膜に形成される物質に対して)ことが知られている。したがって、化学物質のほとんどは、任意の条件下で廃棄される。ALD蒸着チャンバ内での熱気に暴露された領域は、典型的にはALD膜で覆われる。30%よりも多くが、蒸着チャンバ内の非ウェハ表面上に堆積されていると予測される。したがって、現行の好ましい実施形態において、蒸着チャンバに入る化学反応前駆体ガスの50%未満が、ドローにて、蒸着チャンバを出る。対比して、慣用のALDシステムにおいて、本発明により達成されるよりも約10倍以上の化学反応物質ガスが蒸着チャンバを出る。
フローとドローとの同期調整を提供しない慣用のALDシステムにおいて、フロー調整は有利ではない。フローを増加させると残留時間の減少を大きく制限するであろう圧力増加を招くので、パージ効率は、パージフローを増加させても改良できない。例えば、パージフローを100倍に増加することにより得られる最大の残留時間減少は、チャンバ出口コンダクタンスにおける最大の理論的増加に関連して、及び増加したチャンバ圧力に関連して、10倍である。例えば100倍小さい流量での化学物質投与は、投与圧力の不利な減少を少なくとも10分の1にする。したがって、10sccmにおける化学物質投与及び100mTorrの投与圧力にて運転する慣用のALDシステムは、パージを1000sccmにしようとする場合、1Torr(少なくとも)の圧力にならざるを得ないであろう。少なくとも理論的に、SMFDのパージ性能を一致させるために、慣用のシステムにおけるパージ流量を100000sccmの理論的最小値に設定する必要があるであろう。理論的には、パージ圧力は10Torrに(及び好ましくは10Torrを超えて)到達するであろう。これらの流れ条件下で、及び200mmウェハなどの実質的に狭い基板全体に堆積するためのALDチャンバの典型的な幾何学で、レイノルズ数は過剰に高くなり、許容できない乱流を生じさせるであろう。さらに、これらの高い流量でポンプ排気することは、法外に費用がかかる。
実質的な圧力のずれは、ALDシステム及び他のシステムにおいて、多くの理由により望ましくない。これらは、高レベルの粒子を発生させ、ALDの場合には逆流過渡を誘うであろう。
図1の議論において、化学物質ガス源105からの化学反応物質ガスは、化学物質源FRE106、ブースターチャンバ107及びブースターFRE109を貫通して流れる。化学物質源105での代表的な圧力は、10Torrである。したがって、ブースターチャンバ107内の圧力は、連続化学物質投与間に、P107=P105=10Torrに等しい圧力に達するように理想化された。化学物質投与中、定常状態流れが確立されるまで、P107はP105から過渡的に下降する。100msecの第1化学物質投与ステージ、100msecのパージ、他の化学物質源からの100msecの第2の化学物質投与ステージ及び100msecの第2のパージを含むサイクルシーケンスを有する代表定なプロセスにおいて、特定の化学物質源からの連続的な化学物質投与の間の時間は300msecであり、これはブースターチャンバ107の回収時間でもある。本発明によるシステム及び方法の設計において、化学物質投与流量における対応する減少を生じさせるための化学物質源FRE106、ブースターチャンバ107及びブースターFRE109の組み合わされたフローコンダクタンスにおける減少が、ブースターチャンバ107内の定常状態圧力を減少させ、さらに、応答時間を増加させ、ブースターチャンバ107は、300msec回収期間中に、実質的に再加圧される、と考えることは重要である。
化学物質投与流量が50sccmである本発明による代表的な実施形態において、ブースターチャンバ107内の定常状態圧力は、2.24Torrである。ブースター容器からシャワーヘッドに至る処理量は、Q107=0.127(P2 107-P2 SH)により計算される。ポアズイユの式を用いて、FRE109の形状寸法を予測できる:
Figure 2005515647
1cm長さのFREに対して、直径(250℃でのN2に対してη=270μポイズ)は約0.2cmである。設計直径が0.3125cm(1/8インチ)である場合、長さは5.3cmである。実際には、化学物質投与遮断弁110(図1)は、流れに対していくらかの抵抗を与える。したがって、FRE109は、追加の流量制限を供給する必要があるだけである。同様の態様にて、FRE106の形状寸法は、
Figure 2005515647
から求めることができる。したがって、FRE106は、直径0.3125cm(1/8インチ)を有する86cm長さの管状材料から作ることができる。しかし、化学物質搬送ラインはもっと短いことが好ましいから、FRE106は、より短い管状材料と連続してより狭いキャピラリーを追加することにより実行され得る。
パージ中、FRE109は、パージ排気ライン112へパージされる。このパージは、バルブ108を開くと同時に作動する。このパージライン中の流れは、FRE109及びFRE111のコンダクタンスの組み合わせにより影響を受ける。パージされるべき所要容量は非常に小さい(例えば、1cm3〜2cm3)から、効果的なパージは非常に少量の処理量で得られる。例えば、2msec〜4msecの応答時間を得るために、20sccmで十分である。したがって、このチャネル内の流れは、シャワーヘッド圧力及び蒸着チャンバ114に入るパージガスの流れに軽微な効果を与える。ALDは、一般に、2個(又は2個以上)の化学物質前駆体で実行される。1種の前駆体Aでの投与ステージ中、第2の前駆体Bのマニホルド内のシャワーヘッド104からバルブ108’に至るライン区域は、加圧されたデッド区間のままである。したがって、前駆体Bのマニホルド内のこの区域への前駆体Aのいくらかの侵入が生じ得る。したがって、各化学物質投与ステージに続くパージステージ中に、化学物質制限ガスマニホルド全体のパージが行われることが好ましい。
例えば、ALD蒸着チャンバ114内の圧力が100mTorrである場合、蒸着チャンバ114及びFRE117(図1)を通るパージガスの代表的な処理量は1000sccmである。パージ中、ポンプ排気経路(pumping path)のコンダクタンスCPP=(1/C115 + 1/C117-1 = Q/(P114-Ppump)、式中P114 = 100 mTorrであり、Ppumpはポンプでの圧力である。ポンプでの圧力は、ポンプの選択及びポンプ排気速度に依存する。例えば、1000 リットル/秒〜2000リットル/秒のポンプ排気速度を有するターボ分子ポンプが、ポンプにおける約700mTorr以下の圧力に対して、適切な処理量ハンドリングを提供するために有用である。200リットル/秒〜400リットル/秒のポンプ排気速度を有する分子吸収ポンプは、約60mTorr以上の範囲での圧力における処理量のハンドリングに有用である。高処理量を取り扱うために、BOC Edwards(前のセイコー精機)のSTPA 1303C又はAlcatel ATH 1600M又はATH 2300Mなどが本発明による実施形態に好ましい。現在代表的な計算において、2000リットル/秒のターボポンプ及び6.3mTorrのポンプ圧力を仮定した。したがって、CPP = 135リットル/秒の値が計算された。
FRE115のコンダクタンスが、フローにて約1000リットル/秒であり、対応するドローにて1000sccmである場合、ドロー制御チャンバ116での圧力P116は、P116 = 0.1 - Q/C115 = 87.3 mTorrとして計算される。FRE117のコンダクタンスは、C117 = (1/Cpp - 1/C115)-1 = 156 l/secとして計算される。図2に示したような例示的な装置において、基板ホルダー205は、約220mmの直径を有する。FRE115は、湾曲した細長いスロットとして構成される。これらのスロットの一つは、ウェハ装填をなすために用いられる。幅1cm、直径220mmの台形スペーサと一緒に、220mm直径にて、3個の同一のスロットが周囲に沿って位置づけられている。隠すロットの幅は約22cmである。スロットの長さは、1cmと考えられる。単一のスロットのコンダクタンスは、約333リットル/秒である。コンダクタンスは、矩形断面に対するHeinzeの式:
Figure 2005515647
で概算される。ここで、aは矩形の短辺であり、bは長辺であり、ψはWilliamsらのグラフによりa/bの関数として与えられる。ηは523KのN2に対して、270μポイズまで(〜270μポイズ)とする。0.04まで(〜0.04)のa/bを仮定すると、ψは0.97まで(〜0.97)と概算される。スロットの高さaは、
Figure 2005515647
により計算される。このギャップは狭いが、ウェハ装填末端効果器及びウェハハンドリング用のウェハを収容するには十分である。スロット直径比a/b=〜0.037、ψ=〜0.97は、仮定と一致する(他のエラー因子が及ばない)。直径が22cmで高さが約1cmである蒸着チャンバ203の例示的な寸法について、VALD = 〜400 cm3である。例えば、蒸着チャンバ203の高さは、ウェハ領域での1cmからスロットでの0.82cmまで円滑に遷移する。
図2におけるFRE209のコンダクタンスは、インサート(挿入体)207及びチャンバ壁221との間のギャップにより影響される。別の実施形態において、インサート207とチャンバ壁221との間のギャップは、非常に小さくなるように設計され、インサート207の底部における他の開口部はFRE117に対する主要なコンダクタンスを与える。コンダクタンスの領域は、式(14):
Figure 2005515647
を用いて概算される。
FRE117の場合、Ppumpとは事実上独立のコンダクタンスを作るために、開口型コンダクタンスが有用である。したがって、Ppump/P116が0.1未満である場合、C117は事実上圧力独立であり、
Figure 2005515647
により計算される。ここでAは開口の総面積である。FRE117のこの特徴は、本発明によるAMFD ALDシステムの性能を、ポンプ排気速度ドリフトに対して比較的感受性とし、典型的な実施形態において能動的なポンプ圧力制御を不要とする。
化学物質投与ステージの定常状態期間中、ドロー制御ガスは、ドロー源遮断弁120を通ってドロー制御チャンバ116に流入する(図1)。ドロー制御チャンバ116内のドロー圧力は、こうして上昇し、蒸着チャンバ114から出る化学反応物質ガスのドローを減少させ、こうして化学反応物質ガスのドローと蒸着チャンバ114に入る化学反応物質のフローとは独立に一致する。蒸着チャンバ114に入る化学反応物質ガスの定常状態流れが50sccmである場合、ドロー制御チャンバ116内の化学物質投与ドロー圧力は、
Figure 2005515647
まで上昇するはずである。バルブ120を通るフロー処理量は、116にてこの圧力を維持させなければならない。ドロー制御チャンバ116からポンプに至る処理量は、Q120 = C117(P116 - Ppump) = 156×(0.0994-0.0063) = 14.5 liter Torr/sec ≒ 1150 sccmである。この処理量のうち50sccmは、蒸着チャンバ114からのドローであり、1100sccmはバルブ120を取って流れたドローガスである。
本発明により十分に設計されたSMFD ALDシステムの性能は、ガス流量及び圧力の正確な制御に臨界的に依存するものではない。したがって、十分に設計されたSMFD ALD装置は、パーツ製造及び市販の設備機器及び成分の標準的且つ慣用的な誤差に対して感受性ではない。例えば、ドロー制御チャンバ116内の化学物質投与ドロー圧力における増加は、ドローのフローに対する独立の実質的な一致及び化学物質投与特性に大きな影響を与えずに、5%〜10%だけ変動し得る。化学物質投与中の化学物質の定常状態流れは、蒸着チャンバ内の圧力に対して比較的不感性である。定常状態流れのゆらぎ又はドリフトも重要ではない。バルブ120を通ってドロー制御チャンバ116に至るドローガスの流量が変動又はドリフトする場合、ドロー制御チャンバ116内のドロー圧力及び蒸着チャンバ114内の圧力の両者は、同時にドリフトし、プロセス圧力における僅かで取るに足らないドリフトを伴うが、ドロー制御チャンバ116内へのドローガス流量におけるいかなる顕著なドリフトに対しても円滑に補償する。
本発明によるシステム及び方法のこの重要な「自己修正」特性を、図6を参照しながら説明する。図6は、本発明によるSMFD ALDシステムを通るガスの種々の流れストリームを概略図で示す。蒸着チャンバ114への化学物質の流量Q1は、アップストリームマニホルド(源101、FRE103、源105、FRE106、ブースター107、FRE109、FRE113)により決定され、蒸着チャンバ114内の圧力P114に非常に敏感である。蒸着チャンバ114からドロー制御チャンバ116へのドローQ2は、FRE115のコンダクタンス及び圧力P114及びP116によって決定される。バルブ120を通る区画116へのドローガスの流量Q3は、ドロー制御チャンバ116内内の圧力P116に非常に敏感であり、主としてFRE121及びその後の圧力によって決定される。ドロー制御チャンバ116から出るガスの流量Q4は、FRE117のコンダクタンス(〜156リットル/秒)及び圧力P116及びPpumpにより決定される。化学物質投与中のシステムにおける全圧力及び全処理量の時間依存性挙動は、下記の単純化された式:
Figure 2005515647
を用いてシミュレートされる。さらに、蒸着チャンバ114の容積は0.4リットルであり、ドロー制御チャンバ116の容積は3リットルである。計算は、図6に示すモデル及び式(16)、(17)及び(18)を用いて行った。
図7は、前述の計算によれば最適値に近いと評価されたQ3が1100sccmである場合のQ1、Q2及びQ4の時間依存性の計算値をグラフに示したものである。Q4は、化学物質投与ステージの初期過渡的部分中に、定常状態値を通り過ぎる。このオーバーシュートは、我々の実験にて与えられた過渡的なQ1とP116との間の不一致による。過渡的な変数は、システムの重要なパラメータ(すなわち、P114、ΔP = P114 - P116、Q1、Q2)における少量の効果だけとの実質的な不一致を有し得る。図8は、P114、P116、ΔP = P114 - P116の時間依存性を示す。過渡的不一致ゆえに、過渡的領域(100mTorr〜105mTorr)でのP114のわずかな増加がある。この取るに足らない圧力上昇は、滑らかで、システム性能に効果を有さない。この圧力上昇は、P116の過渡をより緩やかに調整することによって矯正される。しかし、システム性能に取るに足りない効果を与えたとしても最適化は実際には必要ではない。より重要なことに、図8は、チャンバ内の圧力が101mTorrで平坦になり、ΔP = P114 - P116が常にゼロよりも大きい、ことを示す。この重要な特性は、システムがドロー制御チャンバ116内から蒸着チャンバ114に戻る逆流に抵抗することを意味する。広範囲のバリエーションでシミュレートしてテストしたところ、この逆流に対する耐性が強靱で、Q3の主要ドリフト並びにバルブの非同期作動に不感性である。
追加の計算は、異なる5個のQ3値、すなわちQ3=900、1000、1100、120、1300sccmでのP114の時間依存性をシミュレートする。P114の計算値は、狭い範囲で変動した。たとえば、初期値100mTorrで開始し、100msec後、Q3=1300sccmでP114は約118mTorrで落ち着き、Q3=900sccmでP114は84mTorrで落ち着いた。より重要なことに、ΔP = P114 - P116の計算値は、900sccmと1300sccmとの間のQ3のすべての値に対して常に正であった。ΔP=12.5mTorr、時間=0で開始すると、20msec後、Δpの計算値は約5mTorr〜7mTorrの範囲内であった。ゆえに、Q3における40%変動にもかかわらず、チャンバ内の圧力は、比較的狭い範囲内で滑らかにドリフトする。加えて、圧力差ΔP = P114 - P116は、負にはならなかった。これは、システムが、非常に効果的に自己反応して、ドロー制御チャンバ116から蒸着チャンバ114に至る逆流を防止した、ことを示す。シミュレーション結果は、Q3の極端で現実味のないドリフト下でさえも、システム性能における効果は取るに足りないものであることを示した。当該分野で公知の方法及び設備機器を用いて、P114における揺らぎを100±5mTorrの範囲内に容易におさめるように、Q3を1100±50sccmに維持することができる。実施例1にて後述するように、本発明による例示的な実施形態の運転から得られる実際の実験データは、シミュレーション挙動を確認した。事実、SMFD ALDシステムは、100mTorr〜500mTorrの範囲内に投与圧力を制御しながら、適切に機能し得る400sccm〜1500sccmの範囲内でテストした。
システム堅牢度は、本発明による実施形態のすべての重要な側面にあてはまる。例えば、シミュレーションモデルの計算は、ドロー制御チャンバ116の容積に対するP114の感受性をテストするために行った。ドロー制御チャンバ116の容積V116は、1.5リットル〜12リットルの範囲で変動させた。ドローガスの流量Q3(図6参照)は、1100sccmに設定した。時間=0、P114 = 100 mTorrで開始し、P114は95mTorr〜115mTorrの範囲内にとどまった。対応するΔP = P114 - P116の計算は、実際にV116に依存しなかったことを示した。同様の計算をQ3=1200sccm及びQ3=900sccmについても行い、V116に対するP114及びΔPの感受性が同様に小さいことを示した。これらの計算は、本発明によるSMFDシステムが認容することができる過渡的不一致の広い範囲を示した。
上述のシミュレーション計算は、定常状態化学投与流量Q1の値、50sccmを用いた。シミュレーションモデルによる更なる計算をQ1の値25sccm及び100sccmについても設定した。これらのシミュレーションに対して、V116=3リットル、25sccmの場合に
Figure 2005515647
100sccmの場合に
Figure 2005515647
であった。ドローガスの流量Q3を900sccm、1100sccm(理想ケース)及び1300sccmについてシミュレートした。種々のシミュレート条件下でP114の得られた計算値は、すべて、約80mTorr〜120mTorrの範囲内にあった。これは、システムが安定で性能が確固不動のものであることを示す。シミュレーション計算は、さらにバルブ110及び121の非同期作動により生じるシステムの不安定性もまた、システム及び得られる僅かなP114の揺らぎにより十分に吸収されることを示した。±5msecの同期不一致は、900sccm〜1300sccmのQ3値の範囲全体にわたり、システムにより十分に対処される。
P114のずれは、設計値の10%以内に制御され得る。このレベルにて、これらの圧力過渡は、何らの顕著な性能損失をもたらさない。10%以内の小さな圧力増加は、パージ中、わずかなガス滞留時間の増加を引き起こす。それにもかかわらず、約1014xのパージ効率の点から、パージ効率におけるわずかな減少(テストされたシミュレーション条件下で最悪でも約6xとシミュレートされる)は取るに足らないものである。したがって、化学物質投与遮断弁110、110’を閉じることにより引き起こされるP114過渡は、大きな設計上の考慮点ではない。それにもかかわらず、これらの過渡は、必要であれば、以下の方法の一つ又は組み合わせを用いて、効果的に矯正され得る。(1)パージガス搬送ライン101、102、103(図1)を2本のラインに分割して、1000sccm不活性パージを全体で1000sccmとなる同一又は異なる処理量に分け:化学物質投与遮断弁を閉じると同時に、一方のパージガスラインを作動させ:次に、いくらかの遅れを持って第2のパージガスラインを作動させる;(2)ドロー制御チャンバ116の容積を減少させる;(3)ガス分配チャンバ(シャワーヘッド)104の容積を増加させる;及び(4)数ミリ秒の十分に制御された遅れを用いて、ドロー源遮断弁120を閉じ、次にパージ源遮断弁102を開く。例えば、0msec〜10msecの範囲にある遅れを導入することによって、化学物質投与工程の開始時における化学物質投与バルブ110、110’及びドロー制御バルブ120(図12)の間の同期を変化させる。500msec以下のサイクル時間でAl2O3を堆積させるために最適化されたTMA投与工程及びH2O投与工程の両者とも、テストした。テストした範囲内で、我々は、この全体のバルブ非同期の影響は本質的になかったことを知見した。
本発明によるいくつかの好ましい実施形態において、圧力調整は流れ調整と同期させた。たとえば、化学物質投与中のより高い圧力は、区画116への流量Q3(図6)を増加させることにより実行される。たとえば、シミュレーション計算は、Q3に対する蒸着チャンバ圧力P114の半線形依存性を示した。したがって、P114(mTorr)は、式:7.5+0.085×Q3により近似される。H2O投与の反応速度は、TMAが飽和してH2Oが70%飽和した条件下でAl2O3の堆積中に、テストした。定性的に、H2O反応速度は、より高い投与圧力にて増強された。より高い圧力は、化学物質投与ステージ中、流束を増加させ反応をスピードアップさせるために有利であり得る。しかし、ほとんどのALDプロセスは、10msec〜50msec以内で、100mTorr〜200mTorrの範囲内の圧力にて、飽和に達した。したがって、圧力調整は典型的には必要ではない。さらに、異なる化学物質の異なる化学物質投与ステージ中に異なる圧力を用いる流れ及び圧力の同期調整の実行が可能であり、例えばドロー制御チャンバ116への3種の(選択可能な)異なるドローガス流量を推進するために、120及び121に平行な追加のドロー源シャットオフバルブ及びドロー源FREを要求する。平行な追加の遮断弁及びドロー源FREの例は、図10に示したALDシステム500に示されている。システム500は、120及び121に平行なドロー源遮断弁530及びドロー源FRE532を具備する。
設計により、ALD化学は、固体膜を製造する。これらの膜は、ポンプ、バルブ及びゲージ内部に堆積されたならば、ダウンストリームマニホルドを加速度的に劣化させる。実用上及び費用の考慮により、ダウンストリームマニホルドにて維持され得る温度は200℃以下に制限され、典型的には約100℃以下である。したがって、ダウンストリームマニホルドの壁は、ALDタイプの化学物質の顕著な吸着及び多孔性で目の粗い堆積成長に影響されやすい。これらの低品位堆積は、ダウンストリームマニホルドを迅速に詰まらせ、さらにシステムにおける重篤な粒子汚染を引き起こす。加えて、メンテナンスは一般に、ダウンストリームマニホルドの完全な分解を要求し、洗浄プロセスは退屈で費用がかかる。
本発明による好ましい実施形態は、非基板表面上での化学物質の堆積に関連する問題を減少させ及び避けるために、化学物質排除を提供する。効果的な化学物質排除は、蒸着チャンバに出て行くプロセスガスストリーム(ドローへ)内に存在する未反応化学物質を取り除き、こうしてメンテナンスフリーのダウンストリームマニホルドを提供し、ポンプ、バルブ及びゲージの寿命を延ばす。この結果、多額の費用削減及び稼働時間の延長をもたらす。一体化された排除システムは、ALDシステムの性能又は効率に影響を与えずに、種々の設計で実行可能である。
本発明によるSMFD ALDシステム及び方法における蒸着チャンバから流出するプロセスガスストリーム(ドロー)は、慣用のALDシステムにおける未反応化学反応物質ガスの量のほぼ1/10を含む。このため、本発明による実施形態は、ほぼ完全な化学物質排除を達成するために特に良好に適する。加えて、ドロー制御チャンバ、例えば図1におけるドロー制御チャンバ116は、化学物質排除に良好に適する。なぜなら、強靱な逆流抑制により、蒸着チャンバ内の滞留時間とは結びつけられない非常に長期化された滞留時間により、及び最も重要なことに、不活性でも反応性でもよく且つ容易に除去可能な表面上もしくはドロー制御チャンバ内部にて容易にその場洗浄ができる表面上の高品位膜の実質的に効率的な堆積を誘引するために用いられ得るガスを高処理量で化学物質投与ステージ中に流すことができる能力により、特徴づけられるからである。
本発明による一体化された化学物質排除の目的は、ALD蒸着チャンバからのドロー中のプロセスガスから反応性化学物質を取り除き、こうしてさらに下流側での固体堆積物の低位品位堆積を防止するため、十分に画定された場所及び十分に画定され且つ費用効果的に維持可能な表面を提供することである。したがって、蒸着チャンバにできる限り近接して化学物質排除を行うことが望ましい。なぜなら、流路内での堆積成長なしに、さらに下流側に流出物を運ぶことは複雑で、費用がかかり、しばしば不可能だからである。一体化された排除の別の目的は、排除装置の容量を増加させ、要求されるメンテナンス間隔を延ばす、実質的に大きな表面上の高品位膜に化学物質を変換することである。
今日知られているほとんどのALDプロセスは、異なる2種の化学物質、例えばMLx及びAHyで実行されている。これらの化学物質の一方、典型的にはMLx(金属又は半導体原子を含む前駆体)は、他の前駆体よりも実質的に反応性である。化学物質排除は、より反応性の前駆体、例えばMLxが実質的に排除され、あまり反応性ではない前駆体、例えばAHyだけが流出出口に残る場合に、効果的に達成される。簡便には、固体製造前駆体MLxの排除は、効果的に残りの流出ガスを不活性で揮発性にする。結果として、固体堆積の成長は、効果的に抑制される。なぜなら、良好なALD前駆体は、定義によると、安定で単独では反応せず分解しない。金属前駆体MLxを排除することにより、ダウンストリームマニホルドにおける固体物質の蓄積は防止される。次いで、ポンプの上流又は下流で、慣用の公知の化学物質排除技術を用いて、あまり反応性ではない化学物質前駆体、反応副産物、及び他の揮発性化合物を取り除くことができる。
典型的には、ただ一つのALD前駆体、金属(又は半導体)含有前駆体MLx(例えばトリメチルアルミニウム)が固体堆積を作る。しばしば、他の前駆体AHy(例えばH2O)が高圧にて好ましく投与されて、緩やかな反応を加速させる。必要であれば、SMFDは、AHyを100%濃度でさえも投与することができる。したがって、排除プロセスは、MLxの全量又はほとんど及び典型的にはAHyの小画分のみを消費する。
任意の実際のALDシステム、慣用のALD又は本発明によるSMFD ALDは、効率的に運転されて、蒸着チャンバから出るALD前駆体をパージするためのパージ時間を最小にする。MLx前駆体は排除プロセスにより排除され得るが、AHy前駆体は排除スペースに蓄積する傾向にある。したがって、排除チャンバは、比較的大きな容積を有し、さらに高い表面積を含むものでなければならず、パージをより困難にし、AHy前駆体の蓄積の影響をより受けやすくする。最適化された高処理量ALDプロセス中、排除チャンバ内のAHyの有限の分圧の累積は、一般に避けることができない。排除膜(堆積)の品質は、AHyの分圧に逆に依存する。AHyの分圧が高いほど、膜の品位は低下する。排除の目的は、高品位膜堆積の成長を促進することにある。SMFDは、化学物質投与中にドロー制御排除チャンバを通るドローガスの高希釈流れを提供し、パージステージ中にパージガスのより高い流量を提供することにより、排除スペース内のAHyの分圧を効果的に減少させる。ゆえに、SMFDは、排除膜の改良された品質のための機構を本来的に提供する。
慣用のALDシステムにおいて、AHyの分圧の累積は、不利な排除チャンバから上流側に戻って蒸着チャンバに至るAHyの逆拡散を引き起こす。結果として、AHyは、蒸着チャンバ内に不利なCVDシグネチャーを作り得る。対比して、本発明によるSMFDは、AHyの累積した分圧を減少させることにより、並びに拡散を阻止する実質的に前向きの流れを提供することにより、ドロー制御排除チャンバからの潜在的な拡散を効果的に抑制する。
一体化された化学物質排除を有する本発明によるSMFDのある種の実施形態は、ALD化学物質を用いて、ドロー制御チャンバ内部に位置づけられた高表面積排除要素におけるCVD及びALD反応を促進する。たとえば、ホットプレート温度もしくは個別に制御された昇温された温度に維持されているドロー制御チャンバ208の熱い内壁225(図2)は、表面積が増加されている多孔性金属又はセラミックスを用いて製作される。ドロー制御チャンバ208内の高表面積及び比較的長い滞留時間は、効率のよい、最も反応性である化学物質の100%近い枯渇のために設計される。こうして、ダウンストリーム固体堆積のほとんどは、その場以外(ex-situ)の洗浄のために取り除くことができるか又はチャンバ208内部にてその場洗浄ができる、取り外し可能なインサート上の高度に局部化された高品位膜に制限される。ドロー制御チャンバ208の外壁221(図2)は、その場洗浄が望ましくない場合には、取り外し可能なライナーにより保護される。
排除要素の設計及び物質組成、並びに多孔度は、ALDプロセスの特定の化学反応物質及びSMFD反応容器の設計に依存する。例示的な化学物質排除要素400の設計は、図9に概略的に示されている。排除要素400は、50%多孔度、約50μmのポアサイズ及び1/8インチの厚みを有する多孔性タングステンを含む。排除要素400は、ウェハ加熱チャック205(図2)の底部にフランジ401を用いて取り付けられる。2つの要素400がチャック205に取り付けられて、半径方向要素を形成する。2片のピースは、突き合わせ段差405で所定位置にロックするように設計されている。内壁402は、環状ドロー制御チャンバ208の内壁225(図2)を形成する。排除要素400は、メンテナンス中に素早い組み立て及び分解に良好に適する2個の相補的なパーツから組み立てられる。外部リング403(図2には示されていない)は、追加の面積拡張を表し、ドロー制御チャンバ208の外壁221に非常に引接している。リング402及び403は、多孔性Wから同様に作られたいくつかのフィン404により相互に連結されている。一対の組み立てられた排除要素400は、約1000cm2〜2000cm2巨視的面積(平坦なタングステンの面積)及び約15,000cm2から30,000cm2の実際の面積を与える。組み立てられた対は2リットルのドロー制御チャンバに嵌合し、高品位Al2O3膜を成長させるために通常用いられるTMA-H2O ALDプロセス中に、蒸着チャンバから出るドローストリームからトリメチルアルミニウム(TMA)の約99.9%を捕捉するように設計されている。
本発明によるSMFD装置及び方法を用いるAl2O3のALD堆積は、排ガスのストリームからのTMAの効果的な排除を示した。SMFDの良好な化学物質利用効率故に、及び慣用のALD装置と比較してSMFDは排除スペースを通る不活性ガスの高い連続フローによってAHyをより低いレベルに本来的に維持するので、ドロー制御チャンバ内に位置づけられた排除表面上での良好な品質のAl2O3膜の成長が達成された。飽和をいくらか上回った高H2O投与条件下で、排除要素のある領域における低品位膜のいくらかの成長が観察された。高品位排除膜は、透明で、良好なインターフェアレンスパターンを有していた。対比して、低品位膜は、白色粉末状の外観を有していた。したがって、排除装置及び方法は、ドローガスの流量を増加させることにより、さらにH2O利用性を改良し、同時にドローチャンバ内のH2Oの追加の希釈が提供された。したがって、TMA排除は、TMA投与工程中及び少し後のTMA投与工程の完了時に、ドロー/排除チャンバへのオゾンの緩やかな流れを注入することによりもっと改良された。このオゾンの注入は、後述するように、ALDプロセスと同期されていた。
ドロー制御チャンバが小容量(例えば、1リットル以下)である場合、又はALD前駆体が排除要素400に提示される温度にて十分早くは反応しない場合、又はより小さい面積の排除要素が(チャンバメモリを抑圧することにより)AHy累積効果を減少させるためにもしくは費用を低減させるために望ましい場合、本発明による実施形態は、以下の方法その他の1種又は数種を用いて、ALD性能を犠牲にせずに、排除効率を増加させるように変更される。
MLx化学物質の化学物質投与ステージ中に不活性ガスに代えてもしくは不活性ガスに加えて、ドロー制御チャンバ116に反応性排除ガスを流すことは、化学物質排除を増強する。選択された反応性ガスの添加は、反応性CVD経路を提供し、排除要素の領域上に高品位固体膜堆積を発生させ、こうして、プロセスストリームから化学物質を排除する。例えば、TMAの化学物質投与中のドロー制御チャンバ116へのオゾンの添加は、排除表面上のAl2O3 CVD膜の非常に効率的な堆積を引き起こす。オゾン排除プロセスは拮抗し、実質的により小さな領域表面で効率的な排除を可能としながら、ALD前駆体間での望ましくないCVD反応に優位する。このアプローチは、ほとんどのALDプロセスについて容易に実行され、排出流出部からほとんどの反応性前駆体(例えば金属)を排除する。オゾンは、発生させることが容易で、MKS ASTeX AX8400など種々の適切な商業的オゾン発生器が入手可能である。
ドロー制御チャンバ116のガス力学又は滞留時間に負の影響を与えずに、第2の別個の排除要素を有する排除スペースのより大きな容積を提供することは、化学物質排除を増強する。図10は、排除及び実質的なガス滞留時間のために大きな表面積を提供する別個の排除チャンバ502を具備する本発明によるSMFD ALDシステム500を概略的に示す。未反応化学物質前駆体を含有するガスは、ドロー制御チャンバ116から流出して、ドロー制御FRE117に流通する。排除チャンバ502での化学反応の後、ガスは、排除チャンバFRE504を通して真空ポンプ125へ流れる。ある種の実施形態において、排除チャンバ502とドロー制御チャンバ116との間に連続流体連通状態に、隔離ゲートバルブが位置づけられ、蒸着チャンバにて真空を遮断する必要性なしに、排除チャンバの稼働を可能とする。さらに、上述のような反応性ガスは、排除チャンバ502の効率及び堆積の品質をさらに改良するために有用である。ある種の実施形態において、不活性ガス保護導管を用いて、ドロー制御チャンバ116と排除チャンバ502との間の導管区域内での堆積成長を防止することができる。このタイプの導管の設計は、1998年10月27日発行のYoufan Guの米国特許U. S. Patent No. 5,827,370(本願明細書に参照として組み込む)に記載されている。
排除チャンバ502内部でプラズマを発生させることは、化学物質排除をさらに増強する。Arなどのプラズマ形成ガスは、プラズマ特性を改良するために有用であり、ドロー制御ガスの一部又は全体として提供され得る。
図10におけるシステム500は、さらに、オゾン発生器を具備する。酸素は、酸素源510からオゾン発生器512に供給され、ここで酸素は連続的にオゾンに部分変換される。O2/O3の混合物は、オゾン真空バルブ514及びオゾン真空FRE516を通って、真空ポンプ125まで通過する。前駆体の一つ、例えばMLxの化学物質投与中に、排除が必要な場合、O2/O3の混合物は、オゾン混合物がオゾン源FRE522を通って排除チャンバ502に流入するように、真空バルブ514を閉じて、オゾン源バルブ520を開くことにより、排除チャンバ502内に送られる。
本発明によるSMFDシステムに対するメンテナンス手順は、用途に応じて変わる。一般に、その場メンテナンスが好ましい。ドロー制御チャンバ116及び/又は排除チャンバ502のその場メンテナンスは、システムの逆流抑制特性を用いて好ましく行われ、さもなければ蒸着チャンバ114を損傷するかもしれないチャンバ116及び502の積極的な洗浄を提供する。例えば、シリコン又はタングステン化合物は、NF3、ClF3その他のフッ素源で排除され得る。最初に、蒸着チャンバ114及びドロー制御チャンバ116内の堆積が穏やかな手順で洗浄されて、ウェハチャック、ウェハリフトピンなどの蒸着チャンバ内部の感受性要素に対する潜在的な損傷を防止する。蒸着チャンバが洗浄されると、蒸着チャンバはガス分配チャンバを通しての不活性ガスの緩慢なフローによって保護される。次に、ドロー制御チャンバ116及び排除チャンバ502内部のより実質的な堆積を実質的により積極的な洗浄手順で洗浄し、逆流抑制が高レベルフッ素及び他の強力な種の蒸着チャンバに対する影響を防止する。
他の一般的な場合において、Al2O3、HfO2などの有用なALD膜はその場洗浄することはできない。これらの場合、取り除き可能なインサート(挿入体)を蒸着チャンバから取り除いて、清浄なインサートで置換する。
上述のように、一体化された排除は、メンテナンススケジュールを延ばし、性能劣化を効果的に抑制する。堆積は、ほとんどの場合、ピーリングなしに100μmよりも厚い厚さまで成長し得る高品位膜に制限される。したがって、システムがその場洗浄され得ない場合であっても、多数のウェハをメンテナンスによる中断なしに処理することができる。加えて、メンテナンス手順は、2,3のパーツの置換及び再認定のための停止時間を数時間の業界標準まで減少する。さらに、排除は、ダウンストリームライン及び成分から固体堆積を排除する。
一般に、圧力に対する能動制御を実行することは必要ではない。図6及び式(16)、(17)及び(18)に関して記載したように、SMFD ALDチャンバ内の圧力は、多数のFRE、並びにドローガス源101、パージガス源101及び化学物質源105(図1)などのガス源の制御された圧力によって決定される。さらに、ポンプ排気速度ドリフトが蒸着チャンバ圧力P114及びガスフローとドローに僅かに小さな効果を生じさせることは知られている。したがって、ポンプ、例えばFRE117の出口(図1)における圧力の能動制御は、一般に不要である。それにもかかわらず、必要な場合もしくは望ましい場合には、能動圧力制御は、メカニカル(ターボ分子、ドライポンプ、ルート−ブロワーなど)ポンプの速度を制御するなどの慣用の技術により、又は一般的なスロットル装置を用いることにより、真空ポンプにて実行される。あるいは、図11に示すように、本発明によるシステム500は、ドロー制御チャンバ116から下流側でドロー制御FRE117と真空ポンプ125との間に位置づけられた圧力制御チャンバ560を具備する。圧力制御FRE562は、圧力制御チャンバ560と真空ポンプ125との間に位置づけられている。不活性ガス(例えば、ドローガス源101から)は、比例バルブ564を通って、FRE566を通って、圧力制御チャンバ560まで通過する。圧力は、適切な圧力ゲージ、例えばキャパシタンスマノメータ568により計測される。FRE117の下流側に十分に制御された圧力を提供することは、ポンプドリフトに対する追加の安定性を与える。フィードバック制御は比較的緩慢な変化を補償し、任意の早い変化、例えば10秒よりも早いスケールでの変化は電気的にフィルターがかけられる。
本発明によるフローとドローとの同期調整は、化学物質投与を非常に低い流量で可能とする。一般に、小容量のドロー制御チャンバは、大容量のものよりも好ましい。第一に、小容量ドロー制御チャンバは、システムに素早いドロー制御応答を与える。第二に、小容積は、パージステージ中に、大容積よりもより効率的にパージされる。例えば、200mmシリコンウェハの処理用に適切に設計された約400ccの容積を有する蒸着チャンバは、100cc以下の容積を有するドロー制御チャンバと一緒に良好に運転する。
本発明による実施形態は、比較的低い化学物質投与フロー及びドローによって特徴づけられる。慣用のALD装置において、数百sccmの典型的な化学物質投与流量は、ガスの比較的高い置換によって、拡散を大幅に抑制する。対比して、本発明による実施形態においては、化学物質投与流量は設計により小さい(例えば50sccm未満)。小さなドロー制御チャンバ容積は、パージステージ中に、効果的にパージされ、こうして、残留化学物質を取るに足りない低レベルまで抑制する。加えて、化学物質投与ステージ中の典型的に高いドローガス流量は、さらに、残留化学物質の濃度を希釈する。したがって、拡散は効果的に抑制される。
それにもかかわらず、排除を行うことができる大容量ドロー制御チャンバを利用することがしばしば望ましい。したがって、ドロー制御チャンバ内の排除要素は、ドロー制御チャンバの内の化学物質の効果的な滞留時間を大幅に増加させる。システム100において、図1に示したように、化学物質投与中に維持されている蒸着チャンバからの小さなドローは、大容量制御チャンバが実装される場合、蒸着チャンバへの拡散逆流による物質搬送を抑制するために十分なガス置換を必ずしも提供するとは限らない。たとえば、300℃におけるN2中H2Oは、N2の200mTorr中約3000cm2/secの拡散定数Dを有する。したがって、ドロー制御チャンバ116から上流の蒸着チャンバ114へのH2Oの拡散は、ある状況下で、H2Oのかなり大きな流束を蒸着チャンバ114に送る。
したがって、ある種の好ましい実施形態において、効果的な逆流拡散の抑制は、蒸着チャンバとドロー制御チャンバの間に、小容量ドローガス導入チャンバ(DGIC)を備えることにより得られる。DGICから上流の蒸着チャンバへの逆流拡散は、実際は無視できる。大容量(及び設計によっては長いメモリ)ドロー制御排除チャンバからDGICへの拡散、及び続く蒸着チャンバへの拡散は、DGICからドロー制御チャンバへのドローガスの高い流量により抑制される。
図12は、高品位ALD薄膜を提供するため、DGICを具備し且つ本発明により運転される、例示的なSMFD ALDガスマニホルドシステム600を概略的に示す。
システム600は、圧力安定化不活性ガス導管602を具備し、ここから不活性パージガス及び不活性ドローガスが流れる。不活性ガスシリンダ604は、当該分野で公知のガス圧力レギュレータを介して圧力コントローラ606に接続されている。例えば、MKS 640A型圧力コントローラが適切である。質量流量計608は、定常状態流れ条件下での流量を簡便に画定し測定する。導管602における圧力のうねりは、ガス予熱容器610により、例えば0.1%以下の適切なレベルまで抑制される。例えば1.5リットルのガス容器610の容積が選択されて、圧力のうねりを抑制して、ALDガスマニホルドの温度又は任意の他の選択された温度に実質的に到達するために不活性ガスに対する十分な滞留時間を提供する。
不活性ガスフローは、導管602にて、パージFRE103を含むALDパージライン612及びドロー制御ライン119に分けられる。別の実施形態(図示せず)は、別個の圧力コントローラと、マニホルドのパージ部分及びドロー部分用の加熱容器とを含む。本発明によれば、マニホルドの完全な分離、異なる別個のガス源の使用、パージ制御及びドロー制御用の異なるガス温度を含むパージガス搬送及びドローガス搬送の多くの異なる配置を実行することができる、ことが当業者には理解される。
加圧されたガス導管602は、パージ源FRE103及びパージ源遮断弁102を介して、ガス分配チャンバ104と連続流体連通状態にある。好ましい実施形態において、バルブ102とFRE103との間の容積から生じる圧力の急激な上昇を最小化するように、FRE103の機能は設計され、遮断弁102の構造に組み込まれて、単一の装置を作る。
パージガスは、パージ源遮断弁102及びパージ源流量制限要素(FRE)103を通って、通常はシャワーヘッドであるガス分配チャンバ104に供給される。図12に示すように、パージ源遮断弁102及びパージ源FRE103は、パージガス源101とガス分配チャンバ104との間に連続流体連通状態を与える。純粋な化学物質ガスの形態である化学反応物質前駆体、液体又は固体化学物質からの蒸気、又は不活性ガスとのガス化学物質の蒸気との混合物が、複数の化学物質ガス源105、105’における十分に制御された圧力にて、提供される。化学物質ガス源105は、化学物質源FRE106を介して、ブースターチャンバ107と連続流体連通状態に接続されている。ブースターチャンバ107は、化学物質投与遮断弁110及びブースターFRE109を介して、ガス分配チャンバ(シャワーヘッド)104と連続流体連通状態に接続されている。図12に示すように、化学物質投与遮断弁110及びパージ排気遮断弁108は、接合部123から枝分かれするシステムのガスマニホルドシステムの平行な分枝中に、単純な2方向バルブを具備する。
図12に示すように、第2の化学物質ガス源105’は、化学物質ガス源105に関する記載に対応する装置を有するシャワーヘッド104に接続されている。
好ましい実施形態において、単一の装置を作り、FRE109とバルブ110との間の容積に生じる圧力のうなりを最小化するように、FRE109の機能は設計され、化学物質投与遮断弁110の構成に組み込まれる。同様に、追加の化学物質源、例えば化学物質ガス源105’は、対応するマニホルド要素106’、107’、109’及び110’を介してフロー分配チャンバ104に接続される。
ガス分配FRE113は、ガス分配チャンバ104と原子層蒸着チャンバ(ALDC又は蒸着チャンバ)114との間に連続流体連通状態を提供する。ガス分配チャンバ105がシャワーヘッド装置である好ましい実施形態において、ガス分配FRE113は、通常、ノズル列113である。ノズル列は、ガス分配チャンバ104から、加熱された基板ホルダー620を包含する蒸着チャンバ114へ、制限された均一な流れを提供する。蒸着チャンバ114は、蒸着チャンバFRE115を介して、小容量ドローガス導入チャンバ(DGIC)630に連続流体連通状態に接続されている。不活性ドローガス源604は、ドローガスライン119、ドロー源遮断弁120及びドロー源FRE121を介して、DGIC630に連続流体連通状態に接続されている。ドローガス導入チャンバ630は、DGIC-FRE632を介して、ドロー制御チャンバ(DC)116に連続流体連通状態に接続されている。化学物質排除要素634は、ドロー制御チャンバ116内部に配設されている。ドロー制御チャンバ116は、ドロー制御出口124及びドロー制御FRE117を介して、ポンプチャンバ636に連続流体連通状態に接続されている。圧力ゲージ638は、ドロー制御チャンバ116に接続されている。圧力ゲージ638、例えば、MKS Baratoron model 628 型は、プロセスの始めから終わりまで、例えばドロー制御チャンバ116内の平均圧力をモニターする。同様に、他のプロセスモニター装置(図示せず)、例えばガス分析器をドロー制御チャンバ116に簡便に接続させることもできる。低圧ゲージ664、例えばHPS I-Mag冷陰極ゲージをポンプチャンバ636に取り付けて、あそび時間中、チャンバ圧力をモニターする。ターボ分子ポンプ640は、ポンプ排気ゲートバルブ642を介してポンプチャンバ636に接続され、あそび時間中に高真空を容易にし、ALD運転中に高処理量流れを容易にする。例えば、BOC-Edwards STPAシリーズから選択されるポンプが適切である。200mmシリコンウェハ上のALD堆積に対する良好な性能は、STPA 1303Cポンプを用いて得られた。ターボ分子ポンプ640は、バッキングポンプ642を用いて排気される。例えば、BOC QDP40又は等価物は、バッキングポンプ642として十分に作用する。本発明による他の実施形態において、より高いポンプ排気速度のポンプ配置、例えばBOC EdwardsからのQMBシリーズなどは、当該分野で公知のように、ドライポンプの遠隔位置配置を容易にする。
ある種の実施形態において、反応性ガスは、ドロー制御チャンバ16に添加されて、化学物質排除を増強する。したがって、システム600はオゾン供給マニホルドを具備する。酸素又は酸素−窒素混合物は、ガスシリンダ650から供給される。質量流量コントローラ652は、市販のオゾン発生器654へのガスのフローを制御する。例えば、MKS Astex AX8407シリーズオゾン発生器は、SMFDシステム600にて十分に機能する。オゾン発生器654からの出力は、オゾンモニター656によりモニターされ、オゾン濃度フィードバック制御安定化を可能とする。圧力コントローラ658、例えばMKS 640A型は、オゾン発生器654内部の選択された一定圧を維持する。オゾン発生器654の正確な運転のために必要とされる制御された流れ及び圧力を維持しながら、DC116へオゾンを脈動させるために、オゾン槽660は、オゾン発生器654内部の圧力へのオゾン脈動の衝撃を抑制するために選択された容積を有する。これは、オゾン発生器654内での所望の流れ及び圧力を維持しながら、ドロー制御チャンバ116への反応性オゾンの脈動を可能とする。圧力コントローラ662は、オゾン槽660内の圧力を制御する。オゾン供給マニホルドを実質的に室温に維持することにより、またオゾン発生器654とDC116との間の停滞容積を最小化することにより、オゾン分解はシステムマニホルド600内で最小化される。例えば、停滞容積は、図12において、バルブ664と接合部668との間のデッド区間により概略的に示される。オゾンは、二重壁ラインの内側管状部材を介してオゾン遮断弁664及びオゾン源FRE666に供給され、内側管状部材及び外側管状部材の間の戻り流により圧力コントローラ662の入口に供給される。この態様において、停滞スペースでのオゾン枯渇の影響は、バルブ664と接合部668との間のデッド区間を1cc未満まで減少させることにより、最小化される。好ましくは、オゾン排除触媒変換器670をポンプ642の出口に配設して、大気中へのオゾン放出を抑止する。
好ましい実施形態において、化学物質投与遮断弁110,110’の機能は、図13に示すような多重ポート化学物質導入バルブ700に一体化された。バルブ700は、入口701から出口702に至るパージガス経路を含む。化学物質ラインは、当該分野で公知であるように、標準的な接続、例えばVCR又はc−シール、例えばVCR2コネクター(1/8インチ標準面シール)706を用いて接続されている。化学物質は、流路716を通して供給される。バルブは、シール712を用いてバルブ本体718に対して外部からシールされている、通常は閉じられているアクチュエータ708によりシールされている。アクチュエータ708が作動していないとき、オリフィス714は、エラストマー710により動的にシールされている。アクチュエータ709について示されているように、アクチュエータが作動するとき、流路は開く。この設計は、バルブマニホルドに関連するデッド区間を最小化する。なぜなら、714の容積は1〜5×10-4ccに維持され得るからである。ミリ秒及びミリ秒以下の範囲での応答時間で電気的に作動されるバルブは、アクチュエータとして最も適切である。例えば、Parker HannifinのGeneral Valve Divisionにより製造されたシリーズ99ポペット弁(puppet valve)は、信頼できる性能で実装される。長さ2mm、直径2mm(〜0.0004cc容積)であるガス経路714を有する設計バルブ700は、500sccm〜1200sccmの範囲のパージガス及び2Torr〜10Torrの範囲の圧力の条件下で、テストされ、適切に機能した。化学物質導入バルブ700は、図1におけるライン112などのバルブデッド区間パージ排気ラインの必要性を排除することにより、ALDマニホルド設計を簡略化する。追加の簡略化は、図1における108などの化学物質パージ排気バルブの必要性を排除することにより達成される。
図12のシステム600に対応する例示的なSMFD ALDシステムは、反応物質ガストリメチルアルミニウム(TMA)及びH2Oを用いてAl2O3を堆積させるために、本発明によるSMFD ALDを行うように設計され構築された。例示的なシステムの図12の参照符号を用いて区別された種々の成分の説明は、下記Table 2に含め、適切な物理的設計、寸法及び機能的特性を記入した。例示的なシステムの運転中に設定し、計測し又は計算したプロセスパラメータの好ましい値は、Table 3に掲載した。Table 3の下に掲載した定義及び注意書きは、番号(1)〜(11)によりTable 2及び3において参照される。
Figure 2005515647
Figure 2005515647
Table 2及び3についての定義及び注意書き:
1.MFM−質量流量計
2.200mTorr及び300℃でのN2
3.しかし、SMFDは、特に円形ではない基板をコーティングするために、線形流設計又は他の任意の設計であってもよい。
4.250Torr及び140℃でのN2
5.20Torr及び140℃でのH2O
6.12Torr及び140℃でのTMA
7.250Torr及び35℃でのN2
8.250Torr及び30℃でのO2
9.140℃でのN2当量
10.Epichemデータに基づく
11.計算した
例示的なSMFD ALDシステムにおいて、化学物質投与遮断弁110、110’の機能は、図13に示すような多重ポート化学物質導入バルブ700に一体化させた。
反応物質ガストリメチルアルミニウム(TMA)及びH2Oを用いるAl2O3のSMFD ALD堆積は、Table 2及び3に特定した装置及び運転パラメータを用いて本発明に従って行った。バルブ102、700及び120は、本発明に従って、TMA投与、TMAパージ、H2O投与及びH2Oパージを含むALDサイクルを効果的に行うように作動させた。投与時間及びパージ時間を変えて、結果を研究して、以下のような最小の投与時間及びパージ時間を決定した。
Figure 2005515647
薄膜及びガス組成物の計測により、好ましい運転条件として、下記の結果を得た。
Figure 2005515647
加えて、オゾンを用いて、排気ストリームからTMAを除去して、排除要素634(図12)上に高品位堆積を製造することにより、効果的な排除を容易にした。特に、Table 3に記載したプロセスに関して、粗アルミニウムから作った僅かに3000cm2までの面積を有する排除要素を用いた。オゾンを直接、図12に示す装置によるドローチャンバに供給した。150sccm〜250sccmの範囲内の流量を効果的に実行した。
SMFDは、標準的な半導体ウェハでの秒以下のサイクル時間でALDを実行可能とした。例えば、450msecのサイクル時間での240サイクルを用いて、200mmウェハを並はずれた均一性及び再現性で被覆した。図14は、Table 2及び3に掲載したシステム及び運転パラメータを用いて堆積させたAl2O3薄膜のウェハ均一度マップである。基板ヒーターは300℃に設定した。Woollam M-44偏光解析装置を用いて膜を計測した。均一度マップは、3.5mmエッジ除外での交差パターンにて計測した34個の計測点を含む。ウェハノッチの方向を示す。450msecサイクル時間条件下でのウェハ−ウェハ再現性は、累積した厚みの1400Åでテストした0.2%(1σ)よりも良好である。1400Åは、50Å厚を有する典型的な25ウェハロット(総合で1250Å)と比較するために、ウェハ−ウェハ再現性の標準として用いた。累積した厚みが数ミクロンの堆積の後、チャンバメンテナンスなしに、いかなる大きな劣化もない適切な性能が維持された。
SMFDは、研究目的の<1cm2から大型の>1m2まで広範囲の基板面積サイズの基板に膜を堆積させるために有用である。基板の物理的寸法は、ALDチャンバの形状及び容積を指定し、ほとんどの設計特性及びプロセスパラメータの倍率変更を指定する。本明細書に記載した本発明の実施形態は、特に実施例1において、主として200mm半導体ウェハの処理用に設計されたものである。異なる半導体技術分野並びに他の用途分野における異なるサイズの基板及び製造要求について、本発明によるSMFDシステム及びプロセスを倍率変更することができることは、当業者には明らかである。加えて、ほとんどの装置及びプロセスは、真空ポンプの特性でパラメータスケールを設計する。したがって、システム性能及び装置設計は、真空ポンプの選択に縛られる。特に、Table2及び3に例示した実施形態は、BOC Edwards-セイコー精機STPTA 1303Cで適切に利用され得る最大流量すなわち約1500sccmと指定されている。
図15及び16は、好ましいALD反応容器800の断面図を概略的に示す。図15に図示されているように、反応容器800は、容器内部808を画定する反応容器壁802、反応容器頂部804及び容器底部806を具備する。反応容器800は、ガス分配チャンバ(シャワーヘッド)201を包含する。シャワーヘッド入口809は、頂部804にて、シャワーヘッド201への化学反応物質ガス及びパージガスの入口として作用する。ノズル列(FRE)202は、ガス分配チャンバ201の底部をALD蒸着チャンバ(プロセスチャンバ)203から分離する。基板204は、熱伝導性金属(例えば、W、Mo、Al、Ni)又はホットサスセプター(hot susceptor)及びチャックとして当該分野で通常用いられている他の物質から作られた、加熱されたウェハチャック(基板ホルダー)205上に担持されている。ウェハチャック205は、ウェハリフトピン機構810を含む。ウェハ搬送は、当該分野で公知のように、リフトピン812(3個のピンのうち1個だけを図示した)の補助によって達成される。ウェハリフトピン812は、アクチュエータ814及び浮揚アーム816を用いて、ウェハ基板204をチャック205の上面よりも上に持ち上げるように作動する。蒸着チャンバ203は、蒸着チャンバFRE206により限定される。ドローガス導入チャンバ(DGIC)820は、蒸着チャンバ203から下流側でFRE206とDGIC-FRE822との間に位置づけられている。ドロー制御チャンバ(DC)208は、DGICから下流側に位置づけられており、DGIC-FRE822及びドロー制御FREバッフル209によって限定される。化学物質排除要素824は、ドロー制御チャンバ208内部に配設される。スペーサ826は、化学物質排除要素824及びドロー制御FREバッフル209の加熱されたウェハチャック205との直接熱接触を与える。
ドローガス入口830は、ドローガスマニホルド(図示せず)とドローガスプレナム832との間に連続流体連通状態を提供する。当業者は、多くの異なる形状でのドローガスプレナム832を実装することができ、図15及び16に示した実施形態は非限定的実施例である。図15に示すように、ドローガス入口830は、半径方向プレナムスペース832と連続流体連通状態にある。半径方向プレナムスペース832は、半径方向ノズル列(図示せず)を介してDGIC820とさらに連通する。ノズルは、適当に離隔されており、DGIC820へのガスの半径方向フロー分配を均一にし且つDGIC820の上流部分へドローガスを送るように設計されている。当業者は、蒸着システムの対称性、たとえば、図15及び16に示されたシステムの径方向対称性に従わせるために、ドローガス及び反応性排除ガスのフローを適切に均一にすることの重要性を理解する。
場合によっては、反応性ガスは、反応性ガスマニホルド(図示せず)からライン840を介して反応性ガスプレナム842に送られる。反応性ガスプレナム842は、ドローチャンバ208への反応性排除ガスの均一な半径方向フロー分配を方向づけるように作用する。たとえば、反応性ガスは、適切に離隔されて設計されている複数の水平方向ノズルを介してドローチャンバ208と連通している半径方向チャネルに送られる。当業者は、本発明に従って、反応性ガスプレナムシステム842が多くの異なる形状で実装され得ることを理解することができる。
ALD処理中、パージステージ中のパージガス及び化学物質投与ステージ中の化学反応物質ガスが、プロセスガス流路に沿って、シャワーヘッド入口809から下流側方向にある反応容器内部808を経由して、シャワーヘッド201、蒸着チャンバ203、DGIC820及びドロー制御チャンバ208をこの順番で経由して流れ、真空ポンプポート210を経由して反応器800から流出する。同様に、DGIC820に導入されたドローガスは、DGIC820から下流側方向に流れ、ドロー制御チャンバ208に流入し、次いで、真空ポート210を経由して流出する。本明細書で用いられている「下流側」及び「上流側」とは、通常の意味である。上記に説明したように、ガスの逆流、すなわち「上流」方向でのガスの流れが決して生じないことが、本発明による実施形態の特性である。本明細書にて、用語「上流(アップストリーム)」は、しかし、システムの成分及びパーツの相対位置を指定するために用いられる。
反応容器800は、さらに、周囲スロットバルブ(PSV)850を含む。図15及び16に示すように、PSV850は、反応容器壁802を貫通する基板搬送スロット852と、反応容器壁802内にある連続周囲空隙854(図16)と、連続周囲シーリングポペット弁856と、シーリングポペット弁856を開位置(図16)と閉位置(図15)との間で移動させるアクチュエータ858と、を具備する。シーリングポペット弁856は、閉位置にあるとき(図15)に周囲空隙854内に入るように移動し、開位置(図16)にあるときに周囲空隙854から出るように移動する。基板搬送スロット852は、基板ホルダー205の基板支持表面と実質的に面一である。周囲空隙854は、基板搬送スロット852と実質的に面一である。基板搬送スロット852は、シーリングポペット弁856が開位置(図16)にあるとき、基板ホルダー205まで反応容器壁802を貫通する基板搬送チャネルを画定する。シーリングポペット弁856は、閉位置(図15)にあるとき、基板搬送スロット852を容器内部808から分離する。
図16に示すように、反応容器壁802は反応容器壁内に容器周囲860を画定し、シーリングポペット弁856は閉位置(図15)にある時容器周囲860を限定する。周囲空隙854は、蒸着チャンバ203及びDGIC820を空隙が連続的に取り巻く、という意味で連続している。シーリングポペット弁856は、閉位置にあるとき、蒸着チャンバ203及びDGIC820を取り巻く周囲空隙854を連続的に塞ぎ、こうして内部808を基板搬送スロット852から及び容器800外部から連続的にシールする、という意味において連続している。図15及び16に示すように、反応容器壁802は実質的に半径方向対称形状であり、シーリングポペット弁856は実質的に半径方向対称形状である。本発明による反応容器800及びPSV850の他の実施形態は、他の幾何学的形状を有し得ることは理解されるべきである。図15に示すように、閉位置にあるシーリングポペット弁856は、容器内部808にプロセスガス流路の内側シーリング壁862を形成する。内側シーリング壁862は、半径方向対称形状を有し、プロセスガス流路に沿ってのガスの半径方向対称流れを促進し、こうして、均一な堆積を増長し、固体堆積の形成を減少させる。図15に示すような反応容器800の特定の実施形態において、内側シーリング壁862の一部は、DGIC820の一部を画定する。図16に示すように、PSV850は、固定上部シーリング表面870と、固定上部シーリング表面870に対応する上部ポペット弁シーリング表面872と、上部周囲シール873と、固定下部シーリング表面874と、固体下部シーリング表面874に対応する下部ポペット弁シーリング表面876と、下部周囲シール877と、を具備する。上部シーリング表面870及び872、下部シーリング表面874及び876、周囲シール873及び877は、シーリングポペット弁856が閉位置(図15)にあるとき、容器内部をシールするように構成されている。
図16に示すように、上部周囲シール873及び下部周囲シール877は、それぞれ、ポペット弁シーリング表面872及び876上に組み立てられる。さらに、シール873及び877は、O−リングとして構成される。異なるタイプのシール、例えば平坦なガスケットシールが有用であり、シール873及び877はポペット弁シーリング表面872及び876上ではなく固定シーリング表面870及び874上に組み立てられてもよいことは明らかである。シール873及び877として適切な材料としては、Viton、Kalrez、Chemraz又は等価物から作られたエラストマー材料を挙げることができる。
基板搬送スロット852及びスロット852を介して連通している関連するウェハ搬送システムは、PSV850が閉じているとき、反応容器内部808内のALDプロセスシステムから完全に隔離されている。
本発明による好ましい実施形態の運転は、化学物質投与中に、DFICの先端への不活性ガスの高い流量が、804と856との間及び856と802との間に形成される半径方向空隙内での潜在的な膜形成に対する良好な保護を与えるに十分であった、ことを明らかにした。したがって、PSVは、メンテナンスサイクルに不利な影響を与えずに実行された。
図17は、プロセスチャンバ内の非中心対称流れ用に設計された本発明によるSMFDシステム900を概略的に示す。本発明による好ましい実施形態は、主として中心対称流れシステムに関して記載したが、当業者にはSMFD設計が他の流れ設計にも適用可能であることを理解したであろう。典型的には、蒸着チャンバ及び流路の設計が、基板の形状及び基板温度を制御するための選択方法について最適化されるべきである。たとえば、半導体ウェハ等、基板の一側面に堆積する傾向にある円形の薄い基板は、加熱可能なチャックを支持する底部を有する中心対称システムに最もよく適するであろう。しかし、中心対称システムは、実質的に円形ではない基板に最もよく適するものではない。たとえば、図17のSMFDシステム900は、棒状又は管状基板905上の効率的な堆積のために設計されている。基板は、好ましくは実質的に同一の幾何学的寸法(基板905に対して)を有する細長いチャンバ904内に配設されている。たとえば、蒸着チャンバ904は、基板905よりも10ミリメートル幅広のガラス管から作られているものでもよい。基板905は、クランプ914によって端部にて支持される。加熱は、例えば、誘導コイルにより、あるいは慣用の炉加熱ジャケット内部に蒸着チャンバ904を置くことにより、なされてもよい。ガスは、901からガス分配チャンバ902に供給され、さらにノズル列903により分配される。蒸着チャンバ904は、FRE906を介してDGIC907に接続される。DGIC907は、SMFD方法の原理に従い、ドロー制御ガス916と一緒に供給される。DGIC907は、さらに、FRE908を介してDC909に接続されている。排除要素915は、DC909内に位置づけられている。反応性ガス入口917は、DC909に接続され、効率的な排除を容易にする。DC909は、さらに、FRE910を介してポンプ区画911に接続されている。ポンプ区画911は、フォアライン912を経由してポンプ913により排気される。好ましくは、ポンプ排気は、BOC Edwards iQMB 1200F又はBOC Edwards IPX500A又は等価ポンプを用いて、達成される。
本発明により設計され運転されるシステム、装置及び方法は、ALD技術において特に有用である。しかし、広範囲の状況及び用途にもまた、フロー及びドローの同期調整、SMFDは有用である。本発明の範囲を逸脱しない限りにおいて、記載された特定の実施形態の多数の使用及び変更を当業者がなすことができることが明らかである。ある例において、記載された工程は、異なる順番で行われてもよく、あるいは等価な構造及びプロセスを記載された構造及びプロセスと置換してもよいことも明らかであろう。本発明の範囲を逸脱しない限りにおいて、上述のシステム及び方法にいくつかの変更がなされ得るから、上述の記載に含まれるか又は添付図面に示されているすべての主題は説明のためであり、限定する意味ではない。したがって、本発明は、特許請求の範囲に記載されたシステム、装置及び方法に存在するか又はこれらが本来有している各新規な特徴及び特徴の新規な組み合わせを包含するものとして解釈されるべきである。
図1は、本発明による同期調整フロー・ドロー(SMFD)ALDシステムの基本的な実施形態のフローダイアグラムを示す。 図2は、本発明によるSMFD ALD反応容器の概略断面図を示す。 図3は、シャワーヘッド圧力の計算値が、シャワーヘッドにおける開口型ノズル列設計及び好適なチューブ型ノズル列設計に対する化学物質投与流量の関数としてプロットされたグラフを示す。 図4は、化学物質投与ステージの初期に化学物質のブースター発生過渡脈動のある場合及びない場合のシャワーヘッド及び蒸着チャンバについて、部分化学物質投与量(化学反応物質ガスによる不活性パージガスの置換)が、化学物質投与時間の関数としてプロットされているグラフであり、化学物質投与効率におけるブースターチャンバの効果を示す。 本発明によるALDの実施形態についての実際の化学物質使用量と、当該分野で現在実施されているものとして慣用の連続流プロセスと、を比較するグラフを示す。 図6は、本発明によるSMFD ALDシステムを通るガスの種々の流れストリームの概略図である。 図7は、Q3を1100sccmに設定した場合の流量Q1、Q2及びQ4(図6参照)の時間依存性の計算値を示すグラフである。 図8は、(図6の)Q3を1100sccmに設定した場合の蒸着チャンバ圧力、ドローチャンバ圧力、及びこれらの差圧ΔPの時間依存性を示す。 図9は、本発明による化学物質排除要素の一例の設計を示す概略図である。 図10は、ドロー制御チャンバから下流側の独立の排除チャンバを含む補の発明によるALDシステムのフローダイアグラムを示す。 図11は、能動圧力制御チャンバを含む本発明によるALDシステムのフローダイアグラムを示す。 図12は、本発明によるDGICを含むSMFD ALDシステムの一例の概略図を示す。 図13は、本発明により用いられる多重ポートガス搬送バルブを示す。 図14は、薄膜厚が、本発明によるSMFD ALD装置及び方法を用いてAl2O3が堆積された200nmウェハ基板上のウェハ−位置の関数としてプロットされている3次元グラフを示す。 図15は、本発明によるDGIC及びPSV(閉位置)を包含するALD反応容器の好ましい実施形態の概略断面図を示す。 図16は、PSVが開位置にある場合の図15の反応容器を示す。 図17は、プロセスチャンバ内での非中心対称流れ用に設計された本発明によるSMFDシステムの概略図を示す。

Claims (86)

  1. 原子層蒸着システムであって、
    基板ホルダー及びヒーターを具備する原子層蒸着チャンバ(蒸着チャンバ)と;
    ドローガス導入チャンバ(DGIC)と;
    該蒸着チャンバと該DGICとの間で連続流体連通状態にある蒸着チャンバ流量制限要素(FRE)と;
    ドローガス源と;
    該ドローガス源と該DGICとの間で連続流体連通状態にあるドロー源遮断弁と;
    該ドローガス源と該DGICとの間で連続流体連通状態にあるドロー源FREと;
    ドロー制御チャンバと;
    該DGICと該ドロー制御チャンバとの間で連続流体連通状態にあるDGIC−FREと;
    該ドロー制御チャンバと連続流体連通状態にあるドロー制御出口と;
    該ドロー制御出口と連続流体連通状態にある真空ポンプと;
    該ドロー制御出口と該真空ポンプとの間で連続流体連通状態にあるドローガス制御FREと;
    複数の化学物質ガス源と;
    各々が該化学物質ガス源の一つと連続流体連通状態にある複数のブースターチャンバと;
    各々が該化学物質ガス源の一つと該ブースターチャンバの一つとの間で連続流体連通状態にある複数の化学物質ガス源−FREと;
    各々が該ブースターチャンバの一つと該蒸着チャンバとの間で連続流体連通状態にある複数の化学物質投与遮断弁と;
    各々が該ブースターチャンバの一つと該蒸着チャンバとの間で連続流体連通状態にある複数のブースター−FREと;
    パージガス源と;
    該パージガス源と該蒸着チャンバとの間で連続流体連通状態にあるパージ源遮断弁と;
    該パージガス源と該蒸着チャンバとの間で連続流体連通状態にあるパージ源FREと;
    を備えるシステム。
  2. 前記ブースターチャンバと前記蒸着チャンバとの間で連続流体連通状態にあり、且つ前記パージガス遮断弁と前記蒸着チャンバとの間で連続流体連通状態にあるガス分配チャンバと;
    該ガス分配チャンバと前記蒸着チャンバとの間で連続流体連通状態にあるガス分配FREと;
    をさらに備える、請求項1に記載のシステム。
  3. 前記ガス分配FREは、ノズル列を含む、請求項2に記載のシステム。
  4. 前記ノズル列は、1.5未満のアスペクト比を有する複数のノズルを含む、請求項3に記載のシステム。
  5. 前記ガス分配チャンバと連続流体連通状態にあるパージ排気ラインと;
    前記ガス分配チャンバと該パージ排気ラインとの間で連続流体連通状態にあるパージ排気ライン遮断弁と;
    をさらに備える、請求項2に記載のシステム。
  6. 前記化学物質遮断弁は、複数の非共通ポートと2個の共通ポートとを有する多方向バルブであり、該非共通ポートの各々は前記ブースターチャンバの一つと連続流体連通状態にあり、第1の共通ポートは前記ガス分配チャンバと連続流体連通状態にあり、第2の共通ポートは前記パージガス源遮断弁と連続流体連通状態にある、請求項2に記載のシステム。
  7. さらに、前記ドロー制御チャンバ内に位置づけられた排除面を含む、請求項1に記載のシステム。
  8. さらに、前記ドロー制御チャンバに反応性ガスを導入して排除を強める反応性ガス入口を含む、請求項7に記載のシステム。
  9. 前記反応性ガス入口は、前記ドロー制御チャンバに最も近い反応性ガスプレナムを含む、請求項7に記載のシステム。
  10. さらに、前記ドロー制御出口と前記真空ポンプとの間で連続流体連通状態にある前記ドロー制御チャンバから下流側に位置づけられた排除チャンバを含む、請求項1に記載のシステム。
  11. さらに、前記ドロー制御出口と前記真空ポンプとの間で連続流体連通状態にある圧力制御チャンバを含む、請求項1に記載のシステム。
  12. さらに、反応容器壁と容器内部を有する反応容器を含み、前記蒸着チャンバ、前記DGIC、前記ドロー制御チャンバは該容器内部に包囲されている、請求項1に記載のシステム。
  13. さらに、前記DGICに最も近い前記反応容器内に位置づけられたドローガスプレナムを含む、請求項12に記載のシステム。
  14. さらに、前記ドロー制御チャンバ内に位置づけられた排除面を含む、請求項12に記載のシステム。
  15. さらに、前記ドロー制御チャンバに反応性ガスを導入し、排除を強める反応性ガス入口を含む、請求項12に記載のシステム。
  16. さらに、前記ドロー制御チャンバに最も近い前記反応容器内に位置づけられた反応性ガスプレナムを含む、請求項12に記載のシステム。
  17. ガス分配チャンバと;
    該ガス分配チャンバと前記蒸着チャンバとの間で連続流体連通状態にあるガス分配FREと;をさらに備え、
    該ガス分配チャンバは前記容器内部に包囲されている、請求項12に記載のシステム。
  18. さらに、前記反応容器内に周囲スロットバルブを備え;
    該周囲スロットバルブは、
    前記反応容器壁を貫通する基板移動スロットと;
    前記反応容器壁内の連続周囲空隙と;
    連続周囲シーリングポペット弁と;
    開位置と閉位置との間で該シーリングポペット弁を移動させるためのアクチュエータと;
    を備え、該シーリングポペット弁は閉位置にて該周囲空隙内に移動し、開位置にて該周囲空隙から出るように移動し、該基板移動スロットは前記基板ホルダーの基板支持表面と実質的に面一であり、該周囲空隙は該基板移動スロットと実質的に面一であり、該シーリングポペット弁が開位置にあるとき該基板移動スロットは前記反応容器壁を貫通して前記基板ホルダーに至る基板移動チャネルを画定し、該シーリングポペット弁が閉位置にあるとき該シーリングポペット弁は前記反応容器内部から該基板移動スロットを分離する、請求項12に記載のシステム。
  19. 前記反応容器壁は、反応容器壁内に容器周囲を画定し、前記シーリングポペット弁が閉位置にあるとき前記シーリングポペット弁は前記容器周囲を限定する、請求項18に記載のシステム。
  20. 前記反応容器壁は、実質的に半径方向対称形状を含み、前記シーリングポペット弁は実質的に半径方向対称形状を含む、請求項19に記載のシステム。
  21. 閉位置にある前記シーリングポペット弁は、前記反応容器内部にプロセスガス流路の内側シーリング壁を形成する、請求項18に記載のシステム。
  22. 前記内側シーリング壁は、半径方向対称形状を含む、請求項21に記載のシステム。
  23. 前記内側シーリング壁の一部は、前記DGICの一部を画定する、請求項21に記載のシステム。
  24. 前記周囲スロットバルブは、
    固定上部周囲シーリング表面と;
    該固定上部周囲シーリング表面に対応する上部ポペット弁周囲シーリング表面と;
    上部周囲シールと;
    固定下部周囲シーリング表面と;
    該固定下部周囲シーリング表面に対応する下部ポペット弁周囲シーリング表面と;
    下部周囲シールと;
    を備え、前記シーリングポペット弁が閉位置にあるとき、該上部シーリング表面、該下部シーリング表面、及び該周囲シールは、前記容器内部をシールするように構成される、請求項18に記載のシステム。
  25. プロセスチャンバ内のガスのフロー、ドロー及び圧力を調整する装置であって、
    プロセスチャンバと;
    該プロセスチャンバに連結されていて、該プロセスチャンバへのガス流量を制御するように構成されているプロセスガス導管と;
    ドローガスを流すように構成されているドロー制御チャンバと;
    該プロセスチャンバと該ドロー制御チャンバとの間で連続流体連通状態にあるプロセスチャンバFREと;
    該ドロー制御チャンバと連続流体連通状態にあるドロー排気ラインと;
    該ドロー制御チャンバと該ドロー排気ラインとの間で連続流体連通状態にあるドロー制御FREと;
    を含む装置。
  26. さらに、前記ドロー制御チャンバを貫通するドローガスの流れを制御するドロー源遮断弁を含む、請求項25に記載の装置。
  27. さらに、前記ドロー源遮断弁及び前記ドロー制御チャンバと連続流体連通状態にあるドロー源FREを含む、請求項26に記載の装置。
  28. さらに、前記プロセスガス導管と連続流体連通状態にある複数のプロセスガス遮断弁を含み、プロセスチャンバ遮断弁の各々は前記プロセスチャンバへのプロセスガスの流入を制御するように構成されている請求項25に記載の装置。
  29. 前記プロセスガス遮断弁の一つは、前記プロセスチャンバへのパージガスの流入を制御するため、前記プロセスチャンバと連続流体連通状態にあるパージ源遮断弁を含む、請求項28に記載の装置。
  30. さらに、前記パージ源遮断弁と連続流体連通状態にあるパージ源FREを含む、請求項29に記載の装置。
  31. さらに、複数のプロセスガスFREを含み、プロセスガスFREの各々は前記プロセスガス遮断弁と連続流体連通状態にある、請求項28に記載の装置。
  32. さらに、複数のブースターチャンバを含み、ブースターチャンバの各々は前記プロセスガス導管と連続流体連通状態にあり、前記プロセスガス遮断弁の一つから上流側に配置されていて、前記プロセスガスFREの一つから下流側に配置されている、請求項31に記載の装置。
  33. さらに、複数のブースターFREを含み、ブースターFREの各々は前記ブースターチャンバの一つから下流側にある、請求項32に記載の装置。
  34. 前記プロセスガス遮断弁と前記プロセスチャンバとの間で連続流体連通状態にあるガス分配チャンバと;
    該ガス分配チャンバと前記プロセスチャンバとの間で連続流体連通状態にあるガス分配FREと;
    をさらに含む、請求項28に記載の装置。
  35. 前記ガス分配チャンバと連続流体連通状態にあるパージ排気ラインと;
    前記ガス分配チャンバと該パージ排気ラインとの間で連続流体連通状態にあるパージ排気遮断弁と;
    をさらに含む、請求項34に記載の装置。
  36. さらに、前記パージ排気遮断弁と連続流体連通状態にあるパージ排気FREを含む、請求項35に記載の装置。
  37. 前記プロセスガス遮断弁は、1個の非共通ポートと2個の共通ポートとを有する多方向バルブであり、該非共通ポートはプロセスガス源と連続流体連通状態にあり、第1の共通ポートは前記プロセスチャンバと連続流体連通状態にあり、第2の共通ポートは前記プロセス源遮断弁と連続流体連通状態にある、請求項28に記載の装置。
  38. 少なくとも2個の前記プロセスガス遮断弁は、複数の非共通ポートと複数の共通ポートとを有する多方向バルブを含み、該非共通ポートの各々はプロセスガス源と連続流体連通状態にあり、複数の共通ポートは前記プロセスチャンバと連続流体連通状態にあり、少なくとも1個の共通ポートはパージ源遮断弁と連続流体連通状態にある、請求項28に記載の装置。
  39. 前記プロセスチャンバ及び前記ドロー制御チャンバの間で連続流体連通状態にあるDGICと;
    該DGICへのドローガスのフローを制御するドロー源遮断弁と;
    前記プロセスチャンバ及び該DGICの間に配置されているプロセスチャンバFREと;
    該DGIC及び前記ドロー制御チャンバの間に配置されているDGIC−FREと;
    をさらに含む、請求項25に記載の装置。
  40. さらに、前記ドロー源遮断弁及び前記DGICの間で連続流体連通状態に配置されているドロー源FREを含む、請求項39に記載の装置。
  41. さらに、前記DGICに最も近いドローガスプレナムを含む、請求項40に記載の装置。
  42. さらに、前記ドロー制御チャンバ内に配置されている排除表面を含む、請求項25に記載の装置。
  43. さらに、排除を強めるため、前記ドロー制御チャンバへ反応性ガスを導入する反応性ガス入口を含む、請求項42に記載の装置。
  44. 前記反応性ガス入口は、前記ドロー制御チャンバに最も近い反応性ガスプレナムを含む、請求項43に記載の装置。
  45. さらに、前記ドロー制御チャンバから下流側に配置されている排除チャンバを含む、請求項25に記載の装置。
  46. さらに、前記ドロー制御チャンバから下流側に配置されていて、前記ドロー制御チャンバ及び前記ドロー排気ラインの間で連続流体連通状態にある圧力制御チャンバを含む、請求項25に記載の装置。
  47. 前記プロセスチャンバは、原子層蒸着チャンバを含む、請求項25に記載の装置。
  48. 原子層蒸着を行う方法であって、以下の順番で、
    第1の化学反応物質ガスを選択された第1の投与流量で且つ独立に選択された第1の投与圧力にて、蒸着チャンバを貫通して流すことを含む第1の化学物質投与工程を行い;
    選択された第1のパージ流量にて且つ独立に選択された第1のパージ圧力にて、該蒸着チャンバを貫通して第1のパージガスを流すことにより第1のパージ工程を行い;
    第2の化学反応物質ガスを選択された第2の投与流量で且つ独立に選択された第2の投与圧力にて、蒸着チャンバを貫通して流すことを含む第2の化学物質投与工程を行い;
    選択された第2のパージ流量にて且つ独立に選択された第2のパージ圧力にて、該蒸着チャンバを貫通して第2のパージガスを流すことにより第2のパージ工程を行う;
    ことを含む方法。
  49. 単一のパージガス源が前記第1のパージガス及び前記第2のパージガスを供給する、請求項48に記載の方法。
  50. 前記第1のパージ流量は、前記第1の投与流量よりも大きい、請求項48に記載の方法。
  51. 前記第1の投与流量に対する前記第1のパージ流量の比率は1.5を越える、請求項50に記載の方法。
  52. 前記第1の投与流量に対する前記第1のパージ流量の比率は20を越える、請求項50に記載の方法。
  53. 前記第1の投与流量に対する前記第1のパージ流量の比率は100を越える、請求項50に記載の方法。
  54. 前記第2のパージ流量は、前記第2の投与流量よりも大きい、請求項48に記載の方法。
  55. 前記第2の投与流量に対する前記第2のパージ流量の比率は1.5を越える、請求項54に記載の方法。
  56. 前記第2の投与流量に対する前記第2のパージ流量の比率は20を越える、請求項54に記載の方法。
  57. 前記第2の投与流量に対する前記第2のパージ流量の比率は100を越える、請求項54に記載の方法。
  58. 前記第1のパージ流量は前記第1の投与流量より大きく、前記第2のパージ流量は前記第2の投与流量よりも大きい、請求項48に記載の方法。
  59. 逐次工程である前記第1の化学物質投与工程、前記第1のパージ工程、前記第2の化学物質投与工程及び前記第2のパージ工程は、この逐次工程を3秒未満で行うことを含む、請求項48に記載の方法。
  60. 逐次工程である前記第1の化学物質投与工程、前記第1のパージ工程、前記第2の化学物質投与工程及び前記第2のパージ工程は、この逐次工程を1秒未満で行うことを含む、請求項48に記載の方法。
  61. 逐次工程である前記第1の化学物質投与工程、前記第1のパージ工程、前記第2の化学物質投与工程及び前記第2のパージ工程は、この逐次工程を0.5秒未満で行うことを含む、請求項48に記載の方法。
  62. さらに、前記第1の化学反応物質ガスを第1の過渡的流量にて最初に流すことにより前記第1の化学物質投与工程を開始することを含み、該第1の過渡的流量は当初、前記第1の投与流量よりも実質的に大きい、請求項48に記載の方法。
  63. さらに、前記第2の化学反応物質ガスを第2の過渡的流量にて最初に流すことにより前記第2の化学物質投与工程を開始することを含み、該第2の過渡的流量は当初、前記第2の投与流量よりも実質的に大きい、請求項48に記載の方法。
  64. 前記第1の化学反応物質ガスを選択された第1の投与流量且つ独立に選択された第1の投与圧力にて流す工程は、
    前記蒸着チャンバへの前記第1の化学反応物質ガスの第1の投与流量を制御することと;
    独立に、前記蒸着チャンバから出る前記第1の化学反応物質ガスの第1の化学物質ドローを前記第1の投与流量と実質的に一致させることと;
    を含み、前記第1のパージガスを前記選択された第1のパージ流量且つ独立に選択された第1のパージ圧力にて前記蒸着チャンバを通して流す工程は、
    前記蒸着チャンバへの前記第1のパージガスの第1のパージ流量を制御することと;
    独立に、前記蒸着チャンバから出る前記第1のパージガスの第1のパージドローを前記第1のパージ流量と実質的に一致させることと;
    を含む、請求項48に記載の方法。
  65. 独立に、前記蒸着チャンバから出る第1の化学反応物質ガスの第1の化学物質ドローを実質的に一致させることは、前記蒸着チャンバから下流側で第1の投与ドロー圧力を制御することを含み、
    独立に、前記蒸着チャンバから出る第1のパージガスの第1のパージドローを実質的に一致させることは、前記蒸着チャンバから下流側で第1のパージドロー圧力を制御することを含む、請求項64に記載の方法。
  66. 前記第1の投与ドロー圧力を制御することは、ドローガスを第1の投与ドローガス流量にてドロー制御チャンバに流通させ、該第1の投与ドローガス流量を制御して該第1の投与ドロー圧力を達成させることを含み、
    前記第1のパージドロー圧力を制御することは、ドローガスを第1のパージドローガス流量にてドロー制御チャンバに流通させ、該第1のパージドローガス流量を制御して該第1のパージドロー圧力を達成させることを含み、
    該ドロー制御チャンバは前記蒸着チャンバから下流側に配置されている、請求項65に記載の方法。
  67. 前記第1の化学反応物質ガスを選択された第1の投与流量にて且つ独立に選択された第1の投与圧力にて流すことは、
    前記蒸着チャンバへの前記第1の化学反応物質ガスの第1の投与流量を制御することと;
    独立に、前記蒸着チャンバから下流側でドロー圧力を制御することにより、前記第1の投与流量と前記蒸着チャンバから出る前記第1の化学反応物質ガスの第1の化学物質ドローとの間に不一致を故意に発生させて、圧力移行期間中に、前記蒸着チャンバ内での前記第1の投与圧力を実質的に変化させて、実質的に該不一致を減少させ、こうして前記第1の化学物質ドローを前記第1の投与流量に実質的に一致させることと;
    を含む、請求項48に記載の方法。
  68. 前記第2の化学反応物質ガスを選択された第2の投与流量及び独立に選択された第2の投与圧力にて流す工程は、
    前記第2の化学反応物質ガスの前記蒸着チャンバへの第2の投与流量を制御する工程と;
    独立に、前記蒸着チャンバから出る前記第2の化学反応物質ガスの第2の化学物質ドローを前記第2の投与流量と実質的に一致させる工程と;
    を含み、
    前記第2のパージガスを前記選択された第2のパージ流量及び前記独立に選択された第2のパージ圧力にて前記蒸着チャンバを貫通して流す工程は、
    前記蒸着チャンバへの前記第2のパージガスの前記第2のパージ流量を制御する工程と;
    独立に、前記蒸着チャンバから出る前記第2のパージガスの前記第2のパージドローを前記第2のパージ流量と実質的に一致させる工程と;
    を含む、請求項48に記載の方法。
  69. 独立に、前記蒸着チャンバから出る前記第2の化学反応物質ガスの前記第2の化学物質ドローを実質的に一致させる工程は、前記蒸着チャンバから下流側の第2の投与ドロー圧力を制御する工程を含み、
    独立に、前記蒸着チャンバから出る前記第2のパージガスの前記第2のパージドローを実質的に一致させる工程は、前記蒸着チャンバから下流側の第2のパージドロー圧力を制御する工程を含む、
    請求項68に記載の方法。
  70. 前記第2の投与ドロー圧力を制御する工程は、ドローガスを第2の投与ドローガス流量にてドロー制御チャンバを貫通して流す工程と、該第2の投与ドローガス流量を制御して第2の投与ドロ−圧力を達成する工程を含み、
    前記第2のパージドロー圧力を制御する工程は、ドローガスを第2のパージドローガス流量にてドロー制御チャンバを貫通して流す工程と、該第2のパージドローガス流量を制御して第2のパージドロー圧力を達成する工程とを含み、
    該ドロー制御チャンバは前記蒸着チャンバから下流側に位置づけられている、
    請求項69に記載の方法。
  71. 前記第2の化学反応物質ガスを選択された第2の投与流量及び独立に選択された投与圧力にて流す工程は、
    前記蒸着チャンバへの前記第2の化学反応物質ガスの前記第2の投与流量を制御する工程と;
    独立に、前記蒸着チャンバから下流側のドロー圧力を制御することによって、前記第2の投与流量と、前記蒸着チャンバを出る第2の化学反応物質ガスの第2の化学物質ドローと、の間の不一致を故意に発生させて、圧力移行期間中に、前記蒸着チャンバ内の前記第2の投与圧力を実質的に変化させて、実質的に該不一致を減少させ、こうして前記第2の化学物質ドローを前記第2の投与流量と実質的に一致させる工程と、
    を含む、請求項48に記載の方法。
  72. 前記第1の化学反応物質ガスを前記蒸着チャンバを貫通して流す工程は、既知の第1源圧力を有する第1の反応物質ガス源を提供し、第1の化学反応物質ガスを該第1の化学反応物質ガス源から第1源FREを通して前記蒸着チャンバへ流す工程を含む、請求項48に記載の方法。
  73. 前記第1の化学物質投与ステージを含まない期間中に、実質的に既知の第1源圧力にて、第1の化学反応物質ガスで、前記第1源FREから下流側で且つ前記蒸着チャンバから上流側に位置づけられている第1のブースターチャンバを充填する工程と;
    該第1のブースターチャンバの充填に続いて、該第1のブースターチャンバと前記蒸着チャンバとの間で連続流体連通状態にある第1の化学物質遮断弁を開くことによって前記第1の化学物質投与ステージを開始し、こうして当初は前記第1の投与流量よりも実質的に早い第1の過渡的流量にて前記第1の化学物質ガスを最初に流す工程と;
    を更に含む、請求項72に記載の方法。
  74. 前記蒸着チャンバを貫通して前記第2の化学反応物質ガスを流す工程は、既知の第2源圧力を有する第2の反応物質ガス源を提供する工程と、該第2の化学反応物質ガス源から第2の源FREを通って前記蒸着チャンバへ第2の化学反応物質ガスを流す工程と、を含む、請求項48に記載の方法。
  75. 前記第2の化学物質投与ステージを含まない期間中に、実質的に既知の第2源圧力にて、前記第2の化学反応物質ガスで、前記第2源FREの下流側で且つ前記蒸着チャンバの上流側に位置づけられている第2のブースターチャンバを充填する工程と;
    該第2のブースターチャンバの充填に続いて、該第2のブースターチャンバと前記蒸着チャンバとの間で連続流体連通状態にある第2の化学物質遮断弁を開くことによって、前記第2の化学物質投与ステージを開始し、こうして当初は前記第2の投与流量よりも実質的に大きい第2の過渡的流量にて前記第2の化学反応物質ガスを最初に流す工程と;
    をさらに含む、請求項74に記載の方法。
  76. プロセス容器壁を有するプロセス容器内の周囲スロットバルブであって、
    該プロセス容器壁を貫通する基板搬送スロットと;
    該プロセス容器壁内の連続周囲空隙と;
    連続周囲シーリングポペット弁と;
    該シーリングポペット弁を開位置と閉位置との間で移動させるアクチュエータと;
    を備え、
    該シーリングポペット弁は、閉位置にあるとき該周囲空隙に入るように移動し、開位置にあるとき該周囲空隙から出るように移動し、
    該基板搬送スロットは、基板ホルダーの基板支持表面と実質的に面一にあり、
    該周囲空隙は、該基板搬送スロットと実質的に面一にあり、
    該基板搬送スロットは、該シーリングポペット弁が開位置にあるとき、該基板ホルダーまで該プロセス容器壁を貫通する基板搬送チャネルを画定し、
    該シーリングポペット弁は、閉位置にあるとき、該基板搬送スロットを容器内部から分離する、周囲スロットバルブ。
  77. 前記プロセス容器壁は、該プロセス容器内に容器周囲を画定し、
    前記シーリングポペット弁は、閉位置にあるとき、前記容器周囲を限定する、
    請求項76に記載のシステム。
  78. 前記プロセス容器壁は、実質的に半径方向対称形状を有し、
    前記シーリングポペット弁は、実質的に半径方向対称形状を有する、
    請求項76に記載のシステム。
  79. 前記シーリングポペット弁は、閉位置にあるとき、前記プロセス容器内部に、プロセスガス流路の内側シーリング壁を形成する、請求項76に記載のシステム。
  80. 前記内部シーリング壁は、半径方向対称形状を具備する、請求項79に記載のシステム。
  81. 前記周囲スロットバルブは、
    固定上部周囲シーリング表面と;
    該固定上部周囲シーリング表面に対応する上部ポペット弁周囲シーリング表面と;
    上部周囲シールと;
    固定下部周囲シーリング表面と;
    該固定下部周囲シーリング表面に対応する下部ポペット弁周囲シーリング表面と;
    下部周囲シールと;
    を備え、該上部シーリング表面、該下部シーリング表面、及び該周囲シールは、前記シーリングポペット弁が閉位置にあるとき、前記プロセス容器内部をシールするように構成されている、請求項76に記載のシステム。
  82. プロセスチャンバ内の圧力を制御する方法であって、
    プロセスチャンバへプロセスガスを流す工程と;
    該プロセスチャンバと連続流体連通状態にあるドロー制御チャンバへドロー制御ガスを流し、こうして該プロセスチャンバから下流側のドロー圧力を制御する工程と;
    を備える方法。
  83. 前記ドロー制御ガスを流す工程は、反応性ガスを流して前記ドロー制御チャンバ内での化学物質排除を促進させる工程を含む、請求項82に記載の方法。
  84. 前記ドロー圧力を制御する工程は、1atm未満にて、前記ドロー圧力を制御する工程を含む、請求項83に記載の方法。
  85. 前記ドロー圧力を制御する工程は、5Torr未満にて、前記ドロー圧力を制御する工程を含む、請求項83に記載の方法。
  86. 1.5以上のアスペクト比を有する複数のノズルを含むノズル列を具備するガス分配装置。

JP2003562353A 2002-01-17 2003-01-17 Ald方法 Expired - Lifetime JP4908738B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US34963402P 2002-01-17 2002-01-17
US60/349,634 2002-01-17
PCT/US2003/001548 WO2003062490A2 (en) 2002-01-17 2003-01-17 Ald apparatus and method

Publications (3)

Publication Number Publication Date
JP2005515647A true JP2005515647A (ja) 2005-05-26
JP2005515647A5 JP2005515647A5 (ja) 2006-03-02
JP4908738B2 JP4908738B2 (ja) 2012-04-04

Family

ID=27613302

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003562353A Expired - Lifetime JP4908738B2 (ja) 2002-01-17 2003-01-17 Ald方法

Country Status (6)

Country Link
US (3) US6911092B2 (ja)
EP (1) EP1466034A1 (ja)
JP (1) JP4908738B2 (ja)
KR (1) KR100979575B1 (ja)
CN (2) CN101818334B (ja)
WO (1) WO2003062490A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015078418A (ja) * 2013-10-18 2015-04-23 東京エレクトロン株式会社 成膜方法および成膜装置
JP2018531326A (ja) * 2015-10-05 2018-10-25 ジュスン エンジニアリング カンパニー リミテッド 排ガス分解装置を有する基板処理装置及び該装置用排ガス処理方法

Families Citing this family (458)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
CN101818334B (zh) * 2002-01-17 2012-12-12 松德沃技术公司 Ald装置和方法
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
JP3828821B2 (ja) * 2002-03-13 2006-10-04 株式会社堀場エステック 液体材料気化供給装置
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
KR100473806B1 (ko) * 2002-09-28 2005-03-10 한국전자통신연구원 유기물 박막 및 유기물 소자를 위한 대면적 유기물 기상증착 장치 및 제조 방법
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US20050016956A1 (en) * 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
KR101191222B1 (ko) * 2003-04-23 2012-10-16 아익스트론 인코포레이티드 트랜지언트 강화 원자층 증착
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
WO2005003406A2 (en) * 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
US20040261703A1 (en) * 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US7638167B2 (en) * 2004-06-04 2009-12-29 Applied Microstructures, Inc. Controlled deposition of silicon-containing coatings adhered by an oxide layer
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20070012402A1 (en) * 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
EP1661161A2 (en) * 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
KR100589053B1 (ko) * 2003-10-15 2006-06-12 삼성전자주식회사 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법
JP4280603B2 (ja) * 2003-11-04 2009-06-17 キヤノン株式会社 処理方法
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050221004A1 (en) * 2004-01-20 2005-10-06 Kilpela Olli V Vapor reactant source system with choked-flow elements
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7098082B2 (en) * 2004-04-13 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronics package assembly tool and method of manufacture therewith
KR100590554B1 (ko) * 2004-05-28 2006-06-19 삼성전자주식회사 반응용기 및 시편홀더의 구조가 개선된 단원자층 증착장치
US7879396B2 (en) * 2004-06-04 2011-02-01 Applied Microstructures, Inc. High aspect ratio performance coatings for biological microfluidics
DE602005016933D1 (de) * 2004-06-28 2009-11-12 Cambridge Nanotech Inc Atomlagenabscheidungssystem und -verfahren
JP2008506951A (ja) * 2004-07-15 2008-03-06 ピーディーシー ファシリティーズ,インコーポレーテッド 流量計用のライナ
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060093746A1 (en) * 2004-11-04 2006-05-04 Tokyo Electron Limited Method and apparatus for atomic layer deposition
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
TWI304241B (en) * 2005-02-04 2008-12-11 Advanced Display Proc Eng Co Vacuum processing apparatus
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7819139B2 (en) * 2005-07-14 2010-10-26 Pdc Facilities, Inc. Liner for a flow meter
US8349726B2 (en) * 2005-09-23 2013-01-08 Nxp B.V. Method for fabricating a structure for a semiconductor device using a halogen based precursor
JP2007158230A (ja) * 2005-12-08 2007-06-21 Nec Electronics Corp プラズマエッチング装置のクリーニング方法、およびプラズマエッチング装置
TW200722732A (en) * 2005-12-09 2007-06-16 Li Bing Huan Semi-enclosed observation space for electron microscopy
US20070190670A1 (en) * 2006-02-10 2007-08-16 Forest Carl A Method of making ferroelectric and dielectric layered superlattice materials and memories utilizing same
EP1994456A4 (en) * 2006-03-16 2010-05-19 Applied Materials Inc METHODS AND APPARATUS FOR CONTROLLING PRESSURE IN ELECTRONIC DEVICE MANUFACTURING SYSTEMS
JP4943047B2 (ja) 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
GB0607616D0 (en) * 2006-04-18 2006-05-31 Boc Group Plc Vacuum pumping system
US8747555B2 (en) * 2006-05-09 2014-06-10 Ulvac, Inc. Thin film production apparatus and inner block for thin film production apparatus
US7789319B2 (en) * 2006-05-17 2010-09-07 Micron Technology, Inc. System and method for recirculating fluid supply for an injector for a semiconductor fabrication chamber
US20110017140A1 (en) * 2006-07-21 2011-01-27 Christopher Mark Bailey Method of treating a gas stream
KR100791334B1 (ko) * 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
JP5179739B2 (ja) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
KR100807216B1 (ko) * 2006-09-29 2008-02-28 삼성전자주식회사 두께 균일성을 향상할 수 있는 박막 형성 장치 및 방법
KR101064354B1 (ko) * 2006-11-09 2011-09-14 가부시키가이샤 알박 장벽막 형성 방법
DE102007037527B4 (de) * 2006-11-10 2013-05-08 Schott Ag Verfahren zum Beschichten von Gegenständen mit Wechselschichten
US8900695B2 (en) * 2007-02-23 2014-12-02 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US20080248263A1 (en) * 2007-04-02 2008-10-09 Applied Microstructures, Inc. Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby
US8236379B2 (en) * 2007-04-02 2012-08-07 Applied Microstructures, Inc. Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
JP5660888B2 (ja) * 2007-05-25 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 除害システムの効率的な運転のための方法及び装置
EP2153363A4 (en) * 2007-05-25 2013-02-27 Applied Materials Inc METHODS AND APPARATUS FOR ASSEMBLING AND OPERATING ELECTRONIC DEVICE MANUFACTURING SYSTEMS
WO2008156687A1 (en) * 2007-06-15 2008-12-24 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
JP2011501102A (ja) * 2007-10-26 2011-01-06 アプライド マテリアルズ インコーポレイテッド 改良された燃料回路を使用した高性能な除害の方法及び装置
US7905133B2 (en) * 2007-12-28 2011-03-15 Thar Instruments, Inc. Variable ratio flow splitter for a flowstream
JP5264231B2 (ja) 2008-03-21 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
US8673394B2 (en) * 2008-05-20 2014-03-18 Sundew Technologies Llc Deposition method and apparatus
WO2009148913A2 (en) * 2008-06-02 2009-12-10 Mattson Technology, Inc. Process and system for varying the exposure to a chemical ambient in a process chamber
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US8234012B1 (en) * 2008-09-26 2012-07-31 Intermolecular, Inc. Preparing a chemical delivery line of a chemical dispense system for delivery
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US20100116206A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Gas delivery system having reduced pressure variation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
CN102597312B (zh) * 2009-11-16 2015-08-05 Fei公司 用于束处理系统的气体传输
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
WO2011088024A1 (en) * 2010-01-12 2011-07-21 Sundew Technologies, Llc Methods and apparatus for atomic layer deposition on large area substrates
US9348339B2 (en) 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8997686B2 (en) * 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
KR101311983B1 (ko) * 2011-03-31 2013-09-30 엘아이지에이디피 주식회사 가스 주입 장치, 원자층 증착장치 및 이 장치를 이용한 원자층 증착방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
DE102012210332A1 (de) * 2012-06-19 2013-12-19 Osram Opto Semiconductors Gmbh Ald-beschichtungsanlage
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101541154B1 (ko) * 2012-12-13 2015-08-03 엘아이지인베니아 주식회사 원자층 증착장치
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
WO2015105700A1 (en) 2014-01-07 2015-07-16 Sundew Technologies, Llc Fluid-actuated flow control valves
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9663857B2 (en) 2014-04-07 2017-05-30 Asm Ip Holding B.V. Method for stabilizing reaction chamber pressure
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160068961A1 (en) * 2014-09-05 2016-03-10 Aixtron Se Method and Apparatus For Growing Binary, Ternary and Quaternary Materials on a Substrate
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6539482B2 (ja) * 2015-04-15 2019-07-03 株式会社フジキン 遮断開放器
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102514043B1 (ko) * 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102344996B1 (ko) * 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11139117B2 (en) 2018-04-13 2021-10-05 Avx Corporation Solid electrolytic capacitor containing a sequential vapor-deposited interior conductive polymer film
WO2019199485A1 (en) * 2018-04-13 2019-10-17 Avx Corporation Solid electrolytic capacitor containing an adhesive film
US11049664B2 (en) 2018-04-13 2021-06-29 Avx Corporation Solid electrolytic capacitor containing a vapor-deposited barrier film
US20190338420A1 (en) * 2018-05-04 2019-11-07 Applied Materials, Inc. Pressure skew system for controlling center-to-edge pressure change
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11718913B2 (en) * 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
WO2020112954A1 (en) 2018-11-29 2020-06-04 Avx Corporation Solid electrolytic capacitor containing a sequential vapor-deposited dielectric film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN110318040B (zh) * 2019-07-29 2021-11-30 陕西煤业化工技术研究院有限责任公司 一种原子层沉积系统
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US20210088402A1 (en) * 2019-09-23 2021-03-25 Arradiance, Llc Vacuum Gauge Protector for Deposition Systems
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
FI129610B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT AND METHOD
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202200830A (zh) * 2020-02-26 2022-01-01 美商應用材料股份有限公司 用於ald 處理的循序脈衝和淨化
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
US11359286B2 (en) * 2020-05-01 2022-06-14 Applied Materials, Inc. Quartz crystal microbalance concentration monitor
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20230290639A1 (en) * 2020-07-29 2023-09-14 Lam Research Corporation Low resistance gate oxide metallization liner
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
US11396703B2 (en) 2020-12-21 2022-07-26 Applied Materials, Inc. Apparatus and methods for improving chemical utilization rate in deposition process
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220285133A1 (en) * 2021-03-02 2022-09-08 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR20230025563A (ko) * 2021-08-12 2023-02-22 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115389096A (zh) * 2022-08-26 2022-11-25 江苏微导纳米科技股份有限公司 气体压力探测装置及沉积设备
CN116103640B (zh) * 2023-04-07 2023-06-27 上海陛通半导体能源科技股份有限公司 一种ald反应腔装置及ald镀膜设备
CN116926504A (zh) * 2023-09-19 2023-10-24 上海星原驰半导体有限公司 前驱体输出装置和原子层沉积设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04261016A (ja) * 1991-01-07 1992-09-17 Nippon Telegr & Teleph Corp <Ntt> 気相成長装置およびその成長方法
JP2002151489A (ja) * 2000-08-11 2002-05-24 Tokyo Electron Ltd 基板処理装置および処理方法

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US76508A (en) * 1868-04-07 Improved kiln foe drying malt
US187084A (en) * 1877-02-06 Improvement in trace-detaching devices
FI117944B (fi) * 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
DE3717724A1 (de) * 1987-05-26 1988-12-08 Schertler Siegfried Ventilschieber mit einem schiebergehaeuse
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
EP0382984A1 (en) * 1989-02-13 1990-08-22 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Thermal decomposition trap
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
CA2060917A1 (en) * 1991-03-12 1992-09-13 Milam Pender Plasma enhanced chemical vapor deposition device
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5330610A (en) * 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5386798A (en) * 1993-10-06 1995-02-07 Martin Marietta Energy Systems, Inc. Method for continuous control of composition and doping of pulsed laser deposited films
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
TW323387B (ja) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5758680A (en) * 1996-03-29 1998-06-02 Lam Research Corporation Method and apparatus for pressure control in vacuum processors
FR2751733B1 (fr) * 1996-07-23 1998-09-04 Gec Alsthom Transport Sa Dispositif et procede de regulation de la pression interne d'un espace clos ventile soumis a des variations de pression exterieure
US5928426A (en) * 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH1180964A (ja) * 1997-07-07 1999-03-26 Canon Inc プラズマcvd法による堆積膜形成装置
US6056824A (en) * 1998-01-16 2000-05-02 Silicon Valley Group Thermal Systems Free floating shield and semiconductor processing system
US6063198A (en) * 1998-01-21 2000-05-16 Applied Materials, Inc. High pressure release device for semiconductor fabricating equipment
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6432256B1 (en) * 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
EP1226286A4 (en) * 1999-06-24 2007-08-15 Prasad Narhar Gadgil CHEMICAL DEPOSITION DEVICE IN VAPOR PHASE ATOMIC LAYERS
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
TW515032B (en) * 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
US6998152B2 (en) * 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
DE60003850T2 (de) * 1999-12-22 2004-03-11 Aixtron Ag Cvd reaktor und prozesskammer dafür
FI118343B (fi) * 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
CN101818334B (zh) * 2002-01-17 2012-12-12 松德沃技术公司 Ald装置和方法
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
WO2005003406A2 (en) * 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
EP1661161A2 (en) * 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
US7335277B2 (en) * 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04261016A (ja) * 1991-01-07 1992-09-17 Nippon Telegr & Teleph Corp <Ntt> 気相成長装置およびその成長方法
JP2002151489A (ja) * 2000-08-11 2002-05-24 Tokyo Electron Ltd 基板処理装置および処理方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015078418A (ja) * 2013-10-18 2015-04-23 東京エレクトロン株式会社 成膜方法および成膜装置
JP2018531326A (ja) * 2015-10-05 2018-10-25 ジュスン エンジニアリング カンパニー リミテッド 排ガス分解装置を有する基板処理装置及び該装置用排ガス処理方法
JP2021176994A (ja) * 2015-10-05 2021-11-11 ジュスン エンジニアリング カンパニー リミテッド 排ガス分解装置を有する基板処理装置及び該装置用排ガス処理方法

Also Published As

Publication number Publication date
CN101818334A (zh) 2010-09-01
CN1643179B (zh) 2010-05-26
JP4908738B2 (ja) 2012-04-04
US7635502B2 (en) 2009-12-22
US6911092B2 (en) 2005-06-28
US8012261B2 (en) 2011-09-06
US20030180458A1 (en) 2003-09-25
KR20040085153A (ko) 2004-10-07
US20100043888A1 (en) 2010-02-25
KR100979575B1 (ko) 2010-09-01
WO2003062490A2 (en) 2003-07-31
CN1643179A (zh) 2005-07-20
CN101818334B (zh) 2012-12-12
US20050160983A1 (en) 2005-07-28
EP1466034A1 (en) 2004-10-13

Similar Documents

Publication Publication Date Title
JP4908738B2 (ja) Ald方法
EP1649076B1 (en) Apparatus and method for chemical source vapor pressure control
US7647886B2 (en) Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US8906456B2 (en) Apparatus and method for high-throughput chemical vapor deposition
TWI409897B (zh) A substrate processing apparatus, and a method of manufacturing the semiconductor device
KR100867073B1 (ko) 반도체 장치 제조 장치
US7883581B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US8026159B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US20100129548A1 (en) Ald apparatus and method
KR20110022036A (ko) 기판 처리방법
KR20130088120A (ko) 막 불균일성 및 수율을 향상시키는 방법
US20080026148A1 (en) Film Forming System And Method For Forming Film
JP2013151722A (ja) 半導体装置の製造方法
WO2021193160A1 (ja) 炭化ケイ素含有膜を形成する方法及び装置
KR101066138B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP2006216597A (ja) 基板処理装置
JP2006066433A (ja) 基板処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060113

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090507

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090514

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090604

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090611

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090805

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100412

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100712

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110309

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110608

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110615

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110708

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110715

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110808

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110909

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111215

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150120

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4908738

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term