KR100856473B1 - 증착 전 표면 처리 방법 - Google Patents

증착 전 표면 처리 방법 Download PDF

Info

Publication number
KR100856473B1
KR100856473B1 KR1020037006994A KR20037006994A KR100856473B1 KR 100856473 B1 KR100856473 B1 KR 100856473B1 KR 1020037006994 A KR1020037006994 A KR 1020037006994A KR 20037006994 A KR20037006994 A KR 20037006994A KR 100856473 B1 KR100856473 B1 KR 100856473B1
Authority
KR
South Korea
Prior art keywords
deposition
layer
silicon
gate dielectric
depositing
Prior art date
Application number
KR1020037006994A
Other languages
English (en)
Other versions
KR20030051873A (ko
Inventor
크리스토프에프. 포마레드
제프 로버츠
에릭 제이. 쉐로
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20030051873A publication Critical patent/KR20030051873A/ko
Application granted granted Critical
Publication of KR100856473B1 publication Critical patent/KR100856473B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

후속 결정핵생성 민감 증착(예를 들어, 폴리실리콘 또는 폴리-SiGe) 및 흡착작용 유도 증착(예를 들어, 원자층 증착 또는 ALD)의 준비로 기판 표면을 처리하는 방법이 제공된다. 증착 전에, 표면은 비증착 플라즈마 생성물로 처리된다(110, 125). 처리된 표면은 더 쉽게 (게이트 전극(220)과 같은) 폴리실리콘 및 폴리-SiGe의 결정핵을 생성하거나, (게이트 유전체(260)와 같은) ALD 반응물을 더 쉽게 흡착한다. 본 표면 처리는 표면 부분에 후속 증착 반응이 더 잘 일어나도록 해주거나 또는 증착 전 다른 표면 처리에 더 쉽게 반응하도록 해 준다. 기판의 표면 말단을 저온 라디칼 처리로 변형함으로써, 후속 증착이 바람직하게도 눈에 띄는 증착층이 없고 밑에 놓인 물질의 벌크 특성에 거의 영향을 주지 않게 한다. 바람직하게 10Å 미만의 벌크 물질은 활성화 물질과 결합되는데, 이것은 불소, 염소, 특히 질소 활성화 물질을 포함할 수 있다.
표면처리, 플라즈마, 질소 활성화 물질

Description

증착 전 표면 처리 방법{Surface Preparation Prior To Deposition}
본 발명은 일반적으로 반도체 제조에 있어서 막증착 전 표면 처리방법에 관한 것이다. 보다 상세하게는, 후속 원자층 증착(ALD) 공정에서 흡착에 도움을 주거나, 또는 후속 화학기상증착 공정에서 결정핵생성에 도움을 주는 표면 처리방법에 관한 것이다.
집적회로 설계는 보다 빠른 회로 동작 및 저전원소비를 추구함에 따라, 지속적으로 소형화되고 있다. 회로 설계에 있어서의 소형화는 일반적으로 제조 공정의 부수적인 변경을 필요로 한다.
집적 회로의 기본 제조 블록은 박막트랜지스터(TFT)이다. 본 기술 분야에서 잘 알려진 바와 같이, 트랜지스터는 통상 얇은 게이트 유전물질에 의해 반도체층 또는 기판으로부터 이격되어 있는 게이트 전극을 포함한다. 최첨단 트랜지스터의 일반적인 약어가 MOS, 금속-산화-실리콘이긴 하지만, 게이트 전극으로 선택되는 물질은 오랫동안 금속이기보다는 실리콘이었다. 다른 장점 중에서도, 실리콘 게이트 전극은 고온 공정에 잘 견딜 수 있고 트랜지스터로 완성되는 자기 정렬 도핑 공정을 가능하게 해 주고, 비용이 많이 드는 마스킹 공정을 줄일 수 있게 해 준다.
따라서, 종래의 게이트 전극은 비소, 인, 붕소와 같이 전도성을 강화시켜 주 는 불순물이 도핑된 폴리실리콘으로 형성된다. 실리콘은 도펀트 소스 가스(예를 들어, 비소, 인, 디보란 등)와 실리콘 소스 가스(예를 들어, 실란)를 동시에 흘려줌으로써 인 시츄(in situ) 도핑을 하는 CVD에 의해 증착될 수 있다.
최근에는, 게르마늄을 실리콘 전극에 도핑하는 가능성이 주목받고 있는데, 이로 인해 트랜지스터 게이트 전극의 전기적 일함수가 감소된다. 따라서, 회로를 작동시키기 위해 필요한 전압이 감소되고, 결국 보다 적은 열을 발생시킨다. 게다가, 실리콘 게르마늄 게이트 전극은 주변 물질 및 최근의 집적 회로 제조 공정과 양립할 수 있다. 실리콘 게르마늄층을 흘려주는 제안들은 화학기상증착(CVD)공정에서 게르만(GeH4)과 실란(SiH4)을 형성하는 것에 의한 실리콘 층의 인 시츄 도핑을 포함한다.
인 시츄 도핑 CVD 공정이 실리콘 게르마늄을 생산하는 데 있어서 효과적이라는 것이 밝혀지기는 했으나, 실란 유량에 게르만을 첨가하는 것은 유전물질들, 특히 이산화규소와 같은 산화물 및 후술될 고유전물질들 상부에의 배양 또는 결정핵생성 시간을 크게 증가시킨다는 것이 밝혀졌다. 이와 유사하게, 유전물질 상부에의 느린 결정핵생성은 다결정실리콘에 화학기상이 증착될 때 발생하고, 특히 다른 도펀트 소스 가스가 인 시츄 유동될 때 심해진다.
느린 결정핵생성은 전체 증착 시간을 보다 증가시키고, 생산량을 감소시켜 결과적으로 제조 비용을 증가시킨다. 반도체 산업은 제조 비용에 매우 민감하다. 따라서, 어떠한 공정 단계에서든지, 웨이퍼 처리량의 증가는 생산 비용의 감소 및 이익의 증가로 환원된다. 나아가, 초기의 나쁜 결정핵생성은 화학양론, 밀도, 표면 평면도 등과 관련된 문제를 포함하여, 최종생성된 층의 질을 나쁘게 할 수 있다.
SiGe 등이 인 시츄 도핑된 실리콘의 증착을 빠르게 하는 방법 중 하나는 폴리-SiGe 증착 후에, 게이트 유전체 상부에 결정핵생성층, 대표적으로 폴리실리콘을 처음으로 형성하는 것이다. 그러나, 이 부가적인 단계는 공정 과정을 복잡하게 하고, 소망하는 트랜지스터의 일함수를 확보하기 위해 유전 전극 경계면의 도핑 농도를 조정할 것을 필요로 한다.
공정 제어가 특히 중요한 다른 분야는 트랜지스터 게이트 유전체 제조에 있어서이다. 보다 빠르고 보다 효율적인 회로를 위해, 반도체 설계는 각 제품의 세대와 함께 지속적으로 소형화되고 있다. 트랜지스터 스위칭 시간은 보다 빠른 회로 동작의 달성에 있어서 커다란 역할을 한다. 그리고, 스위칭 시간은 트랜지스터의 채널 길이를 감소시킴으로써 감소시킬 수 있다. 트랜지스터 성능을 최대한으로 향상시키기 위해서, 수직 크기는 수평 크기에 비례해야 한다. 따라서, 효율적인 게이트 유전체 두께, 접합 깊이 등은 차세대 집적 회로와 함께 모두 감소할 것이다.
종래의 게이트 유전체는 질 좋은 이산화규소로 형성되고, 통상적으로 "게이트 산화물" 층으로 명명된다. 그러나, 초박형 게이트 산화물(예를 들어, 5㎚ 미만)는 핀홀, 전자 트래핑 상태 및 고온 캐리어 주입 효과에 대한 반응성을 포함하는 고결손밀도를 나타낸다는 것이 알려져 있다. 이러한 고결손밀도는 0.25㎛ 게이트 간격 미만의 회로 설계, 즉, 서브-쿼터-마이크론 기술이 용인할 수 없는 급작스러운 디바이스 고장 및 게이트 유전체를 관통하는 누설전류를 야기시킨다.
실험실 조건 하에서는 이러한 결손밀도를 제어할 수 있으나, 대규모의 제조 조건 하에서는 달성되기 어렵다. 게다가, 산화물의 무결성이 완벽하게 유지된다 할지라도, 양자역학적 효과는 게이트 산화물의 크기에 기본적인 한계로 작용한다. 하이 필드에서는, 직접 터널링이 파울러-노드헴 터널링보다 우세하고, 전체적으로 산화물 크기의 한계를 결정한다. 이 크기 한계는 로직회로에서는 대략 2㎚ 정도, 보다 누설에 민감한 동적 랜덤 억세스 메모리(DRAM)회로에 있어서의 메모리 배열에서는 대략 3㎚로 추정되고 있다. 예를 들어, 1998년 7월에 발행된 국제 반도체 215-222 페이지에 실린 후 등(Hu et al.)의 "얇은 게이트 산화물은 높은 신뢰성을 약속한다."를 보아라.
이론적으로, 게이트 유전체에 보다 높은 유전율을 갖는 물질을 결합시키는 것은 다른 장치의 크기에 개방되어 있다. 보다 높은 유전율로 인해, 많은 물질들은 더 얇은 이산화물층과 동일한 용량을 보여줄 수 있어, 터널 제약적인 행동 없이 더 얇은 산화물 두께가 달성될 수 있다. 예를 들어, 질화 실리콘(Si3N4)은 SiO2보다 높은 유전율을 가지고 있고 또한 좋은 확산 장벽 특성, 붕소 내침투성을 보여준다. 산화 알루미늄, 산화 지르코늄, 산화 하프늄, 바륨 스트론튬 티타네이트, 스트론튬 비스무스 탄탈레이트, 산화 탄탈륨 등을 포함하는 더 높은 유전율을 갖는 보다 새로운 물질들은 또한 보다 작은 크기의 장치를 허용하는 것으로 연구되어지고 있다.
집적 회로 제조의 다른 분야에서의 유사한 높은 질을 갖는 얇은 유전층들도 사용될 수 있다. 메모리 배열에 있어서 집적된 커패시터는 적절한 데이터 저장 및 검색을 위한 소정의 최소 용량을 나타내야 한다. 주어진 메모리 셀 공간의 용량을 증가시키고자 하는 노력들은 상기에서 열거한 것과 같은 높은 유전율로 특징되는 물질들(고-k 물질들)의 사용에 집중되어 있었다.
상기에서 보는 바와 같이, 폴리실리콘, 비정질실리콘 및 특별하게 도핑된 실리콘 또는 실리콘 게르마늄 합금 등과 같은 전극 물질을 최근 연구중인 다양한 고-k 물질들뿐만 아니라 종래의 실리콘 산화물 상에 증착하는 것은 종종 용이하지 않다. 다른 종류의 물질들 및 집적 회로 제조 상의 증착 기술들은 상기 물질들이 증착될 기판 표면에 종속되는 문제들에 직면하게 된다.
중간층들은 접착력, 결정핵 생성, 전기적 인터페이스 특성, 확산 등의 특성을 향상시키는 것을 포함하는 다양한 보충적 이유 때문에 소망하는 기능을 수행하는 층의 증착 전에 증착되기도 한다. 이러한 중간층들은 제조상의 비용 및 복잡성을 증가시키고, 또한 넓은 표면적 커패시터를 위한 접혀진 구조 또는 접촉 바이어스와 같은 높은 종횡비 특성 내에서 상당한 공간을 차지할 수 있다. 게이트 유전체 및 커패시터 유전체와 같은 어떤 환경에서는, 집적 회로가 소형화되는 추세와는 반대로, 부가층들이 전체 유전체 두께를 증가시키고, 층의 효율성을 감소시킨다.
따라서, 반도체 제조 분야에서 증착된 층의 균일성, 품질, 속도 및 효율성이 향상되어야 하는 필요성이 있다.
이러한 필요성을 충족시키기 위해, 여기서는 후속 증착의 준비로써 기판 표면을 처리하는 방법이 제공된다. 특히, 결정핵생성 민감 증착(예를 들어, 폴리실리 콘 또는 폴리-SiGe) 및 흡착유도증착(예를 들어, 원자층 증착 또는 ALD)이 먼저 발생하는 방법이 제공된다.
증착 전에, 바람직한 실시예들은 플라즈마 생성물로 표면을 처리한다. 바람직하게, CVD 폴리실리콘 및 폴리-SiGe는 처리된 표면 상에 더 용이하게 결정핵을 생성하거나, 또는 ALD 반응물은 처리된 표면 상에 더 용이하게 흡착한다. 상기 표면 처리는 수반되는 증착 반응에 더 잘 반응하도록 또는 증착 전의 다른 표면 처리에 더 잘 반응하도록 표면 부분을 제공한다. 저온 라디칼 처리로 기판의 표면 말단을 변경함으로써, 후속 증착은 바람직하게 상당한 두께의 층을 증착하지 않고 또 하부에 놓인 물질의 벌크 특성에 크게 영향을 미치지 않고서도 용이하게 수행될 수 있다.
대표적인 플라즈마 생성물 처리는 F, Cl, H 또는 N 라디칼 공급을 포함하는데, 특히 증착 전 인 시츄 표면 처리를 위해 증착 챔버에 부착된 원격 플라즈마 모듈을 통해서 수행된다.
본 발명의 상기의 측면 및 다른 측면들은 첨부된 도면 및 하기의 상세한 설명으로부터 명백할 것이다. 그러나, 첨부된 도면들은 단지 발명을 설명하기 위한 것이지 이에 한정되는 것을 의미하지는 않는다.
도 1은 대표적인 단일기판 반응 챔버의 개략적 단면도;
도 2는 본 발명의 바람직한 실시예에 따른 반응물 및 세정 가스 소스를 보여주는 가스 흐름 개략도;
도 3은 바람직한 실시예에 따라 기판을 처리하는 전체적인 단계를 보여주는 흐름도;
도 4a 및 도 4b는 본 발명의 바람직한 실시예에 따라 구축된 트랜지스터 게이트 스택의 개략적 단면도;
도 5는 본 발명의 두 실시예의 순서를 보여주는 흐름도이다.
당업자라면 여기에서 개시되는 개념이 증착 전 계면 처리가 요구되는 환경에 다양하게 적용될 수 있다는 것을 용이하게 인지할 수 있을 것이다. 이러한 준비는 특히 결정핵생성에 민감하고 흡착을 유도하는 공정에 있어서는 특히 장점을 가진다.
바람직한 실시예에 따라, 본 발명은 증착 전에 플라즈마 생성물로 표면을 처리하는 방법을 제공한다. 상기 플라즈마 생성물은 표면 말단을 후속 증착이 잘 일어나도록 변형시키는 것이 바람직하다.
상기의 종래 기술 부분에서 언급한 바와 같이, 많은 증착 공정들은 증착될 표면에 민감하다. 도핑된 폴리실리콘 및 다결정 실리콘-게르마늄 합금(폴리-SiGe)은, 본 기술 분야에 잘 알려진 바와 같이, 실리콘 산화물 상에 결정핵 생성이 잘 되지 않는 경향이 있고, 또한 현재 연구되고 있는 최신의 고-k 물질 상에도 마찬가지이다. 본 발명은 상당한 증착 없이 그리고 유전체의 벌크 특성의 큰 변형 없이 전극 증착을 위한 유전체 표면을 처리하는 방법을 제공한다.
바람직한 방법 실시예에 의해 제공되는 다른 증착 기술은 원자층 증착(ALD) 이다. ALD는 자기제어적인 공정으로서, 이로 인해 반응 선구체(precursor)의 교번 펄스는 기판을 포화시키고 각 펄스마다 하나의 단일물질만을 남긴다. 상기 선구체는 자기포화 반응을 보증하기 위해 선택된다. 왜냐하면, 한 펄스의 흡착층은 동일한 펄스의 가스 상태 반응물에 반응하지 않는 표면 말단을 남긴다. 다른 반응물의 이후의 펄스는 계속적인 증착을 가능하게 해 주는 이전 말단과 반응한다. 그러므로, 각 교번 펄스들의 주기는 소망하는 물질의 1분자층 정도 이상은 남기지 않게 된다. ALD형 공정의 원리는 예를 들어, 1994년에 엘제비어 사이언스에서 발행된 T.선톨라의 결정 성장 3, 박막 및 에피택시, 파트 B: 성장 메카니즘 및 역학, 14장, 원자층 에피택시, 601-663 페이지에 나타나 있다. 이 부분에서 개시된 내용은 여기에 참조로서 반영된다.
불행하게도, 채용된 화학물질에 따라, ALD는 다른 스타팅 기판에 동등하게 잘 증착되지 않는다. 예를 들어, 어떤 ALD 공정 방법은 실리콘, 특히 (통상 수소-마감처리된) 세정된 또는 식각된 실리콘 표면 상에 증착할 때는 느리거나 전혀 반응하지 않을 수 있다. 예를 들어, (CH3)3Al과 같은 알킬 알루미늄은 Al2O 3 증착을 위한 ALD 공정에서 수소-마감처리된 실리콘 표면 상에 잘 부착되지 않는 경향이 있다.
그러나, 제1 수펄스(water pulse)는 실리콘 표면과 반응하여 알킬 알루미늄의 화학흡착을 위한 스타팅 기판으로 기능하게 되는 수산화-마감처리(OH) 또는 산소교차결합(Si-O-Si)된 실리콘 표면을 남길 수 있다. ALD 공정은 이 후에 진행될 수 있는데, 바람직한 선구체는 Al2O3의 증착을 위해 SiO2와 잘 반응하기 때문이다.
상세하게 공정을 설명하기 전에, CVD에 의해 폴리실리콘 및 폴리-SiGe를 증착하기 위한 바람직한 반응기를 먼저 하기에서 기술한다. 별개로 설명하지는 않으나, 후술할 ALD 공정은 핀란드 에스푸의 ASM 마이크로케미스트리 Oy에서 판매하고 있는 펄사 2000(상표명임) ALCVD 반응기에 의해 더 잘 수행되는데, 이 반응기는 여기에 원격 플라즈마 처리 장치를 연결하여 구비되도록 변형가능하다.
바람직한 반응기
바람직한 실시예는 단일 기판의 수평흐름 냉벽 반응기와 관련해서 설명될 것이나, 본 발명의 어떤 측면들은 다른 종류의 반응기에도 적용가능하다는 것을 이해할 것이다. 예시된 싱글-패스 수평흐름 설계는 저체류시간을 가진 반응물 가스의 층류(laminar flow)를 가능하게 하는데, 이것은 챔버 표면 및 반응물 간의 상호작용을 최소화하여 다음 단계의 공정에 유용하다. 따라서, 다른 장점들 중에서, 이러한 층류는 상호 반응하는 반응물이 연속적으로 흐르도록 해준다. 피해야 되는 반응은 산소 및 수소를 갖고 있는 반응물이 야기시키는 발열 또는 폭발 반응이고, 또한 챔버의 미립자 오염이 야기시키는 반응이다. 그러나, 당업자라면 어떤 연속되는 공정에서는, 다른 반응기 설계가 또한 이러한 목적을 달성할 수 있도록 제공될 수 있으며, 제공된 충분한 세정시간은 양립할 수 없는 반응물을 제거할 수 있도록 해준다는 것을 인식할 것이다.
도 1은 화학기상증착(CVD) 반응기(10)를 나타내는데, 반응기(10)은 바람직한 실시예에 따라 구성된 석영 공정 또는 반응챔버(12)를 포함하고, 또한 본 명세서에서 개시된 방법들은 그것을 위해 특정 용도에 사용되는 것이다. 원래는 한 번에 단일기판 상 실리콘 애피택셜 증착을 최적화하기 위해 설계된 것이나, 본 발명자는 다양한 물질들의 CVD에서 이용될 수 있는 우수한 프로세싱 제어를 발견하였다. 게다가, 도시된 반응기(10)는 동일한 챔버(12) 내에서 연속적으로 복수의 처리 단계를 안전하고 청결하게 달성할 수 있다. 반응기(10)의 기본적인 구성은 AZ 피닉스에 위치한 ASM 아메리카 주식회사에서 상표명 엡실론으로 판매하고 있다.
복수의 복사열 소스들은 석영 챔버(12) 벽에 상당 정도 흡착됨 없이 챔버(12) 내에 열에너지를 제공하기 위해 챔버(12) 외부에 지지된다. 바람직한 실시예는 반도체 웨이퍼 공정에서의 "냉벽" CVD 반응기와 관련하여 기술되나, 여기서 기술되는 처리 방법은 유도 또는 저항성 가열을 이용하는 것과 같은 다른 가열/냉각 시스템과의 결합에도 적용가능하다는 것을 이해할 것이다.
예시된 복사열 소스는 긴 튜브 형태의 복사가열 요소(13)의 상부 가열 조립체를 포함한다. 바람직하게, 상부 가열 요소(13)는 밑에 놓인 반응 챔버(12)와 이격되어 평행하게 배치되고, 반응 챔버를 관통하는 반응 가스 유동로와 실질적으로 평행하다. 하부 가열 조립체는 이와 유사하게 반응 챔버(12) 하부의 긴 튜브 형태의 복사가열 요소(14)를 포함하고, 바람직하게 상부 가열 요소(13)에 가로질러 배치된다. 바람직하게, 복사열의 일부분은 대략 거울형 반사판(미도시)에 의해 챔버(12) 내부에서 각각 상부 및 하부 램프(13, 14)의 상/하로 널리 반사된다. 또한, 복수의 스팟램프(15)는 반응 챔버(12)의 하단에서 연장된 저온 지지 구조로 인 한 히트 싱크 효과를 상쇄시키기 위해 기판 지지 구조체(하기에서 기술됨)의 아래쪽에 집중적으로 열을 공급한다.
긴 튜브 형태의 가열 요소(13, 14) 각각은 요오드와 같은 할로겐 가스를 내포하고 있는 투명 석영 용기를 포함하는 고강도 텅스텐 필라멘트 램프인 것이 바람직하다. 이러한 램프는 상당 정도의 흡착 없이 반응 챔버(12)의 벽을 통해 전달되는 풀-스펙트럼 복사열 에너지를 발생한다. 반도체 처리 장치 분야에 잘 알려진 바와 같이, 다양한 램프(13, 14, 15)의 출력은 독립적으로 또는 온도 센서에 응답하여 그룹화된 영역으로 제어될 수 있다.
기판, 바람직하게 실리콘 웨이퍼(16)를 포함하는 기판은 반응 챔버(12) 내부의 기판 지지 구조체(18) 상에 지지된다. 도시된 예의 기판은 단결정 실리콘 웨이퍼이나, "기판"이라는 용어는 그 상부에 증착층이 형성되는 어떠한 표면을 광범위하게 언급될 때 사용된다는 것을 이해할 것이다. 게다가, 여기서 기술되는 개념 및 장점은 평면 패널 디스플레이에 채용되는 것과 같은 유리기판을 포함하는 다른 다양한 종류의 기판에 증착층을 형성하는 경우에도 어떠한 한정 없이 적용될 수 있다.
도시된 지지 구조체(18)는 그 상부에 웨이퍼(16)가 안착되는 기판 홀더(20) 및 지지 스파이더(22)를 포함한다. 스파이더(22)는 축(24)에 장착되는데, 축(24)은 상기 챔버 하부 벽에 매달려 있는 튜브(26)를 관통해 하측으로 연장되어 있다. 바람직하게, 튜브(26)는 공정 동안 흐르는 세정 또는 소제 가스 소스와 소통하여, 프로세스 가스가 챔버(12)의 하부 부분으로부터 방출되지 않도록 막는다.
복수의 온도 센서는 웨이퍼(16)의 주변에 배치된다. 온도 센서는 광고온계 또는 써모커플와 같은 다양한 형태를 가질 수 있다. 온도 센서의 수 및 위치는 균일한 온도 분포를 조성할 수 있도록 선택되며, 후술될 바람직한 온도 컨트롤러의 측면에서 이해될 것이다. 그러나, 온도 센서는 직접 또는 간접적으로 웨이퍼 주변 위치의 온도를 감지하는 것이 바람직하다.
도시된 실시예에서, 온도 센서는 제1 또는 중앙 써모커플(28)을 포함하는 써모커플을 포함하는데, 이것은 적절한 방법으로 웨이퍼 홀더(20)의 하부에 매달려 있다. 도시된 중앙 써모커플(28)은 스파이더(22)를 지나 웨이퍼 홀더(20) 주변까지 관통한다. 반응기(10)는 또한 웨이퍼(16) 주변에 선단 또는 정면 써모커플(29), 후단 또는 배면 써모커플(30) 및 하나 이상의 측면 써모커플(미도시)을 포함하는 복수의 제2 또는 주변 써모커플을 더 포함할 수 있다.
주변 써모커플 각각은 슬립 링(32) 내부에 수용되는데, 슬립 링은 기판 홀더(20) 및 웨이퍼(16) 둘레에 배치된다. 중앙 및 주변 써모커플 각각은 PID 온도 컨트롤러에 연결되어 있으며, 상기 온도 컨트롤러는 써모커플의 표시에 응답하여 다양한 가열 요소(13, 14, 15)의 출력을 조절한다.
주변 써모커플의 수용 외에, 슬립 링(32)은 고온 처리 동안 복사열을 흡열 및 방열하여, 웨이퍼 말단에서의 더 많은 열 손실 또는 흡착 경향을 보상하게 되는데, 이러한 열 손실 또는 흡착 현상은 이러한 말단 주변 영역에서 부피에 대한 더 높은 표면적 비율 때문에 생기는 것으로 알려져 있다. 말단 손실을 최소화함으로써, 슬립 링(32)은 웨이퍼(16)를 가로지르는 복사온도의 비균일성의 위험을 감소시 킬 수 있다. 슬립 링(32)은 적절한 수단으로 매달려 있을 수 있다. 예를 들어, 도시된 슬립 링(32)은 정면 챔버 디바이더(36) 및 배면 챔버 디바이더(38)에 매달려 있는 엘보우(34) 상에 안착된다. 디바이더(36,38)는 석영으로 형성되는 것이 바람직하다. 임의의 다른 배치에 있어서, 배면 디바이더(38)는 생략될 수 있다.
도시된 반응 챔버(12)는 반응물과 캐리어 가스가 주입되는 입구 포트(40)를 포함하고 있고, 이를 통하여 또한 웨이퍼(16)도 수용될 수 있다. 출구 포트(42)는 챔버(12)의 반대측에 있고, 입구(40)와 출구(42) 사이에 배치되는 웨이퍼 지지 구조체(18)를 가진다.
입구 구성요소(50)는 입구 포트(40) 주위에 부착되어 반응 챔버(12)에 고정되어 있으며, 웨이퍼(16)가 그 내부에 삽입될 수 있는 수평의 긴 슬롯(52)을 포함한다. 도 2를 참조하여 더 자세히 기술되는 바와 같이, 전체적으로 수직인 입구(54)는 원격 소스로부터의 가스를 수용하게 되고, 슬롯(52) 및 입구 포트(40)를 통해 이러한 가스를 전달한다. 입구(54)는 호긴스의 U.S.특허 제5,221,556호에 기술되어 있거나, 또는 2000년 7월 25에 등록된 U.S.특허 제6,093,252호의 도 21 내지 26을 참조하여 기술되어 있는 가스 주입기를 포함할 수 있는데, 이들 문서에서 개시된 내용은 여기에 참조로서 반영된다. 이러한 주입기는 단일 웨이퍼 반응기에서의 가스 유동 균일화를 극대화하기 위해 설계되었다.
이와 유사하게, 출구 구성요소(56)는 처리 챔버(12)에 장착되어, 배출구(58)가 출구 포트(42)에 정렬되어 배출관(59)으로 이어지게 한다. 배출관(59)은 챔버(12)를 통하여 프로세스 가스를 인출하는 적절한 진공 수단(미도시)에 연결되 어 있을 수 있다. 바람직한 실시예에서, 프로세스 가스는 반응 챔버(12) 및 하류의 스크루버(미도시)를 통하여 인출된다. 챔버(12)를 통해 프로세스 가스를 인출하는데 도움을 주기 위해 그리고 저압 처리용 챔버를 진공으로 만들기 위해, 펌프 또는 팬을 포함하는 것이 바람직하다.
바람직한 반응기(10)는 또한 활성화 물질 소스(60)를 포함하는데, 바람직하게는 챔버(10)로부터 상류에 배치된다. 도시된 실시예에서의 활성화 물질 소스(60)는 원격 플라즈마 발생기를 포함하는데, 이 원격 플라즈마 발생기는 가스라인(62) 상의 마그네트론 전원발생기 및 어플리케이터를 포함한다. 대표적인 원격 플라즈마 발생기로는 독일 뮌헨 GmbH의 래피드 리액티브 라디칼 테크놀로지(R3T)에서 제조 판매하는 상표명 TRW-850가 이용가능하다. 도시된 실시예에서, 마그네트론으로부터의 마이크로파 에너지는 가스라인(62)을 따라 어플리케이터 내에서 흐르는 가스와 연결된다. 선구체 가스 소스(63)는 활성화 물질 발생기(60)로 인입되기 위해 가스라인(62)과 연결된다. 캐리어 가스 소스(64) 또한 가스라인(62)에 연결된다. 하나 이상의 분기 라인(65) 또한 부가적인 반응물을 위해 마련될 수 있다. 잘 알려진 바와 같이, 가스 소스(63,64)는 반응물 종류의 형태 및 휘발성에 따라, 가스 탱크, 버블러 등을 포함한다. 각 가스 라인에는 별도의 질량 흐름 컨트롤러(MFC) 및 밸브가 마련되어 있을 수 있으며, 도면에 도시된 바와 같이, 이것은 활성화 물질 발생기(60)로 인입되어 최종적으로 반응 챔버(12)에 도달하게 되는 캐리어 및 반응물 종류의 상대량 선택을 가능하게 해준다.
다른 배치에 있어서, 활성화 물질은 처리 챔버 내에서 발생될 수 있음을 이 해할 것이다. 예를 들어, 본 기술 분야에서 잘 알려진 바와 같이, 인시츄 플라즈마는 라디오주파수(RF) 출력을 처리 챔버 내부의 상호 이격된 전극에 적용함으로써, 발생될 수 있다. 대표적인 인시츄 플라즈마 CVD 반응기로는, 예를 들어, 일본 도쿄의 ASM 재팬 K.K.의 상호명 이글10 또는 이글12가 이용가능하다. 나아가, 에너지는 유도, 축전 등을 포함하여 인시츄 또는 원격 플라즈마 발생을 위한 다양한 수단에 의해 소스 가스와 결합될 수 있다. 그러나, 여기서 기술되는 공정에 사용되는 원격 플라즈마 소스는 최소한의 벌크 효과를 가지는 표면 변형을 위해 더 많은 제어가 가능하게 채용되는 것이 바람직하다.
웨이퍼는 핸들링 챔버(미도시)로부터 전달되는 것이 바람직한데, 이는 픽업 장치에 의해 슬롯을 통해, 주변 환경과 격리되어 있다. 핸들링 챔버 및 처리 챔버(12)는 U.S.특허 제4,828,224호에 개시된 종류의 게이트 밸브(미도시)에 의해 분리되어 있는 것이 바람직하고, 개시된 내용은 여기에 참조로서 반영된다.
200㎜ 웨이퍼를 처리하도록 설계되어 있는 단일웨이퍼 처리 챔버(12)의 총 부피용적은, 예를 들어, 대략 30 리터보다 적은 것이 바람직하고, 더욱 바람직하게는 대략 20 리터, 가장 바람직하게는 대략 10 리터보다 적은 것이 좋다. 도시된 챔버(12)는 대략 7.5 리터의 용적을 가지고 있다. 그러나, 도시된 챔버(12)는 디바이더(32, 38), 웨이퍼 홀더(20), 링(32) 및 튜브(26)로부터 흐르는 세정 가스로 분리되어 있기 때문에, 프로세스 가스 흐름이 통과할 수 있는 유효부피는 총 부피의 절반 정도이다(도시된 실시예에서는 대략 3.77 리터). 단일웨이퍼 처리 챔버(12)의 부피는 챔버(12)에 수용되는 웨이퍼의 크기에 따라 달라질 수 있음은 물론이다. 예 를 들어, 300㎜ 웨이퍼를 수용하는 도시된 종류의 단일웨이퍼 처리 챔버(12)는 대략 100 리터보다 적은 용적을 가지는 것이 바람직하고, 더욱 바람직하게는 대략 60 리터, 가장 바람직하게는 대략 30 리터보다 적은 것이 좋다. 하나의 300㎜ 웨이퍼 처리 챔버는 대략 24 리터의 총부피를 가지는데, 유효 프로세스 가스 용략은 대략 11.83 리터 정도이다.
도 2는 바람직한 실시예에 따른 가스 라인의 개략도이다. 반응기(10)에는 산화물 또는 산화제 소스(70)가 마련되어 있다. 산화제 소스(70)는 잘 알려진 산화제 특히, O2, O3, NO, H2O, N2O, HCOOH, HClO3와 같은 휘발성 산화제 중 어느 것이라도 포함할 수 있다. 산화제는 N2와 같은 불활성 캐리어 가스 흐름으로 인입되는 것이 바람직하다. 다른 배치에 있어서, 순수한 반응물 흐름도 또한 사용될 수 있다. 또 다른 배치에 있어서, 산소 함유 소스 가스는 산화를 위해 활성화 물질을 제공하는 원격 플라즈마 발생기(60)로 제공될 수 있다.
또한, 도 2에 도시된 바와 같이, 반응기(10)는 수소 가스(H2) 소스(72)를 더 포함한다. 본 기술 분야에서 잘 알려진 바와 같이, 수소는 낮은 끓는 점으로 인해 매우 높은 순도로 제공될 수 있으므로, 캐리어 가스 및 세정 가스로 유용하며, 실리콘 증착과도 양립할 수 있다. H2는 또한 층형성 전에 천연 산소를 승화시키기 위해 고온수소 베이크(bake)에 채용될 수 있다. H2는 또한 천연 산소 세정 또는 다른 목적으로 H 라디칼을 발생시키는 활성화 물질 발생기(60)를 통해 흐를 수 있다.
바람직한 반응기(10)은 또한 질소 가스(N2) 소스(73)를 포함한다. 본 기술분야에서 잘 알려진 바와 같이, N2는 반도체 제조에 있어서 캐리어 또는 세정 가스로 H2 대신 종종 사용되고 있다. 질소 가스는 상대적으로 불활성이고 많은 집적 물질 및 프로세스 가스흐름과 양립가능하다. 다른 가능한 캐리어 가스는 헬륨(He) 또는 아르곤(Ar)과 같은 불활성 가스를 포함한다.
액체 반응물 소스(74) 또한 도시되어 있다. 액체 소스(74)는, 예를 들어, 버블러 내의 액체 디클로로실란(DCS), 트리클로로실란(TCS) 또는 보다 차수가 높은 실란 소스와, 기포를 발생시켜 기체상태의 반응물을 버블러에서 반응 챔버(12)로 이동시키기 위한 가스라인을 포함한다. 선택적으로 또는 부가적으로, 가스라인이 H2, N2, Ne, He 또는 Ar 기포를 액체 금속 소스를 통해 제공하고 금속유기 선구체를 가스 형태로 반응 챔버(12)로 전달할 때, 버블러는 액체 Ta(OC2H5)5를 금속 소스로 유지시켜 줄 수 있다.
바람직하게, 반응기(10)는 또한 도펀트 소스(예를 들어, 도시된 포스핀(76), 아르신(78) 및 디보란(diborane, 80) 소스)와 같은 다른 소스 가스 및 반응기 벽과 다른 내부의 부품을 세정하기 위한 부식액(예를 들어, 활성화 물질 발생기(60)에 플라즈마 소스 가스(63)로 제공되는 HCl 소스(82) 또는 NF3/Cl2)을 포함할 수 있다. 바람직한 실시예에 따라 폴리-SiGe의 증착을 위해, 게르마늄 소스(84, 예를 들어, 게르만 또는 GeH4)가 또한 SiGe 막 형성 또는 도핑을 위해 제공된다.
부가적인 소스 가스에는 암모니아(NH3) 소스(미도시)가 포함될 수 있는데, 이것은 휘발성의 질소 소스로 역할할 수 있고, CVD 및 질화 어닐링(nitridation anneal) 단계에서 유용하다. 모노실란(SiH4)으로 도시되어 있는 실리콘 소스(86) 또한 제공된다. 본 기술 분야에서 잘 알려진 바와 같이, 모노실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), DCS 및 TCS를 포함하는 실란은 실리콘 니트라이드, 금속 실리사이드, 비고유(extrinsic) 또는 고유(intrinsic) 실리콘(증착 파라미터에 따라 다결정, 비정질 또는 애피택셜) 및 폴리-SiGe 증착과 같은 CVD 적용에 있어서, 휘발성 실리콘 소스로 사용된다. 도시된 모노실란(SiH4)은 민감한 게이트 유전체의 구조체에 염소가 결합되는 것을 피할 수 있어 특히 바람직하다.
각 가스 소스는 가스 패널에 부착된 질량 흐름 컨트롤러(MFCs)뿐 아니라 부대안전장치 및 제어 밸브를 갖는 가스 라인을 통해 입구(54, 도 1 참조)에 연결될 수 있다. 프로세스 가스는 중앙 컨트롤러에 프로그램되어 있는 방향에 기초하여 입구(54, 도 1 참조)로 전달되어, 주입기를 통해 처리 챔버(12)로 분배된다. 처리 챔버(12)로 전달된 후, 반응하지 않은 가스 및 가스반응의 부산물은 대기 중으로 배출되기 전에 환경적 독성이 있는 가스를 농축하는 스크루버(88)로 배출된다.
상기에서 기술한 바와 같이, 종래 가스 소스 및 액체 버블러에 부가하여, 바람직한 반응기(10)는 반응 챔버(12)에서 멀리 또는 그 상류에 배치된 활성화 물질 소스(60)를 포함한다. 도시된 소스(60)는 마이크로파 에너지를 반응물 소스(63)로 부터의 반응물 선구체를 포함하고 있는 어플리케이터 내에 흐르는 가스에 결합한다. 후술할 공정을 위해, 플라즈마 소스 가스(63)는 불소 소스(예를 들어, NF3, F2 또는 B2F6), 염소 소스(예를 들어, Cl2) 및/또는 질소 소스(예를 들어, N2 또는 NH3)를 포함한다. 바람직한 공정에 사용되는 다른 유용한 플라즈마 소스 가스에는 H2, O2, NO, N2O, He 및 Ar이 포함된다. 플라즈마는 어플리케이터 내부에서 점화되어, 활성화 물질을 챔버(12)로 이동시킨다. 바람직하게, 소스(60)에서 생성된 활성화 물질 중에서, 매우 반응이 빠른 이온 종류는 챔버(12)에 유입되기 전에 실질적으로 재결합된다. 한편, F, Cl, N 또는 O와 같은 라디칼은 챔버(12)에 유입될 때까지 유지되고, 적절하게 반응한다. 후술하는 일반적인 프로세스에서 명백한 것과 같이, 원격 플라즈마에서 발생된 활성화 물질은 웨이퍼 처리량을 증가시킬 뿐 아니라 보다 질 좋은 층을 제공해준다.
프로세스 흐름
도 3은 본 발명에 따른 전체적인 프로세스 순서도로서, 반도체 기판 상에 틀랜지스터 게이트 스택을 형성하는 것과 관련하여 도시되어 있다. 본 도면에 도시된 바와 같이, 반도체 구조체를 포함하는 단일 기판은 먼저 반도체 구조체 상의 오염물 및 자연생성의 또는 천연의 산소를 제거하기 위해 세정된다(100). 반도체 구조체는 다른 것들 중에서, 애피택셜 실리콘 층 또는 단일결정 실리콘 층을 포함할 수 있다. 통상적으로, 산소 게이트 성장 전에 웨이퍼를 세정하는 것은 처리 챔버에 웨이퍼를 적재하기 전에 엑스 시츄(ex situ) 수행된다. 예를 들어, 웨이퍼는 SCl/HF 습식 식각 바스(bath) 내에서 세정될 수 있다. 또는, 집적HF 및 아세트산 증기 세정은 이송시간 및 재오염 또는 재산화의 기회를 감소시키도록 클러스터 툴 내부의 인접하는 모듈에서 수행될 수 있다. 어떤 적용예에서는, SC1 단계에 의해 잔존하는 세정 산소를 제거하지 않고, 대신 초기 산소층으로 사용하기도 한다. 다른 가능성에서는, 수소 베이크 단계는 천연 산소를 승화시키기 위해 챔버(12) 내부에서 수행될 수 있다. 수소 베이크 동안 금속 오염물 등을 세정하는 것을 돕기 위해 이 단계에서 소량의 HCl 증기가 첨가될 수 있다. 또 다른 배치에서는, 수소 가스 대신 H 라디칼을 대용하는 것과 같이, 플라즈마 생성물은 인 시츄(in situ) 세정을 수행하거나 또는 도울 수 있다.
엑스 시츄(ex situ) 세정 후 또는 인 시츄 세정 전에, 웨이퍼 또는 다른 기판은 처리 챔버 내부에 적재된다. 천연 산소의 세정(100)은 수소-마감처리된 표면을 남기는 경향이 있는데, 이것은 클린 룸 환경 또는 다른 산화제 소스에의 노출에 의한 자발적인 재산화를 막아준다. 불행하게도, 이러한 수소 마감처리는 또한 도시된 ZrO2 또는 Al2O3 ALD 증착과 같은 이어지는 ALD 공정을 방해하기도 한다. 반응물의 흡착을 촉진하는 하나의 방법은 얇은 계면층을 증착하는 것이다. 그러나, 이러한 층은 기판 상에 형성되는 유전체의 총 두께를 증가시키고 또한 유효 유전상수를 감소시키는 경향이 있다.
따라서, 바람직한 실시예는 세정된 기판 표면에 활성화 물질 처리를 적용한다(110). 바람직하게는, 게이트 유전체의 후속 증착(120)도 동일 챔버 내에서 수행 한다. 처리는 후속 증착을 촉진시키기 위해 기판의 표면 마감처리를 변경시킨다. 바람직하게, 처리(110)는 활성화 물질이 표면결합(도시된 실시예에서는, 수소-실리콘 결합 및 Si-Si 결합)을 깨고 새로운 결합을 형성할 수 있을 정도로 충분한 활성화 에너지를 주도록 조정되는데, 이 때 온도는 기판의 식각 또는 벌크 물질에의 활성화 물질의 상당 정도의 확산을 막을 수 있을 정도로 낮게 유지된다. 게다가, 눈에 띄는 정도의 증착은 일어나지 않는다. 기껏해야, 잔여 단일층이 활성화 물질 처리(110)에 의해 남겨지게 된다. 후술하는 바와 같이, 표면 마감처리 상부에 증착이 일어나지는 않지만, 기판의 몇몇 상부 단일층의 변환은 유용할 수 있다.
활성화 물질 처리는 후속 유전체 증착(120)과 동일 챔버 내에서 인 시츄 수행될 수 있다. 이 경우에 있어서, 기판 온도는 동일 챔버 내부에서 후속 증착(120)에 바람직한 온도에 맞게 설정되는 것이 바람직하다.
일 실시예에서, 활성화 물질 처리(110)는 불소 또는 염소 라디칼에의 노출을 포함하는데, 상기 불소 또는 염소는 표면결합을 깨기에는 충분하나, 바람직한 실리콘 표면을 식각시키기에는 불충분하게 공급된다. 바람직한 원격 플라즈마 발생기(60, 도 2 참조)로 제공되는 소스 가스는 NF3, F2, B2F6, Cl2, CF4 등을 포함한다. 아르곤, 헬륨 또는 다른 불활성 가스 또한 글로방전(glow discharge) 형성을 돕기 위해 흘러 캐리어 가스로서 기능할 수 있다. 그러나, 전체 유량 및 분압은 원격 플라즈마 장치의 동작을 유지하기 위해 반응 챔버 압력을 대략 10 Torr 이하로 유지하도록 준비하는 것이 바람직하다. 바람직하게, 프로세스 파라미터는 상당한 벌크 변형 없이 표면결합을 깰 수 있을 정도로 충분하게 조정된다. 도시된 실시예에서, 후속 증착(120)이 인 시츄 ALD에 의해 수행될 때, 온도는 실내 온도에서 700℃까지의 범위인 것이 바람직하고, 더욱 바람직하게는 200℃에서 500℃까지이다. 상기 주어진 온도에서, 압력, 원격 플라즈마 출력, 반응 시간 및 반응물 농도는 소망하는 표면 조건을 달성하기 위해 적절히 조정된다.
다른 실시예에서, 암모니아(NH3) 또는 질소 가스(N2)와 같은 질소 소스는 후속 증착의 특성에 따라, 표면결합을 깨고 Si-N 결합을 생성하도록 기판에 공급될 수 있다. 아르곤, 헬륨 또는 다른 불활성 가스 또한 글로방전(glow discharge) 형성을 돕기 위해 흘러 캐리어 가스로서 기능할 수 있다. 그러나, 전체 유량 및 분압은 원격 플라즈마 장치의 동작을 유지하기 위해 반응 챔버 압력을 대략 10 Torr 이하로 유지하도록 준비하는 것이 바람직하다. 아는 바와 같이, 프로세스는 증착층으로 귀결되는 것은 아니다. 바람직하게, 프로세스 파라미터는 기판의 몇몇 상부 단일층의 Si-Si 결합이 Si-N 결합으로 대체되도록 선택되는 것이 바람직한데, 특히 기판 표면 대략 10Å 미만이 질화산소 실리콘으로 변화되도록 하는 것이 바람직하고, 더욱 바람직하게는 평균적으로 대략 2Å 내지 5Å 정도 형성되는 것이다. 프로세스 파라미터는 기판의 몇몇 상부 단일층과의 질소 결합을 넘어서 벌크 내부로 상당 정도의 질소가 확산되지 않도록 설정된다. 벌크 기판은 대략 1% 원자밀도보다 적게 질소를 함유하는 것이 바람직하다. F 또는 Cl 처리 측면에서 알려진 바와 같이, 활성화 물질 처리(110)는 후속 고-k 유전체 형성(120)을 인 시츄로 수행할 수 있어, 후속 증착과 동일한 범위의 온도가 활성화 물질 처리(110)에 채용될 수 있다. 상기 주어진 온도에서, 압력, 원격 플라즈마 출력, 프로세스 지속 시간 및 반응물 농도는 소망하는 벌크 내부로의 질소 침투 제한을 위해 적절히 조정될 수 있다.
활성화 물질 처리(110) 후, 게이트 유전체는 상기 처리된 표면 상부에 증착된다(120). 증착(120)은 또한 라디칼 물질 흐름을 포함한다; 그러나, 이러한 경우에 있어서, 활성화 물질 처리(110)로부터 제공되는 라디칼은 보통 증착(120)에 채용되어 제공되는 것과는 차이가 있다. 따라서, 활성화 물질 처리(110)로부터의 라디칼의 흐름은 증착(120) 전에 정지되는 것이 바람직하다.
바람직한 실시예에 따라, 증착(120)은 ALD 형 증착을 포함하는데, 여기서 교번 펄스는 표면을 포화시키고, 각 주기는 유전체 물질의 대략 1단일층 정도 남긴다. 하기의 예들에서, 알루미늄 소스 가스 또는 지르코늄 소스 가스는 산화 알루미늄(Al2O3) 및 산화 지르코늄(ZrO2)을 형성하도록 산소 소스 가스와 교대된다. 당업자라면 유사한 방법이 산화 하프늄(HfO2), 산화 탄탈륨(Ta2O5), 바륨 스트론튬 티타네이트(BST) 또는 스트론튬 비스무스 탄탈레이트(SBT)와 같은 다른 고k 물질에 채용될 수 있다는 것을 인식할 것이다.
ALD 증착의 제1펄스는 활성화된 표면 처리(110)가 남긴 마감처리부와 반응한다. 또는, 증착 전에 다른 표면 처리를 더 제공할 수도 있다. 예를 들어, 수 처리는 활성화 물질 처리(110) 후 표면과 더 잘 반응할 수 있어, 후속 ALD 프로세스들 과 잘 반응하는 수산기-마감처리된 표면을 남긴다.
일 실시예에서, 활성화 물질 처리(110) 전 또는 후 어느 하나에 있어서, 실리콘 웨이퍼는 ALD 프로세스를 위해 설계되었으며, (핀란드 에스푸의 ASM 마이크로케미스트리로부터 입수가능한) 펄사 2000 반응기의 반응 영역 내에 적재되었다. 반응 영역은 기계적 진공 펌프를 이용하여 진공상태로 만들었다. 진공상태로 만든 후 반응 영역의 압력은 순도 99.9999%의 흐르는 불활성 가스, 예를 들어 헬륨, 아르곤 또는 질소 가스로 대략 5-10 mbar가 되게 조정하였다. 그 후 반응 영역은 300℃에서 안정화되었다. 외부 소스로부터 기화된 (CH3)3Al 및 H2O의 교번 기상 펄스는 상기 반응 영역 내로 인입되어 기판 표면에 접촉된다. 소스 화학 펄스는 흐르는 질소 가스와 상호 분리되어 있다.
각 펄스 주기는 4가지 기본 단계로 이루어져 있다:
● (CH3)3Al 펄스
● N2 세정
● H2O 펄스
● N2 세정
대표적인 산화 알루미늄(Al2O3) 증착 주기는 표 1에 요약되어 있다.
[표 1](Al2O3)
반응물 온도(℃) 압력(mbar) 시간(sec)
펄스 1 TMA 300 5-10 0.2
세정 1 -- 300 5-10 1.1
펄스 2 H2O 300 5-10 1.5
세정 2 -- 300 5-10 3.0

주기의 주는 층의 두께를 결정한다. (CH3)3Al와 H2O로부터 Al2O 3로의 성장율은 보통 300℃에서 0.1㎚/주기나 1Å/주기, 또는 대략 3-4 주기/1단일층(Al2O3는 대략 3Å의 벌크 격자 파라미터를 가짐) 정도이다. 각 TMA 펄스에 의해 남겨진 메틸 마감처리는 사용가능한 많은 화학흡착 장소를 감소시켜, 완전한 1단일층보다 적게 각 펄스로 형성한다. 펄스 주기는 소망하는 층 두께를 생성할 수 있는 충분한 회수로 반복된다. 산화 알루미늄은 게이트 유전체 또는 다른 유전체 층을 형성하기 전 얇은 층으로 사용될 수 있다.
다른 배치에서, ZrO2는 ALD형 공정에 의해 증착된다. ZrCl4 증기는 반응 챔버로 인입되어 웨이퍼 표면에 1.5초 동안 노출된다. 이것을 펄스 A로 지칭한다. 반응 챔버는 질소 가스로 3.0초 동안 세정되어, 반응 챔버로부터 잉여 ZrCl4 및 부산물들을 제거한다. 이것을 세정 A로 지칭한다. 그 후 수증기가 반응 챔버로 인입되어 웨이퍼 표면에 3.0초 동안 노출된다. 이것을 펄스 B로 지칭한다. 잔여 H2O 및 반응 부산물은 반응 챔버를 4.0초 동안 세정함으로써 제거된다. 이것을 세정 B로 지칭한다. 각 반응 상태 동안, 반응물은 주어진 다른 파라미터에 대하여 표면을 포화시킬 수 있을 충분한 양으로 제공된다.
대표적인 고-k 증착 주기는 표 2에 요약되어 있다.
[표 2] (ZrO2)
반응물 온도(℃) 압력(mbar) 시간(sec)
펄스 A ZrCl4 300 5-10 1.5
세정 A -- 300 5-10 3.0
펄스 B H2O 300 5-10 3.0
세정 B -- 300 5-10 4.0

펄스 A, 세정 A, 펄스 B, 세정B로 구성된 표 2의 주기는 51 회 반복된다. 평균 증착율은 300℃에서 대략 0.59Å/주기로서, ZrO2 두께는 대략 30Å 정도이다.
더 일반적으로, ALD 공정 동안의 온도는 층 내부의 염소결합 가능 수준에 따라, 대략 200℃ 내지 500℃ 사이인 것이 바람직하다. 더 고온에서는 염소 물질은 침전된다. 너무 많은 염소는 전자 트래핑을 야기시킬 수 있다. 300℃에서, 염소 함유량은 대략 0.5% 정도 측정된다. 비정질 ZrO2층에 있어서, 온도는 이 범위의 저온한계값, 대략 200℃ 내지 250℃인 것이 더욱 바람직하고, 대략 225℃인 것이 가장 바람직하다. 결정질막에 있어서, 온도는 이 범위의 고온한계값, 대략 250℃ 내지 500℃인 것이 더욱 바람직하고, 대략 300℃인 것이 가장 바람직하다. 그러나, 당업자가 인식할 수 있는 바와 같이, 비정질 및 결정질 구조 혼합물은 이 두 영역의 경계에서 발생한다. 도시된 프로세스는 대부분이 결정질 ArO2인 막을 생산한다.
이 경우에 있어서, 금속 상태로 형성된 금속 1단일층은 염소로 자기-마감처리되어 있는데, 이것은 바람직한 조건 하에서 잉여 ZrCl4와 용이하게 반응하지 않는 다. 그러나, 바람직한 산소 소스 가스는 이전에 흡착된 염소 지르코늄 복합물의 제공으로 한정되는 리간드-교환 반응에서의 산소 상태 동안 염소-마감처리된 표면과 반응하거나 흡착된다. 게다가, 산화는 포화 상태에서 과잉 산화제와 더 이상 반응하지 않는 수산기 및 산소교차 마감처리를 남긴다.
바람직하게, 충분한 주기는 대략 20Å 내지 60Å의 ZrO2가 성장하도록 수행된다. 더욱 바람직하게, 충분한 주기는 대략 20Å 내지 40Å의 ZrO2가 성장하도록 수행된다. 이 층의 유전상수는 대략 18 내지 24이다. 도시된 예에서, 30Å의 Zr2O3가 형성되었다.
활성화 물질 처리(125)는 유전체 형성(120) 다음에 수행된다. 유전체가 종래의 산화 실리콘을 포함하는지 또는 고k 물질(대략 4보다 큰 유전상수 또는 k값을 가짐)을 포함하는지에 무관하게, 활성화 물질 처리(125)는 유전체 표면 상부에 폴리실리콘 또는 폴리-SiGe 결정핵생성을 도와준다. 본 발명은 또한 SiGeC 및 질화 실리콘 또는 CVD에 의해 고k 물질 상부에 증착되는 Al2O3 층의 결정핵생성을 도와줄 수 있다. 전술한 처리는 또한 고k 물질 및 밑에 놓인 기판이 후속 프로세싱 동안 분해되지 않도록 보호해 주는 부가적인 장점도 있는데, 이는 하기의 도 4A 및 도 4B를 참조하여 상세히 기술될 것이다.
ALD에 선행하는 활성화 물질 처리(110)와 유사하게, 실리콘 또는 폴리-SiGe 증착 전의 처리(125)는 후속 증착을 촉진시키기 위해 기판의 표면 마감처리를 변경시킨다. 바람직하게, 처리(125)는 활성화 물질이 표면결합을 깨고 새로운 결합을 형성할 수 있을 정도로 충분한 활성화 에너지를 주도록 조정되는데, 이 때 프로세스 파라미터는 기판의 식각 또는 게이트 유전체의 벌크 물질에의 활성화 물질의 상당 정도의 확산을 막을 수 있을 정도로 낮은 에너지 수준을 유지하도록 조정된다. 게다가, 눈에 띄는 정도의 증착은 일어나지 않는다. 기껏해야, 잔여 1단일층이 활성화 물질 처리(125)에 의해 남겨지게 된다. 그러나, 프로세스는 금속-산소 결합을 깨고 금속-질소 결합으로 대체함으로써, 고k 산소의 최상부 몇몇 1단일층을 질화시키도록 조정될 수 있다. 바람직하게, 온도는 대략 실내 온도 내지 800℃ 정도로 유지된다.
활성화 물질 처리(125)는 전술의 유전체 증착(120)과 동일 챔버에서 수행될 수 있으나, 후속 전극 형성(130)과 동일 챔버에서 수행되는 것이 더욱 바람직하다. 따라서, 처리(125) 동안의 온도는 폴리실리콘 또는 폴리-SiGe 증착 조건과 적어도 초기 단계에서는 일치되는 것이 바람직하고, 나머지 파라미터들(압력, 반응물 농도, 플라즈마 출력, 프로세스 지속 시간)은 최소한의 벌크 효과를 갖는 소망하는 표면 변경을 갖도록 최적화된다. 도시된 실시예에서, 후속 전극 형성(130)는 CVD에 의한 폴리실리콘 또는 폴리-SiGe 증착을 포함하는데, 처리(125) 동안의 온도는 대략 300℃ 내지 800℃의 범위인 것이 더욱 바람직하고, 대략 500℃ 내지 700℃인 것이 가장 바람직하다.
일 실시예에서, 활성화 물질 처리(125)는 불소 또는 염소 라디칼에의 노출을 포함하는데, 상기 불소 또는 염소는 표면결합을 깨기에는 충분하나, 바람직한 고k 표면을 식각시키기에는 불충분하게 공급된다. 바람직한 원격 플라즈마 발생기(60, 도 2 참조)로 제공되는 가스 소스는 NF3, F2, B2F6, Cl2 , CF4 등을 포함한다. 아르곤, 헬륨 또는 다른 불활성 가스 또한 글로방전(glow discharge) 형성을 돕기 위해 흘릴 수 있으나, 반응 챔버 압력은 원격 플라즈마 장치의 동작을 유지하기 위해 대략 10 Torr 이하로 유지하는 것이 바람직하다.
바람직하게, 프로세스 파라미터는 상당한 벌크 변형 없이 표면결합을 깰 수 있을 정도로 충분하게 조정된다. 게이트 전극의 인 시츄 증착(130)의 경우에 있어서, 기판 온도는 동일 챔버 내부에서 후속 증착에 일치하도록 설정되는 것이 바람직하다. 상기 주어진 온도에서, 압력, 원격 플라즈마 출력, 프로세스 지속 시간 및 반응물 농도는 소망하는 표면 조건을 달성하기 위해 적절히 조정된다.
다른 실시예에서, 암모니아(NH3) 또는 질소 가스(N2)와 같은 질소 소스는 표면결합을 깨고 금속-질소 결합을 생성하도록 기판에 공급될 수 있다. N2는 특히 프로세스 중의 수소 물질을 최소화하는 질소 소스로 특히 바람직하다. 아르곤, 헬륨 또는 다른 불활성 가스 또한 글로방전(glow discharge) 형성을 돕기 위해 흘릴 수 있으나, 반응 챔버 압력은 원격 플라즈마 장치의 동작을 유지하기 위해 대략 10 Torr 이하로 유지하는 것이 바람직하다.
아는 바와 같이, 프로세스(125)는 상당 정도의 증착 또는 증가된 두께로 귀결되는 것은 아니다. 프로세스 파라미터는 기판의 몇몇 상부 고k 유전체 1단일층의 금속-산소결합이 금속-질소 결합으로 대체되어 금속 질화산소를 형성하도록 선택되는 것이 바람직하다. 특히, 고k 유전체의 활성화 물질 처리(125)는 유전체 표면 대 략 10Å 이하가 질화산소 실리콘으로 변화되도록 하는 것이 바람직하고, 더욱 바람직하게는 평균적으로 대략 2Å 내지 5Å 정도 형성되는 것이다. 동시에, 본 프로세스에서의 에너지 수준은 유전체의 몇몇 상부 1단일층과의 질소 결합을 넘어서 벌크 유전체 내부로 상당 정도의 질소가 확산되지 않도록 유지된다. 벌크 유전체는 10Å 깊이에 대략 1% 원자밀도 미만의 질소를 함유하는 것이 바람직하다.
다음으로, 게이트 전극은 상기 처리된 게이트 유전체 상부에 증착된다(130). 이전의 표면 처리(125)와 동일한 챔버에서, 인 시츄 도핑되는 것이 바람직하다. 게이트 전극은 실리콘을 함유하고 있는 것이 바람직하고, CVD 증착되는 것이 바람직하다. 여기서 기술된 예에 있어서, 게이트 전극은 CVD 실리콘 게르마늄 합금, 바람직하게는 Si1-xGex의 형식을 갖는 실리콘 게르마늄 합금을 포함하는데, 이것은 상기 처리된 게이트 유전체 상부에 실리콘 소스(도시된 예에서는 SiH4) 및 게르마늄 소스(도시된 예에서는 게르만 또는 GeH4)를 흘려줌으로써 증착된다. 그러나, 이전의 원격 플라즈마 처리(125)는 또한 다른 결정핵생성에 민감한 증착 공정에도 유용하다.
단순함을 위해, 실리콘 게르마늄 층은 더 일반적으로 폴리-SiGe로 지칭될 것이다. 바람직하게, 폴리-SiGe 증착(130)은 동일 챔버 내부에서 활성화 물질 표면 처리(125) 뒤에 즉시 후속된다. 또한, 초기의 실리콘 시드층은 폴리-SiGe 전에 증착될 수 있다. 바람직하게, 폴리실리콘 또는 폴리-SiGe 증착 중 어느 하나는 대기조건 또는 대기조건에 거의 유사한 조건 하에서 수행된다.
아는 바와 같이, 폴리-SiGe 증착은 화학기상증착 프로세스에서 실리콘 소스 가스, 게르마늄 소스 가스 및 캐리어 가스를 흘려주는 것을 포함한다. 도시된 실시예에서, 실리콘 소스 가스는 모노실란(SiH4)을 포함하고, 게르마늄 소스 가스는 희석된 게르만(불활성 가스에서 1.5% GeH4)을 포함하고, 캐리어 가스는 질소(N2)를 포함한다. 실란 유량은 50sccm 내지 500sccm일 수 있고, 더욱 바람직하게는 대략 100sccm 내지 400sccm이다. 희석된 게르만(도시된 예에서는 1.5% 게르만)은 50sccm 내지 5,000sccm의 유량으로 제공되는 것이 바람직하고, 더욱 바람직하게는 대략 100sccm 내지 1,000sccm인 것이다. 상기 캐리어 유량은 5slm 내지 50slm인 것이 바람직하고 대략 20slm인 것이 더욱 바람직하다.
도시된 실시예에서, 플라즈마 또는 다른 추가적인 에너지 소스의 도움 없이도, 증착 동안의 온도는 대략 500℃ 내지 800℃ 사이인 것이 바람직하고, 대략 550℃ 내지 650℃ 사이인 것이 더욱 바람직하며, 대략 600±15℃인 것이 가장 바람직하다. 이 범위의 저온한계값에서 폴리-SiGe 증착은 대량생산하기에는 너무 느리다. 한편, 이 범위의 고온한계값에서 층 내부에의 게르마늄 결합은 감소되고 표면 조도는 증가된다. 디실란 및 트리실란과 같은 다른 실리콘 소스 가스의 경우에 있어서는, 증착 온도는 적어도 초기에는 300℃ 정도로 낮출 수 있으며, 500℃ 내지 700℃ 범위인 것이 바람직하다. 바람직하게, 이러한 높은 차수의 실란은 낮은 수소:실리콘 비를 보여주고 있고, 이로 인해 표면을 통한 수소 확산 및 고k 물질의 금속으로의 결과적 환원의 위험성이 감소된다.
폴리-SiGe 증착은 대략 500 Torr 보다 큰 압력에서 수행되는 것이 바람직하고, 대략 700 Torr보다 큰 압력이 더욱 바람직하며, 대략 대기압(760 Torr)에서 수행되는 것이 가장 바람직하다. 가스 유동으로 야기되는 다소의 압력차(pressure diffrentials)는 무시할 수 있다. 당업자에 의해 인식될 수 있는 바와 같이, 대기증착은 선구체에서 증착된 폴리-SiGe로 변환되는 효율성이 떨어진다. 그러나, 발명자는 대기압 하에서의 증착이 운용상의 효율성에는 많은 장점을 갖고 있다는 것을 발견했다.
폴리-SiGe층 내의 게르마늄 물질은 대략 10% 내지 80% 사이에 있는 것이 바람직하고, 대략 20% 내지 50% 사이에 있는 것이 더욱 바람직하다. 층의 총 두께는 500Å 내지 1,500Å 사이에 있는 것이 바람직하고, 대략 500Å 내지 1,000Å 사이에 있는 것이 더욱 바람직하다.
H2 캐리어 가스를 사용하는 폴리-SiGe 증착의 대표적인 방법은, 대기압 및 600℃에서, 대략 500sccm의 1.5% 게르만, 100sccm의 실란 및 20slm의 캐리어 가스의 유량을 포함한다. 당업자라면 이러한 조건은 N2 캐리어 가스의 효율성을 위해 별개로 최적화되어야 한다는 것을 인식할 것이다. 이러한 유동은 대략 18% 내지 20% 사이의 게르마늄 함유량을 갖는 실리콘 게르마늄층을 생성하게 된다. 동일한 캐리어 및 실란 유동에서, 4,500 sccm의 희석된 게르만 실란은 대략 50%의 게르마늄 함유량을 생성하게 된다.
폴리-SiGe 증착(130)은 캡층(cap layer) 증착 직전에 수행되는 것이 가장 바 람직하다. 캡층은 실리콘을 포함하는 것이 바람직하고, 비정질 실리콘을 포함하는 것이 가장 바람직하다. 상대적으로 얇은 캡층(예를 들어, 대략 100Å)은 SiGe 층 내의 게르마늄의 산화 위험성을 최소화하기 위해 채용될 수 있다. 한편, 캡층은 또한 많은 게이트 스택 설계에 따라, 다음 규화물화(silicidation)를 위한 희생층(sacrificial layer)으로 기능할 수도 있다. 이 경우에 있어서, 캡층은 더 얇고, 대략 1,000Å 내지 2,500Å 사이인 것이 바람직하다. 비정질 실리콘 캡은 캡층 상부에 금속층 형성 전에 할 수 있다. 이 금속층은 표준 규화물화 반응에서, 밑에 놓인 실리콘과 위에 놓인 금속이 반응하도록 어닐링될 수 있다. 도시하지는 않았으나, 유전체 캡층은 또한 전술한 게이트 스택의 전도층 상부에 증착될 수 있다.
게이트 스택이 완성되면, 게이트 전극은 종래의 사진석판 기술 및 식각에 의해 패턴화되는 것이 바람직하다. 다른 배치에 있어서, 본 기술 분야에서 잘 알려진 바와 같이, 게이트 전극은 금속층 증착 전에 패턴화될 수 있고, 금속은 자기-정렬 규화물화에서 채용될 수 있다.
게이트 스택을 완성한 후, 집적 회로를 완성하는 다음 과정을 수행한다. 예를 들어, 게이트 스택은 일반적으로 유전체의 브랭킷 증착 및 스페이서 식각에 의해 절연된다. 트랜지스터 활성 영역은 패턴화된 전극의 한측면에 소스 및 드레인 영역을 형성하기 위해 도핑되고, 배선 또는 "후단(back end)" 프로세스로 회로를 완성한다.
바람직하게, 활성화 물질 처리(110, ALD 전 과정) 및 처리(125, 폴리-SiGe 증착 전 과정)는 상기 처리된 표면 상부에의 증착에 도움을 준다. 제1예에 있어서, 활성화 물질 처리(110)는 ALD 반응물이 흡착에 도움을 주고, 이러한 장점은 비반응성 기판 상부에 ALD를 하고자 하는 다양한 다른 경우에 결부될 수 있음을 인식할 수 있을 것이다.
제2예에 있어서, 표면 처리(125)는 빠른 배양을 가능하게 해주어, 전체적으로 품질이 좋은 층을 제공해 주는 것은 물론 도시된 폴리-SiGe층과 같이 위에 놓인 실리콘 함유층의 증착 시간을 빠르게 해 준다. (활성화 물질 처리(125) 없이) 인 시츄 게르마늄-도핑된 실리콘층의 직접 증착은 증착과 함께 밑에 놓인 게이트 유전체를 식각하는 경향이 있어, 배양 시간을 증가시킨다. 당업자에 의해 인식될 수 있는 바와 같이, 증가된 배양 시간 및 이로 인한 총 증착 시간의 증가는 낮은 웨이퍼 처리량으로 귀결되고, 이것은 경쟁이 심한 반도체 제조 산업에서 상업적으로 생존가능한 것과 생존불가능한 것 사이의 차이를 의미할 수 있다. 나아가, 더 나은 배양은 또한 높은 품질의 층을 의미한다. 당업자라면 본 개시의 관점에서, 도핑되지 않은 실리콘 또는 인, 비소 또는 붕소로 인 시츄 도핑된 폴리실리콘 또한 개량된 배양 시간을 경험하게 될 것임을 인식할 수 있을 것이다.
게이트 전극 형성 전에, 고k 유전체 상면의 원격 플라즈마 질화는 부가적인 장점을 갖고 있다. 그 상부에 증착되어 있는 실리콘 함유 층의 향상된 결정핵생성에 더하여, 표면 처리는 또한 후속 증착 동안 고k 물질의 분해를 감소시킨다. 활성화 물질 처리에 의해 형성된 얇은 질화물 또는 산화질화물은 고k 물질의 환원을 방해하는 것으로 알려져 있다. 이러한 환원, 즉 금속 산화물 대신 전도성 금속을 남기게 되는 환원은 그렇지 않다면 게이트 전극 증착, 특히 증착 방법이 수소 물질 및/또는 고온을 채용하는 증착으로부터 발생할 수 있다. 나아가, 고k층을 통한 산소 확산은 또한 바람직한 활성화 물질 처리(110,125)에 의해 영향을 받는 최소한의 질화에 의해 방지될 수 있다.
도 4a 및 도 4b는 이러한 유전체 스택에 결합되어 있는 트랜지스터 게이트를 보여준다. 특히, 반도체 기판(200)은 그 위에 형성된 트랜지스터 게이트 스택(210)을 가지고 있다. 도시된 실시예에서, 당업자라면 상기 기판이 다른 반도체 물질을 역시 포함할 수 있다는 것을 인식할 수 있음에도 불구하고, 기판(200)은 단결정 실리콘 웨이퍼의 상부 일부를 포함한다.
게이트 스택(210)은 종래 방법으로 전극(220)을 보호 및 절연하는 절연층(240) 및 측벽 스페이서(230)를 가진 폴리-SiGe 전극 층(220)을 포함한다. 또한, 통상 금속을 포함하고 있으며 폴리-SiGe(220) 상부에 배치된 더 나은 전도성을 가진 스트래핑 층(250)을 보여주고 있다. 스트랩(250)은 게이트와 로직 회로를 연결하여 웨이퍼를 가로지르는 트랜지스터 게이트 사이의 빠른 신호 전파에 도움을 준다. 다른 배치에 있어서, 게이트 전극은 인 시츄 도핑된 폴리실리콘 층을 포함할 수 있다.
전술한 대표적인 프로세스에 의해 형성된 게이트 유전체(260)는 게이트 전극(220)을 기판(200)으로부터 분리시킨다. 상기의 배경기술 부분에서 알 수 있듯이, 게이트 유전체(260)는 더 조밀하고 더 빠른 회로의 추구에 있어서는 결정적인 특성이다.
도 4b의 확대도에서 볼 수 있듯이, 바람직한 게이트 유전체(260)는 밑에 놓 인 기판(200)과 벌크 유전체층(264) 사이의 경계면(262) 및 벌크 유전체층(264)과 위에 놓인 게이트 전극(220) 사이의 경계면(266)을 포함한다. 도시된 실시예에서의 경계면(262, 266)은 증착층을 나타내는 것은 아니다; 그것보다는 상기 경계면들은 그 위 층의 증착 전에 다소 변형되어 있다. 다른 배치에 있어서, 플라즈마 처리는 부가적인 층을 남긴다. 도시된 실시예에서 있어서, 원격 플라즈마는 ALD 직전에 제1경계면(262)을 변형하는 라디칼을 제공하는데, 이 때 표면 변형은 ALD 반응물의 흡착에 도움을 준다. 원격 플라즈마는 또한 폴리실리콘 및 특히 천연 폴리실리콘 및 CVD에 의한 폴리-SiGe 증착과 같은 실리콘 함유 층의 인 시츄 증착 직전에 제2경계면(266)을 변형하는 라디칼을 제공하는데, 이 때 표면 변형은 폴리-SiGe의 결정핵생성에 도움을 준다. 원결 플라즈마 질화의 경우에 있어서, 하부경계면(262)은 기판(200) 내부로 대략 10Å보다 적게 연장되는 것이 바람직한 기판(200)의 질화된 부분을 포함하는데, 대략 3Å 내지 5Å을 포함하는 것이 더욱 바람직하다. 이 경계면(262) 밑의 벌크 기판은 대략 1원자% 미만의 질소를 함유하는 것이 바람직하다. 상부 경계면(266)은 게이트 전극(220) 하부의 10Å 거리에 이와 유사하게 낮은 질소 퍼센트(바람직하게는 10원자%보다 적은 것이 바람직함)를 나타내는 것이 바람직하다.
당업자는 본 발명의 범위를 벗어나지 않으면서 상기 기술된 방법에 다양한 생략, 부가 및 변형을 가할 수 있고, 이러한 모든 변형 및 변경은 첨부된 청구항에 의해 정의된 본 발명의 범위 내에 포함되는 것임을 잘 인식할 것이다. 예를 들어, ALD 및 CVD 전에 표면 처리하는 것과 관련하여 설명하였으나, 당업자라면 또한 MOCVD 및 JVD를 포함하나 이에 한정되지는 않는 다른 증착 전에 라디칼 표면 처리의 적용을 찾을 수 있을 것이다.
본 발명은 일반적으로 반도체 제조에 있어서 막증착 전 표면 처리방법에 관한 것으로서, 후속 원자층 증착(ALD) 공정에서 흡착에 도움을 주거나, 또는 수반되는 화학기상증착 공정에서 결정핵생성에 도움을 준다.

Claims (25)

  1. 부분적으로 제조된 집적 회로의 표면에 막을 증착하는 방법에 있어서,
    플라즈마 생성물에 상기 표면을 노출시키고, 그것에 의하여 상기 표면 하부의 벌크 특성에 크게 영향을 미치지 않으면서 상기 표면의 말단을 변형하는 단계와;
    상기 표면 말단의 변형 후 그 상부에 실리콘 함유층을 증착하는 단계를 포함하고,
    상기 표면은 트랜지스터 게이트 유전층의 상부 표면을 포함하고, 상기 실리콘 함유층은 다결정 실리콘 게르마늄 합금(폴리-SiGe)을 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서,
    상기 실리콘 함유층을 증착하는 단계는 결정핵생성 민감 공정을 포함하는 것을 특징으로 하는 방법.
  3. 제2항에 있어서,
    결정핵생성 민감 증착은 전기적으로 전도성이 있는 상기 실리콘 함유 층의 화학기상증착을 포함하는 것을 특징으로 하는 방법.
  4. 삭제
  5. 제1항에 있어서,
    상기 게이트 유전층과 폴리-SiGe층 사이 계면의 게르마늄 함량은 20% 내지 50%인 것을 특징으로 하는 방법.
  6. 제1항에 있어서,
    상기 게이트 유전층은 고유전물질을 포함하는 것을 특징으로 하는 방법.
  7. 제1항에 있어서,
    실리콘 게르마늄층을 증착하는 것은 실리콘 소스 가스와 게르만(germane)을 동시에 흘려주는 것을 특징으로 하는 방법.
  8. 부분적으로 제조된 집적 회로의 표면에 막을 증착하는 방법에 있어서,
    플라즈마 생성물에 상기 표면을 노출시키고, 그것에 의하여 상기 표면 하부의 벌크 특성에 크게 영향을 미치지 않으면서 상기 표면의 말단을 변형하는 단계와;
    상기 표면 말단의 변형 후 그 상부에 하나의 층을 증착하는 단계를 포함하고,
    상기 하나의 층을 증착하는 단계는 흡착 유도 공정을 포함하는 것을 특징으로 하는 방법.
  9. 제8항에 있어서,
    상기 흡착유도 공정은 원자층증착(ALD)를 포함하는 것을 특징으로 하는 방법.
  10. 제9항에 있어서,
    상기 ALD는 질화 규소보다 높은 유전율을 갖는 산화물을 증착하는 것을 포함하는 것을 특징으로 하는 방법.
  11. 제10항에 있어서,
    상기 산화물은 산화 알루미늄, 산화 지르코늄, 산화 하프늄, 산화 탄탈륨, 바륨 스트론튬 티타네이트 및 스트론튬 비스무스 탄탈레이트로 구성된 군에서 선택되는 것을 특징으로 하는 방법.
  12. 제1항에 있어서,
    상기 노출은 원격 플라즈마 소스에서 상기 표면에 라디칼의 흐름을 제공하는 것을 포함하는 것을 특징으로 하는 방법.
  13. 제12항에 있어서,
    상기 라디칼의 흐름은 증착 전에 정지되는 것을 특징으로 하는 방법.
  14. 제1항에 있어서,
    상기 노출은 상기 표면 하부에 놓인 물질의 벌크 특성에 영향을 주지 않는 것을 특징으로 하는 방법.
  15. 제14항에 있어서,
    상기 플라즈마 생성물은 질소 활성화 물질을 포함하고, 상기 표면은 반도체 기판 상부에 위치하며, 상기 벌크 기판은 1원자% 미만의 질소를 포함하는 것을 특징으로 하는 방법.
  16. 제14항에 있어서,
    상기 플라즈마 생성물은 질소 활성화 물질을 포함하고, 상기 표면은 4보다 큰 유전율을 가진 게이트 유전체 상부에 위치하며, 상기 게이트 유전체는 상기 표면으로부터 10Å에서 10원자% 미만의 질소를 포함하는 것을 특징으로 하는 방법.
  17. 제1항에 있어서,
    상기 노출은 1원자 단일층 이하의 층을 증착하는 것을 특징으로 하는 방법.
  18. 제17항에 있어서,
    상기 노출은 상기 표면으로부터 10Å 이하로 산화 금속을 산화질소 금속으로 변화시키는 것을 특징으로 하는 방법.
  19. 트랜지스터 게이트 스택을 형성하는 방법에 있어서,
    반도체 기판 상에 게이트 유전체를 형성하는 단계와;
    상기 게이트 유전체를 질소 활성화 물질의 소스에 노출시키는 단계와;
    상기 게이트 유전체를 상기 질소 활성화 물질의 소스에 노출시킨 후 상기 게이트 유전체 상에 실리콘 함유 게이트 전극을 증착시키는 단계를 포함하고,
    상기 노출은 상기 게이트 유전체의 상부 표면으로부터 10Å 이상의 깊이에 10원자% 미만의 질소를 결합시키는 것을 특징으로 하는 방법.
  20. 제19항에 있어서,
    상기 게이트 유전체는 산화 알루미늄, 산화 지르코늄, 산화 하프늄, 산화 탄탈륨, 바륨 스트론튬 티타네이트 및 스트론튬 비스무스 탄탈레이트로 구성된 군 중에서 선택된 물질을 포함하는 것을 특징으로 하는 방법.
  21. 제20항에 있어서,
    상기 게이트 유전체는 산화 지르코늄을 포함하는 것을 특징으로 하는 방법.
  22. 제19항에 있어서,
    상기 게이트 유전체를 형성하기 전에 상기 반도체 기판 표면을 질소 활성화 물질의 소스에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  23. 제22항에 있어서,
    상기 반도체 기판의 표면을 노출시키는 단계는 10Å미만의 산화질소 실리콘을 형성하는 것을 특징으로 하는 방법.
  24. 제23항에 있어서,
    상기 게이트 유전체를 형성하는 것은 원자층 증착을 포함하는 것을 특징으로 하는 방법.
  25. 제19항에 있어서,
    상기 실리콘 함유 게이트 전극을 증착하는 단계는 화학기상증착에 의해 실리콘-게르마늄층을 증착하는 것을 포함하는 것을 특징으로 하는 방법.
KR1020037006994A 2000-11-24 2001-11-19 증착 전 표면 처리 방법 KR100856473B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US25369300P 2000-11-24 2000-11-24
US60/253,693 2000-11-24
US28358401P 2001-04-13 2001-04-13
US60/283,584 2001-04-13
US09/944,734 US6613695B2 (en) 2000-11-24 2001-08-31 Surface preparation prior to deposition
US09/944,734 2001-08-31
PCT/US2001/044006 WO2002043115A2 (en) 2000-11-24 2001-11-19 Surface preparation prior to deposition

Publications (2)

Publication Number Publication Date
KR20030051873A KR20030051873A (ko) 2003-06-25
KR100856473B1 true KR100856473B1 (ko) 2008-09-04

Family

ID=27400713

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037006994A KR100856473B1 (ko) 2000-11-24 2001-11-19 증착 전 표면 처리 방법

Country Status (7)

Country Link
US (4) US6613695B2 (ko)
EP (1) EP1344247A2 (ko)
JP (1) JP4281082B2 (ko)
KR (1) KR100856473B1 (ko)
AU (1) AU2002230471A1 (ko)
TW (1) TW522484B (ko)
WO (1) WO2002043115A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150048084A (ko) * 2013-10-25 2015-05-06 램 리써치 코포레이션 기판 표면들 상에 유동성 유전체 디포지션 처리
US11270896B2 (en) 2015-11-16 2022-03-08 Lam Research Corporation Apparatus for UV flowable dielectric

Families Citing this family (628)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
DE10130936B4 (de) * 2001-06-27 2004-04-29 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100775175B1 (ko) * 2001-10-31 2007-11-12 동경 엘렉트론 주식회사 높은 어스펙트비의 반도체장치 에칭방법
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6787481B2 (en) * 2002-02-28 2004-09-07 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) * 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
JP2003282873A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置およびその製造方法
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
JP2004006819A (ja) * 2002-04-26 2004-01-08 Nec Electronics Corp 半導体装置の製造方法
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
JP2003347229A (ja) * 2002-05-31 2003-12-05 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20040009680A1 (en) * 2002-07-10 2004-01-15 Applied Materials, Inc. Seedless method of forming a silicon germanium layer on a gate dielectric layer
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
JP2006501651A (ja) * 2002-09-27 2006-01-12 東京エレクトロン株式会社 High−k誘電材料をエッチングするための方法及びシステム
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP2006505127A (ja) * 2002-10-29 2006-02-09 エーエスエム インターナショナル エヌ.ヴェー. 酸素架橋構造及び方法
US6686212B1 (en) * 2002-10-31 2004-02-03 Sharp Laboratories Of America, Inc. Method to deposit a stacked high-κ gate dielectric for CMOS applications
NZ539459A (en) * 2002-11-08 2009-02-28 Kirin Pharma Kk Transgenic ungulates having reduced prion protein activity and uses thereof
US7556048B2 (en) * 2002-11-15 2009-07-07 Agere Systems Inc. In-situ removal of surface impurities prior to arsenic-doped polysilicon deposition in the fabrication of a heterojunction bipolar transistor
US20040099889A1 (en) * 2002-11-27 2004-05-27 Agere Systems, Inc. Process for fabricating a semiconductor device having an insulating layer formed over a semiconductor substrate
TW569313B (en) * 2002-11-28 2004-01-01 Univ Nat Chiao Tung Method for improving properties of high k materials by CF4 plasma pre-treatment
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7122414B2 (en) * 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
JP2004343031A (ja) * 2002-12-03 2004-12-02 Advanced Lcd Technologies Development Center Co Ltd 誘電体膜およびその形成方法ならびに誘電体膜を用いた半導体装置およびその製造方法
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US6709911B1 (en) * 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
JP3776889B2 (ja) * 2003-02-07 2006-05-17 株式会社東芝 半導体装置およびその製造方法
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7005160B2 (en) * 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
KR100524197B1 (ko) * 2003-04-29 2005-10-27 삼성전자주식회사 매엽식 반도체 소자 제조장치 및 이를 이용한 게이트 전극및 콘택 전극의 연속 형성방법
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
US7906441B2 (en) 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
US7101435B2 (en) * 2003-05-30 2006-09-05 Micron Technology, Inc. Methods for epitaxial silicon growth
US7164182B2 (en) * 2003-07-07 2007-01-16 Micron Technology, Inc. Pixel with strained silicon layer for improving carrier mobility and blue response in imagers
KR20060054387A (ko) * 2003-08-04 2006-05-22 에이에스엠 아메리카, 인코포레이티드 증착 전 게르마늄 표면 처리 방법
JPWO2005013374A1 (ja) * 2003-08-05 2006-09-28 富士通株式会社 半導体装置および半導体装置の製造方法
US7258895B2 (en) 2003-08-06 2007-08-21 Micron Technology, Inc. Methods of forming material on a substrate, and a method of forming a field effect transistor gate oxide on a substrate
US7052546B1 (en) * 2003-08-28 2006-05-30 Cape Simulations, Inc. High-purity crystal growth
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US6924239B2 (en) * 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
JP2007511902A (ja) * 2003-10-29 2007-05-10 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7055263B2 (en) 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US6998317B2 (en) * 2003-12-18 2006-02-14 Sharp Laboratories Of America, Inc. Method of making a non-volatile memory using a plasma oxidized high-k charge-trapping layer
US7203001B2 (en) * 2003-12-19 2007-04-10 Nanoopto Corporation Optical retarders and related devices and systems
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US7037816B2 (en) * 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US7142375B2 (en) * 2004-02-12 2006-11-28 Nanoopto Corporation Films for optical use and methods of making such films
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7041596B1 (en) 2004-04-08 2006-05-09 Novellus Systems, Inc. Surface treatment using iodine plasma to improve metal deposition
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628860B2 (en) 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7670758B2 (en) * 2004-04-15 2010-03-02 Api Nanofabrication And Research Corporation Optical films and methods of making the same
US20050275944A1 (en) * 2004-06-11 2005-12-15 Wang Jian J Optical films and methods of making the same
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
FI117247B (fi) * 2004-06-24 2006-08-15 Beneq Oy Materiaalin seostaminen selektiivisesti
US20060001969A1 (en) * 2004-07-02 2006-01-05 Nanoopto Corporation Gratings, related optical devices and systems, and methods of making such gratings
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4353371B2 (ja) * 2004-08-06 2009-10-28 株式会社トリケミカル研究所 膜形成方法
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US20060060930A1 (en) * 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
DE102004049246A1 (de) * 2004-10-01 2006-04-06 Atmel Germany Gmbh Lateraler DMOS-Transistor und Verfahren zu seiner Herstellung
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7442267B1 (en) 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7619816B2 (en) * 2004-12-15 2009-11-17 Api Nanofabrication And Research Corp. Structures for polarization and beam control
US20060127830A1 (en) * 2004-12-15 2006-06-15 Xuegong Deng Structures for polarization and beam control
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
EP1693889B1 (en) 2005-02-16 2017-05-24 Imec Method to enhance the initiation of film growth
US7927933B2 (en) 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
KR100706243B1 (ko) * 2005-02-22 2007-04-11 삼성전자주식회사 질화 텅스텐 증착 장치 및 증착 방법
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7524769B2 (en) * 2005-03-31 2009-04-28 Tokyo Electron Limited Method and system for removing an oxide from a substrate
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
KR100718839B1 (ko) * 2005-08-31 2007-05-16 삼성전자주식회사 박막 제조 방법 및 이를 이용한 커패시터의 제조 방법
US7538001B2 (en) * 2005-09-01 2009-05-26 Micron Technology, Inc. Transistor gate forming methods and integrated circuits
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7618902B2 (en) * 2005-11-30 2009-11-17 Freescale Semiconductor, Inc. Plasma treatment of a semiconductor surface for enhanced nucleation of a metal-containing layer
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US20070165308A1 (en) * 2005-12-15 2007-07-19 Jian Wang Optical retarders and methods of making the same
US20070139771A1 (en) * 2005-12-15 2007-06-21 Jian Wang Optical retarders and methods of making the same
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7410593B2 (en) * 2006-02-22 2008-08-12 Macronix International Co., Ltd. Plasma etching methods using nitrogen memory species for sustaining glow discharge
US20070217008A1 (en) * 2006-03-17 2007-09-20 Wang Jian J Polarizer films and methods of making the same
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP5543203B2 (ja) * 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
KR101060633B1 (ko) 2006-07-20 2011-08-31 신에쓰 가가꾸 고교 가부시끼가이샤 반도체 디바이스의 제조 방법 및 기판 처리 장치
CN103981568A (zh) 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7432548B2 (en) 2006-08-31 2008-10-07 Micron Technology, Inc. Silicon lanthanide oxynitride films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7838364B2 (en) 2006-09-29 2010-11-23 Hynix Semiconductor Inc. Semiconductor device with bulb-type recessed channel and method for fabricating the same
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080153271A1 (en) * 2006-12-18 2008-06-26 Applied Materials, Inc. Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
US20080191633A1 (en) * 2007-02-12 2008-08-14 Chi-Shih Lai Serial light-emitting light structure
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
JP4735601B2 (ja) * 2007-05-14 2011-07-27 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
US7759237B2 (en) 2007-06-28 2010-07-20 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US7851307B2 (en) * 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
KR20090033788A (ko) * 2007-10-01 2009-04-06 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법과 기판 처리 장치
KR101376336B1 (ko) 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US20090211604A1 (en) * 2008-02-22 2009-08-27 Tokyo Electron Limited System and Method For Removing Edge-Bead Material
US20090211603A1 (en) * 2008-02-22 2009-08-27 Tokyo Electron Limited System and Method For Removing Post-Etch Residue
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8507040B2 (en) * 2008-05-08 2013-08-13 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8765223B2 (en) * 2008-05-08 2014-07-01 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
KR20100030768A (ko) * 2008-09-11 2010-03-19 삼성전자주식회사 보호막 후면에 차광막을 갖는 시모스 이미지 센서 및 그 제조 방법
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8524616B2 (en) * 2008-11-12 2013-09-03 Microchip Technology Incorporated Method of nonstoichiometric CVD dielectric film surface passivation for film roughness control
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US9318571B2 (en) * 2009-02-23 2016-04-19 United Microelectronics Corp. Gate structure and method for trimming spacers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758633B1 (en) 2009-07-28 2014-06-24 Clemson University Dielectric spectrometers with planar nanofluidic channels
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US7994070B1 (en) * 2010-09-30 2011-08-09 Tokyo Electron Limited Low-temperature dielectric film formation by chemical vapor deposition
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8846146B2 (en) 2010-11-01 2014-09-30 The Board Of Trustees Of The University Of Illinois Smoothing agents to enhance nucleation density in thin film chemical vapor deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8389352B2 (en) 2011-02-11 2013-03-05 International Business Machines Corporation Silicon germanium film formation method and structure
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8633114B2 (en) 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8633119B2 (en) * 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
TWI452167B (zh) * 2011-06-09 2014-09-11 Air Prod & Chem 二元及三元金屬硫族化合物材料及其製造與使用方法
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8455292B2 (en) * 2011-09-09 2013-06-04 International Business Machines Corporation Deposition of germanium film
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
JP5953531B2 (ja) * 2012-05-09 2016-07-20 株式会社Joled 薄膜製造方法および表示パネルの製造方法、tft基板の製造方法
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
DE102012219667A1 (de) * 2012-10-26 2014-04-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zum Aufbringen einer Aluminiumoxidschicht auf ein Halbleitersubstrat
US8821985B2 (en) * 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US20140273525A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
TWI487022B (zh) * 2013-04-18 2015-06-01 Univ Tohoku Method of treating inner wall of fine pores
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9378941B2 (en) * 2013-10-02 2016-06-28 Applied Materials, Inc. Interface treatment of semiconductor surfaces with high density low energy plasma
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9224594B2 (en) 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245793B2 (en) 2013-12-19 2016-01-26 Intermolecular, Inc. Plasma treatment of low-K surface to improve barrier deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR101663958B1 (ko) 2014-12-08 2016-10-12 삼성전자주식회사 자기 메모리 소자의 제조방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6887655B2 (ja) * 2015-03-06 2021-06-16 国立研究開発法人物質・材料研究機構 ビスマス系誘電体用電極及びキャパシタ
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10177185B2 (en) 2015-05-07 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6608332B2 (ja) 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6731798B2 (ja) * 2016-06-24 2020-07-29 東京エレクトロン株式会社 基板処理装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9984870B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Combined reactive gas species for high-mobility channel passivation
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102271771B1 (ko) 2017-05-25 2021-07-01 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11584986B1 (en) 2017-11-01 2023-02-21 The Board Of Trustees Of The University Of Illinois Area selective CVD of metallic films using precursor gases and inhibitors
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN113972126A (zh) * 2020-07-22 2022-01-25 北京屹唐半导体科技股份有限公司 使用氧加工工件
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5990013A (en) * 1996-12-04 1999-11-23 France Telecom Process for treating a semiconductor substrate comprising a surface-treatment step

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2394930A (en) 1943-07-03 1946-02-12 Eastman Kodak Co Formation of mirrors by thermal evaporation
US3895127A (en) 1974-04-19 1975-07-15 Rca Corp Method of selectively depositing glass on semiconductor devices
US4056642A (en) 1976-05-14 1977-11-01 Data General Corporation Method of fabricating metal-semiconductor interfaces
DE2904171A1 (de) 1979-02-05 1980-08-14 Siemens Ag Verfahren zum herstellen von aus amorphem silizium bestehenden halbleiterkoerpern durch glimmentladung
US4343830A (en) 1980-11-13 1982-08-10 Motorola, Inc. Method for improving the efficiency of solar cells having imperfections
JPS5821324A (ja) 1981-07-30 1983-02-08 Agency Of Ind Science & Technol 水素添加した半導体薄膜成長用金属表面基板の前処理方法
US4544571A (en) 1984-02-13 1985-10-01 Pennwalt Corporation Method of manufacture of EMI/RFI vapor deposited composite shielding panel
JPS60254621A (ja) 1984-05-31 1985-12-16 Matsushita Electric Ind Co Ltd 薄膜形成方法
FR2571542B1 (fr) 1984-10-09 1987-01-23 Labo Electronique Physique Procede de realisation d'un dispositif semiconducteur incluant l'action de plasma
US4766006A (en) 1986-05-15 1988-08-23 Varian Associates, Inc. Low pressure chemical vapor deposition of metal silicide
DE4034842A1 (de) 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
US5281546A (en) * 1992-09-02 1994-01-25 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the intrinsic silicon/doped layer interface
JPH06251896A (ja) 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
US5451975A (en) 1993-02-17 1995-09-19 Space Systems/Loral, Inc. Furlable solid surface reflector
DE69405438T2 (de) 1993-03-24 1998-04-02 At & T Corp Verfahren zur Bildung dielektrischer Oxynitridschichten bei der Herstellung integrierter Schaltungen
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
KR100207467B1 (ko) 1996-02-29 1999-07-15 윤종용 반도체 장치의 커패시터 제조 방법
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
US5950107A (en) * 1996-12-17 1999-09-07 Intel Corporation In-situ pre-ILD deposition treatment to improve ILD to metal adhesion
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6200866B1 (en) * 1998-02-23 2001-03-13 Sharp Laboratories Of America, Inc. Use of silicon germanium and other alloys as the replacement gate for the fabrication of MOSFET
US6184110B1 (en) * 1998-04-30 2001-02-06 Sharp Laboratories Of America, Inc. Method of forming nitrogen implanted ultrathin gate oxide for dual gate CMOS devices
US6727148B1 (en) * 1998-06-30 2004-04-27 Lam Research Corporation ULSI MOS with high dielectric constant gate insulator
TW419732B (en) * 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
JP3616514B2 (ja) * 1998-11-17 2005-02-02 株式会社東芝 半導体集積回路及びその製造方法
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6649543B1 (en) * 2000-06-22 2003-11-18 Micron Technology, Inc. Methods of forming silicon nitride, methods of forming transistor devices, and transistor devices
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6933246B2 (en) * 2002-06-14 2005-08-23 Trikon Technologies Limited Dielectric film
JP2004165634A (ja) * 2002-08-15 2004-06-10 Interuniv Micro Electronica Centrum Vzw Ald表面処理のためのプラズマ処理
US6787453B2 (en) * 2002-12-23 2004-09-07 Intel Corporation Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
WO2004114398A1 (en) 2003-06-13 2004-12-29 Applied Materials, Inc. Integration of ald tantalum nitride for copper metallization
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5990013A (en) * 1996-12-04 1999-11-23 France Telecom Process for treating a semiconductor substrate comprising a surface-treatment step

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150048084A (ko) * 2013-10-25 2015-05-06 램 리써치 코포레이션 기판 표면들 상에 유동성 유전체 디포지션 처리
KR102345229B1 (ko) * 2013-10-25 2021-12-29 램 리써치 코포레이션 기판 표면들 상에 유동성 유전체 디포지션 처리
US11270896B2 (en) 2015-11-16 2022-03-08 Lam Research Corporation Apparatus for UV flowable dielectric

Also Published As

Publication number Publication date
TW522484B (en) 2003-03-01
US20060205230A1 (en) 2006-09-14
US6613695B2 (en) 2003-09-02
JP4281082B2 (ja) 2009-06-17
WO2002043115A8 (en) 2003-10-30
KR20030051873A (ko) 2003-06-25
US7476627B2 (en) 2009-01-13
JP2004523885A (ja) 2004-08-05
WO2002043115A2 (en) 2002-05-30
US20040121620A1 (en) 2004-06-24
AU2002230471A1 (en) 2002-06-03
US6958277B2 (en) 2005-10-25
EP1344247A2 (en) 2003-09-17
US20020098627A1 (en) 2002-07-25
US20040147101A1 (en) 2004-07-29
US7056835B2 (en) 2006-06-06
WO2002043115A3 (en) 2002-08-22

Similar Documents

Publication Publication Date Title
KR100856473B1 (ko) 증착 전 표면 처리 방법
US7790556B2 (en) Integration of high k gate dielectric
US7202166B2 (en) Surface preparation prior to deposition on germanium
US6960537B2 (en) Incorporation of nitrogen into high k dielectric film
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers
US20060211259A1 (en) Silicon oxide cap over high dielectric constant films
JP2001237243A (ja) インシチュ誘電体スタックの製造方法及びそのプロセス
KR100944831B1 (ko) 반도체 장치의 제조 방법 및 성막 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120802

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150729

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180730

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190729

Year of fee payment: 12