JP2001237243A - インシチュ誘電体スタックの製造方法及びそのプロセス - Google Patents

インシチュ誘電体スタックの製造方法及びそのプロセス

Info

Publication number
JP2001237243A
JP2001237243A JP2000388529A JP2000388529A JP2001237243A JP 2001237243 A JP2001237243 A JP 2001237243A JP 2000388529 A JP2000388529 A JP 2000388529A JP 2000388529 A JP2000388529 A JP 2000388529A JP 2001237243 A JP2001237243 A JP 2001237243A
Authority
JP
Japan
Prior art keywords
substrate
chamber
temperature
depositing
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000388529A
Other languages
English (en)
Inventor
Ivo Raaijmakers
ラーイマカース イボ
J Werukuhoben Christan
ジェイ. ウェルクホベン クリスタン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of JP2001237243A publication Critical patent/JP2001237243A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/60Electrodes characterised by their materials
    • H10D64/66Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
    • H10D64/68Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator
    • H10D64/681Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator having a compositional variation, e.g. multilayered
    • H10D64/685Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator having a compositional variation, e.g. multilayered being perpendicular to the channel plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/60Electrodes characterised by their materials
    • H10D64/66Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
    • H10D64/68Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator
    • H10D64/693Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator the insulator comprising nitrogen, e.g. nitrides, oxynitrides or nitrogen-doped materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 高品質の誘電体層を形成する有効な方法を提
供すること。 【解決手段】 多数の逐次プロセスは、枚葉式ウェーハ
処理チャンバ内でインシチュ(in situ)状態で
実行される。このチャンバは、シングル・パス形式の層
状のガス流を示し、安全で清浄な逐次処理を容易にす
る。さらに、遠隔プラズマ供給源はプロセス・ウィンド
ウを広げ、等温逐次処理を可能にし、それによって、イ
ンシチュステップ間で温度ランプを行うための移行時間
が短縮される。例示的なプロセスでは、極めて薄い界面
ケイ素酸化物、窒化物、および/または酸窒化物を成長
させ、その後、インシチュ状態で窒化ケイ素を付着させ
る。清浄化、アニール、および電極付着もインシチュ状
態で行うことができ、したがって移行時間が短縮される
が、それに伴って反応速度が損なわれることはない。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般に、集積回路
内に誘電体スタックを形成するための方法およびそのプ
ロセスに関し、詳細には、誘電体スタックを形成する際
のインシチュ(in situ)処理に関する。
【0002】
【従来の技術】従来から、半導体基板上の集積回路など
非常に微細な寸法の構造を作り出すために、反応器と呼
ばれる高温炉が使用されている。反応チャンバ内のウェ
ーハ支持体上には、シリコン・ウェーハなど、1枚また
は複数の基板を配置する。ウェーハと支持体の両方を所
望の温度に加熱する。典型的なウェーハ処理ステップで
は、加熱したウェーハ上に反応ガスを通し、ウェーハ上
に薄層を化学気相成長(CVD)させる。得られる層を
確実に高品質にするために、様々なプロセス条件、特に
温度の均一性と反応ガスの分布を注意深く制御しなけれ
ばならない。
【0003】開始時の基板およびその後形成される層
は、付着、ドーピング、フォトリソグラフィ、およびエ
ッチングという一連のステップを通して集積回路へと変
わるが、このとき単一の基板は、ウェーハのサイズおよ
び回路の複雑さに応じて何十から何千の、または何百万
もの集積デバイスを生成する。
【0004】半導体工業では、多数のウェーハの同時処
理が可能になるように従来からバッチ式処理装置が使用
されており、その結果、ウェーハ当たりの処理時間およ
びコストが節約される。しかし、小型化とそれに伴う回
路密度の向上によって、半導体処理の際の不完全さに対
する許容範囲が狭められてきた。したがって、付着条件
の制御を改善するために、枚葉式ウェーハ処理反応器が
開発されている。
【0005】枚葉式ウェーハ処理によって、プロセス・
パラメータの中でもとりわけウェーハ全面にわたる温度
とガス流の分布が著しく改善された。しかしプロセス制
御に優れている代わりに、処理時間は、バッチ式システ
ムよりもさらにクリティカルなものとなった。処理時間
に加えられるどのようなわずかな「時間」でも、同じ枚
葉式処理チャンバ内で逐次1枚ずつ処理されるウェーハ
の数の分だけ乗ぜられたものとなるはずである。より大
きいウェーハ(例えば200mm〜300mmのウェー
ハ)になると、単一のウェーハ上により多くのチップを
実装することによってスループットが改善されるが、各
ウェーハにかかる費用が増加するので、それに相応して
歩留りに関する許容度が低下する。逆に、ウェーハのス
ループットおよび/または歩留りのどのような改善によ
っても、製作コストを大幅に節約することができるよう
になる。
【0006】プロセス制御が特にクリティカルな一領域
は、トランジスタのゲート誘電体の製作である。半導体
の設計は、これまで以上に高速の、より効率的な回路を
求め、各製品世代ごとに縮小し続けている。トランジス
タのスイッチング時間は、より高速の回路動作を求める
に際して大きな役割を果たしている。スイッチング時間
は、トランジスタのチャネル長を短くすることによって
短縮することができる。トランジスタの性能を最大限に
向上させるため、垂直方向の寸法は、水平方向の寸法と
共に変更するべきである。それに応じて有効なゲート誘
電体の厚さ、接合深さなどは、後世の集積回路では全て
減少することになる。
【0007】従来のゲート誘電体は、高品質の二酸化ケ
イ素で形成され、一般に「ゲート酸化物」層と呼ばれ
る。しかし、超薄型ゲート酸化物(例えば5nm未満)
は、ピンホール、電荷捕獲状態、ホット・キャリア注入
効果が生じ易いという性質を含む、欠陥密度の高い状態
を示すことが見出された。このように欠陥密度が高い
と、ゲート誘電体内に漏れ電流が生じ、デバイスの早期
絶縁破壊につながって、ゲート・スペーシングが0.2
5μm未満の回路設計、すなわちサブクウォータミクロ
ン・テクノロジー(sub−quarter−micr
on technology)を受け入れることができ
ない。
【0008】欠陥密度を制御するために、実験室条件下
でのケアを使用することができるが、このような制御
は、商用量の製作条件下で実現するのが困難である。さ
らに、酸化物の整合性が完全に維持されるとしても、量
子機械的効果によってゲート酸化物のスケーリングには
基本的な制限が加えられる。電界が強いと、ファウラー
・ノルドハイム(Fowler−Nordheim)ト
ンネルよりも、直接的なトンネルが主に生じ、これが、
主として酸化物のスケーリング限界を決定する。これら
のスケーリング限界は、論理回路の場合約2nmと推定
され、ダイナミックRAM(DRAM)回路内の、より
リークに敏感なメモリ・アレイの場合は約3nmと推定
される。例えばHu他の、「Thin Gate Ox
idesPromise High Reliabil
ity」、SEMICONDUCTOR INTERN
ATIONAL(1998年、7月)、pp.215〜
222を参照されたい。
【0009】理論的には、より高い誘電率の材料をゲー
ト誘電体に組み入れることによって、デバイスに対して
さらにスケーリングを行う道が開かれる。誘電率がより
高いので、例えば窒化ケイ素層は、より薄い二酸化ケイ
素層と同じ容量を示すことができ、したがって、トンネ
ルによって制限される挙動を示すことなく、より厚さの
薄い等価な酸化物を実現することができる。窒化ケイ素
の別の利点は、その拡散バリア特性であり、ホウ素の浸
透が妨げられる。
【0010】一方、窒化ケイ素は、界面捕獲状態など、
酸化物に比べてより高い欠陥密度を示すことが見出され
た。酸化物および窒化物の個々の欠点に対する1つの解
決策とは、ハイブリッド層を生成することである。酸窒
化ケイ素誘電体層を形成するために酸化物に窒素を組み
入れると、ゲート誘電体の品質が改善されることが見出
された。例えばLeonarduzzi&Kwong
の、「Improving Performance
with Oxynitride Gate Diel
ectrics」、SEMICONDUCTOR IN
TERNATIONAL(1998年、7月)、pp.
225〜230を参照されたい。しかしこの解決策によ
れば誘電特性が損なわれるようになり、全てが窒化ケイ
素であるゲート誘電体に比べてより低い有効誘電率を示
す。
【0011】しかし、薄い酸化物層上に窒化ケイ素を形
成することによって、ゲート誘電体の等価な酸化物の全
体的な厚さが相当に薄くなった状態で、欠陥密度が減少
することが見出された。例えば、Kim他の「Ultr
a Thin(<3nm)High Quality
Nitride/Oxide Stack GateD
ielectrics Fabricated by
In−Situ Rapid Thermal Pro
cessing」、IEDM 97(1997)、p
p.463〜466を参照されたい。
【0012】窒化物/酸化物二重層および酸窒化物ゲー
ト誘電体構造は理論的な研究において有望であることが
証明されたが、商用として実行可能なプロセス・フロー
に組み込むことはより困難であった。従来の処理技術で
は、超薄型ゲート誘電体に必要とされる高品質の欠陥の
ない層を、依然として満足のいくように許容される歩留
りで実現しなければならない。さらに、ゲート誘電体ス
タックを形成するための多数の処理ステップの複雑さが
増すと、ウェーハのスループットが著しく低下し、それ
によって製作コストが増加する。
【0013】同様の集積およびコスト問題が、高品質の
薄い誘電体層が望まれる別の領域にも影響を及ぼしてい
る。メモリ・アレイ中に集積されたキャパシタは、適正
なデータ記憶および検索のために、ある一定の最小限の
容量を示さなければならない。集積回路の世代が進むた
びににメモリ・セル当たりのチップ面積または「フット
プリント(footprint)」が縮小するにつれ、フットプ
リントの単位当たりの必要とされる容量が増大した。所
与のセルのフットプリントに関するキャパシタの電極表
面積を増大させることによって容量を増大させるため、
多くの複雑なフォールディング構造が提案された。しば
しばこれらの構造は極めて複雑な製作ステップを必要と
し、処理コストがかなり増大する。
【0014】所与のメモリ・セル空間に関する容量を増
大させるその他の努力は、高誘電率(kの値が高い材料
(high k materials))を特徴とする
材料の使用に対して集中的になされてきた。チタン酸バ
リウムストロンチウム(BST)やタンタル酸ストロン
チウムビスマス(SBT)、酸化タンタル(Ta25
などの、ある一定の金属酸化物は、高誘電率(k)を示
し、したがって容量が増大するという望みがある。しか
し、高誘電率材料は相対的に不安定であり、欠陥密度が
高くなる傾向があり、従来の電極上の自然酸化膜と組み
合わせた高誘電率材料の利益が減少するので、このよう
な材料を現行のプロセス・フローに組み込むことは非常
に難しい問題であった。
【0015】
【発明が解決しようとする課題】したがって、高品質の
誘電体層を形成する有効な方法が必要である。望ましく
はこのような方法は、枚葉式処理システムおよびサブク
ウォータミクロン・テクノロジーに適合し、従来の技法
に比べてより高い歩留りおよびスループットを示すべき
である。
【0016】
【課題を解決するための手段】本発明の一態様によれ
ば、集積されたトランジスタにゲート誘電体スタックを
形成するための方法が提供される。この方法は、半導体
構造を有する基板を枚葉式基板処理チャンバ内に装入す
ることを含む。チャンバ内では、この半導体構造と反応
する第1のガスにさらすことによって、半導体構造の表
面に第1の酸化物層を成長させる。同じチャンバ内で、
熱酸化物層上に窒化ケイ素層を付着させる。
【0017】本発明の別の態様によれば、集積されたト
ランジスタ用にゲート誘電体スタックを形成するための
方法が提供される。枚葉式基板処理チャンバ内で、半導
体基板から第1の誘電体層を成長させる。処理チャンバ
から基板を取り出さずに、この第1の誘電体層上に第2
の誘電体層を付着させる。
【0018】本発明の別の態様によれば、半導体基板上
に集積されたトランジスタ・ゲートを形成するための方
法が記述される。この方法は、第1の温度で基板から酸
化物層を成長させることを含む。次いで第2の温度で酸
化物層上に窒化ケイ素層を付着させるが、この第2の温
度は第1の温度の約±50℃の範囲内である。
【0019】本発明の別の態様によれば、集積回路を部
分的に製作するために、枚葉式チャンバ内で順次処理す
るための方法が提供される。この方法は、複数の処理ス
テップと、この複数のステップを同じチャンバ内で基板
上で実行する順序を選択することを含む。温度は、複数
のステップの中からの基本プロセスに関して選択され
る。基板は、1枚がチャンバ内に装入される。基本プロ
セスは、基板上で実行される。プラズマを離して発生さ
せ、複数のステップのうち、選択された順序の基本プロ
セスの直前または直後の少なくとも1ステップ中に、励
起した化学種を基板に与える。
【0020】本発明の別の態様によれば、複数のインシ
チュ集積回路製作ステップを実行するための方法が記述
される。この方法は、プロセス・チャンバ内に基板を取
り付けることを含む。離して発生させたプラズマ生成物
は、第1の基板処理ステップで、取り付けられた基板に
供給される。第1のステップは第1の温度で実行する。
次いで第1の基板処理ステップを、チャンバから基板を
取り出さずに、かつプラズマ生成物を離して発生させる
ことなく、第1の温度の約±50℃の範囲内の第2の温
度で実行する。
【0021】本発明の、これらおよびその他の態様は、
以下の記述および添付図面から、当業者に容易に明らか
にされよう。
【0022】
【発明の実施の形態】本発明の好ましい実施形態につい
て以下に図面を参照して説明する。
【0023】以下に詳細に述べるように本発明の開示に
よれば、集積回路を製作する方法であって、多数の処理
ステップをインシチュ状態で、すなわちステップ間でプ
ロセス・チャンバから基板を取り出さずに多数の処理ス
テップを実行する方法が提供される。本明細書で使用す
る「インシチュ(in situ)」は、同じプロセス
・チャンバ内で行われる逐次的なプロセスを指し、クラ
スタ・プラットフォーム環境下で多数のチャンバ内で行
われる逐次的なプロセスを包含することを意味しない。
一般にインシチュ逐次処理は、処理時間を短縮し、制御
されたプロセス・チャンバ環境外の微粒子および酸素に
ウェーハがさらされるのを最小限に抑えるのに望ましい
ものである。
【0024】以前述べたように、枚葉式ウェーハ処理
は、バッチ式処理システムに比べて基板のスループット
の減少をかなり犠牲にすることによって優れたプロセス
制御を実現する。一方インシチュ逐次処理は、高純度と
厳密なプロセス制御という他ならぬ目的を共に実現する
傾向がある。
【0025】従来の動作条件下では、枚葉式基板処理チ
ャンバはプロセス間で規則的に清浄にされ、特に付着ス
テップの後に清浄にされる。例えばシランの形(例えば
シラン、ジクロロシランまたはDCS、トリクロロシラ
ンまたはTCS)を含む付着プロセスは、一般にチャン
バ表面にかなりの残渣を残す。表面が冷たいと反応物の
凝縮を招き、表面が熱いと反応物の分解を引き起こす。
どちらの供給源からの残渣もプロセス・チャンバの壁を
被覆し、フレーキングおよび不均一処理条件からの微粒
子による汚染の原因になる。例えばこの残渣は放射加熱
ランプからのエネルギーを吸収し、チャンバ内のヒー
タ、熱センサ、および構成要素の配置の関係の微妙なバ
ランスを乱す。したがって付着プロセス終了後、チャン
バから基板を取り出し、腐食性の蒸気エッチング剤を導
入してチャンバ表面を清浄にし、その後、次の基板を装
入し、その意図される状態に処理環境を維持する。
【0026】当然ながらこのような強力なエッチング清
浄化ステップは、基板がチャンバ内に取り付けられたま
まの状態では行うことができず、したがってインシチュ
プロセス・フローのステップ間では行うことができな
い。基板を取り出すことなくチャンバを多数の製作プロ
セスにさらすことによって、1つのプロセスからの残渣
は次のプロセスまでチャンバ内に残る。さらに、基板と
チャンバの両方は、多数の反応物であってそのいくつか
は化学的に相互に相容れないものである反応物に、連続
してさらされる。第1のプロセスの反応物または副生成
物が第2のプロセスの反応物または副生成物に出遭う
と、チャンバおよび引き続き処理されるウェーハは、不
純物にさらされる可能性がある。さらに、これらの反応
によって、ウェーハ全面にわたって温度が均一であるな
どの処理条件に悪影響を及ぼす可能性があり、それによ
って、エッチングであろうと付着であろうと、あるいは
単なるアニールであろうと、基板処理の均一性に影響を
及ぼす。
【0027】しかし本発明者等は、プロセス条件を適切
に制御することによって、インシチュ処理の悪影響が回
避できると決定した。以下に開示するプロセスは、汚
染、および逐次的なプロセス間での移行時間の両方を最
小限に抑え、枚葉式ウェーハ処理に関する歩留りとウェ
ーハのスループットの両方を著しく向上させる。
【0028】反応物の流れを1回通すことにより、開示
されるインシチュ逐次処理では層流が特に有利であるこ
とが見出された。好ましい反応器について、以下に最初
に記述する。
【0029】(好ましい反応器)好ましい実施形態を、
枚葉式水平流コールド・ウォール反応器に関して提示す
るが、本発明のある態様は、その他のタイプの反応器に
も利用されることが理解されよう。例示する枚葉式水平
流の設計では、反応ガスを滞留時間の短い層流にするこ
とができ、それによって、反応物の互いの相互作用およ
びチャンバ表面との相互作用を最小限に抑えながら、逐
次処理することが容易になる。したがって、他の利点の
中でも特にそのような層流によって、互いに反応する可
能性がある反応物を逐次流すことが可能になる。回避さ
れる反応には、酸素および水素を有する反応物によって
生じるような発熱または爆発反応と、微粒子によるチャ
ンバの汚染を生じさせる反応が含まれる。しかし当業者
なら、ある逐次プロセスの場合にはこれらの目的を達成
するために、十分なパージ時間によって相容れない反応
物の除去を可能にすることを条件として、その他の反応
器の設計も提供できることを理解するであろう。
【0030】図1は、好ましい実施形態によって構成さ
れた石英プロセスまたは反応チャンバ12を含む化学気
相成長(CVD)反応器10を示し、そのため本明細書
に開示する方法が特に有用なものである。当初は、単一
の基板上にケイ素を一度にエピタキシャル付着させるの
を最適にするように設計されたが、本発明者等は、優れ
た処理制御が、いくつかの異なる材料のCVDに対して
有用性があることを見出した。さらに、図示する反応器
10は、以下に論じる好ましいプロセスの考察から明ら
かなように、同じチャンバ12内で安全にかつ清浄に、
酸化、窒化、および様々なアニール処理ステップを行う
ことができる。反応器10の基本的な構成は、アリゾナ
州フィーニクス(Phoenix)のエーエスエム ア
メリカインコーポレイテッド(ASM Americ
a,Inc.)からEpsilon(R)という商標で市
販されているものである。
【0031】チャンバ12の外側には複数の放射加熱源
が支持されており、このチャンバ12には、石英チャン
バ12の壁に明らかに吸収されることなく熱エネルギー
が供給される。好ましい実施形態を、半導体ウェーハを
処理するための「コールド・ウォール」CVD反応器に
関して記述するが、本明細書に記述する処理方法は、誘
導加熱や抵抗加熱で使用されるものなどその他の加熱/
冷却システムに関して有用であることが理解されよう。
【0032】図示する放射加熱源は、細長い管タイプの
放射加熱要素13である上部加熱アセンブリを含む。上
部加熱要素13は、間隔を置いて並列に配置され、ま
た、下に在る反応チャンバ12内の反応ガスの流路と実
質的に並行に配置されることが好ましい。下部加熱アセ
ンブリは、反応チャンバ12の下に、同様の細長い管タ
イプの放射加熱要素14を含んでおり、これは上部加熱
要素13を横切るように方向付けられることが好まし
い。放射熱の一部は、上部ランプ13の上と下部ランプ
14の下にそれぞれ配置されている粗い鏡面反射板(図
示せず)によって、チャンバ12内に拡散しながら反射
することが望ましい。さらに、複数のスポット・ランプ
15によって、一点に集められた熱が基板支持構造(以
下に記述する)の下側に供給され、反応チャンバ12の
底部を延びる低温支持構造によって生み出されたヒート
・シンク効果を弱める。
【0033】細長い管タイプの加熱要素13、14のそ
れぞれは、ヨウ素などのハロゲン・ガスが入っている、
透明な石英外囲器を有する高輝度タングステン・フィラ
メントランプであることが好ましい。このようなランプ
は、認め得るほどに吸収することなく反応チャンバ12
の壁を通して伝達される、フル・スペクトルの放射熱エ
ネルギーを生成する。半導体処理装置の技術分野で知ら
れているように、様々なランプ13、14、15のパワ
ーは、温度センサに応答して独立に、またはグループ分
けされたゾーン内で制御することができる。
【0034】好ましくはシリコン・ウェーハ16を含む
基板は、反応チャンバ12内で基板支持構造18上に支
持されている状態が示されている。示される実施形態の
基板は単結晶シリコン・ウェーハであるが、「基板」と
いう用語は、その上の層が付着される任意の表面を広く
指すと理解されることに留意されたい。さらに、汚染の
清浄および予防は、その他の基板上に層を付着させる際
にしばしば必要とされるが、この付着には、ガラスまた
はその他の基板上に光学的薄膜を付着することを含むが
これに限定されないものである。
【0035】ここに示す支持構造18は、その上にウェ
ーハ16が載る基板ホルダ20と、支持スパイダ22を
含む。スパイダ22は、チャンバの下部壁から下がって
いる管26を通って下方に延びる、シャフト24に取り
付けられている。管26は、処理中に流れることができ
るパージ・ガスまたはスイープ・ガスの供給源と連絡
し、プロセス・ガスがチャンバ12の下部セクションに
逃げないようにすることが好ましい。
【0036】複数の温度センサは、ウェーハ16に近接
して位置決めされている。温度センサは、光高温計や熱
電対など任意の様々な形をとることができる。温度セン
サの数および位置は、好ましい温度制御器に関する以下
の記述に照らして十分に理解されるように、温度の均一
性が高まるように選択される。しかし温度センサは、直
接または間接的に、ウェーハに近接する位置の温度を感
知することが好ましい。
【0037】ここに示す実施形態で、温度センサは、一
次または中央熱電対28を含んだ熱電対を含み、これは
任意の適切なやり方でウェーハ・ホルダ20の下に吊る
されている。示される中央熱電対28は、ウェーハ・ホ
ルダ20に近接するスパイダ22を通過する。反応器1
0はやはりウェーハ16に近接して、前縁または前部熱
電対29、後縁または後部熱電対30、および側部熱電
対(図示せず)含んだ複数の二次または周辺熱電対をさ
らに含む。周辺熱電対のそれぞれは、基板ホルダ20お
よびウェーハ16を取り囲むスリップ・リング32内に
収容される。中央熱電対および周辺熱電対のそれぞれは
温度制御器に接続され、この制御器が、熱電対の読みに
応じて様々な加熱要素13、14、15のパワーを設定
する。
【0038】スリップ・リング32は、周辺熱電対を収
容する他に高温処理中に放射熱を吸収しかつ放出し、し
たがって、ウェーハの縁部での熱の損失および吸収が大
きくなる傾向を補償するが、この現象は、このような縁
部に近い領域での表面積と体積の比が大きくなることが
原因で生じることが知られているものである。縁部での
損失を最小限に抑えることによって、スリップ・リング
32は、ウェーハ16の全面にわたって温度が半径方向
に不均一になるという危険性を低下させることができ
る。スリップ・リング32は、任意の適切な手段によっ
て吊るすことができる。例えば、示されるスリップ・リ
ング32は、前部チャンバ仕切板36および後部チャン
バ仕切板38から下げられたエルボ34上に載ってい
る。仕切板36、38は、石英で形成されることが望ま
しい。いくつかの配置構成では、後部仕切板38を省略
することができる。
【0039】示される反応チャンバ12は、反応ガスお
よびキャリア・ガスを注入するための入口40を含み、
ウェーハ16もその内部を通して受け取ることができ
る。出口42はチャンバ12の反対側にあり、ウェーハ
支持構造18は、入口40と出口42の間に位置決めさ
れる。
【0040】入口構成要素50は、反応チャンバ12に
取り付けられて入口40を取り囲むように適合され、そ
の内部を通してウェーハ16を挿入することができる水
平方向に細長いスロット52を含む。概して垂直な入口
54は、図2に関してより十分に記述されるように、離
れた供給源からガスを受け取り、そのガスをスロット5
2および入口40に伝える。入口54は、ホーキンス
(Hawkins)他に発行された米国特許第5,22
1,556号に記載されているように、または1996
年4月25日出願の米国特許出願第08/637,61
6号の図21〜26に関して記述されるように、ガス注
入器を含むことができるが、これらの開示を参照により
本明細書に組み込む。このような注入器は、枚葉式ウェ
ーハ反応器でのガス流の均一性が最大限になるように設
計されている。
【0041】出口構成要素56は、排気用開口58が出
口42と整合するように、かつ排気用コンジット59に
つながるように、プロセス・チャンバ12に同様に取り
付けられる。コンジット59は、チャンバ12を通して
プロセス・ガスを引き出すための適切な真空手段(図示
せず)と連絡させることができる。好ましい実施形態で
は、プロセス・ガスは反応チャンバ12およびダウンス
トリーム・スクラバ(図示する)を通して引き出され
る。チャンバ12を通してプロセス・ガスを引き出すの
を助け、低圧処理のためにチャンバを真空にするのを助
けるために、ポンプまたはファンを含むことが好まし
い。
【0042】好ましい反応器10は、励起した化学種の
供給源60も含み、これはチャンバ10から上流に位置
決めされることが好ましい。示される実施形態の励起種
供給源60は、ガス・ライン62に沿ってマグネトロン
・パワー発生器およびアプリケータ含んだ遠隔プラズマ
発生器を含む。例示的な遠隔プラズマ発生器は、ドイ
ツ、ミュンヘンのラピッド リアクティブ ラジカル
テクノロジー ゲーエムベーハー(Rapid Rea
ctive Radicals Technology
(R3T)GmbH)からTR−850という商標で市
販されている。示される実施形態では、マグネトロンか
らのマイクロ波エネルギーは、ガス・ライン62に沿っ
たアプリケータ内を流れるガスと結合する。前駆物質ガ
ス供給源63は、このガスが励起種発生器60に導入さ
れるようにガス・ライン62に結合している。キャリア
・ガス供給源64もガス・ライン62に結合している。
1つまたは複数の別の分岐ライン65も、追加の反応物
用に設けることができる。当技術分野で知られるよう
に、ガス供給源63、64は、反応物種の形および揮発
性に応じてガス・タンク、バブラーなどを含むことがで
きる。各ガス・ラインには、図示するように別個の質量
流量制御器(MFC)および弁を設けることができ、そ
れによって、発生器60に導入され、かつそこから反応
チャンバ12内に導入されるキャリア種および反応物種
の相対的な量を選択することが可能になる。
【0043】ウェーハは、ピックアップ装置によって、
周囲環境から隔離されたハンドリング・チャンバ(図示
せず)からスロット52を通して渡されることが好まし
い。ハンドリング・チャンバおよび処理チャンバ12
は、米国特許第4,828,224号に開示されている
タイプのゲート弁(図示せず)によって分離しているこ
とが好ましく、この開示を参照により本明細書に組み込
む。
【0044】例えば200nmウェーハを処理するため
に設計された枚葉式ウェーハ・プロセス・チャンバ12
の全体積容量は、約30リットル未満であることが好ま
しく、より好ましくは約20リットル未満であり、最も
好ましくは約10リットル未満である。示されるチャン
バ12は、容量が約7.5リットルである。しかし、示
されるチャンバ12は、仕切板32、38、ウェーハ・
ホルダ20、リング32、および管26から流れるパー
ジ・ガスによって分割されるので、その内部をプロセス
・ガスが流れる有効な体積は、全体積のおよそ半分であ
る(示される実施形態では約3.77リットル)。当然
ながら、枚葉式ウェーハ・プロセス・チャンバ12の体
積はウェーハのサイズに応じて異なってよいことが理解
され、このチャンバ12はそのウェーハを収容するよう
に設計される。例えば、示されているタイプの枚葉式ウ
ェーハ処理チャンバ12は、300mmウェーハ用の場
合、容量が約100リットル未満であることが好まし
く、より好ましくは約60リットル未満であり、最も好
ましくは約30リットル未満である。ある300mmウ
ェーハ処理チャンバは、全体積が約24リットルであ
り、有効な処理ガスの容量が約11.83リットルであ
る。
【0045】図2は、好ましい実施形態によるガス・ラ
インの概略を示す。反応器10には酸化剤(oxidi
zing agentまたはoxidant)の供給源
70が設けられている。酸化剤供給源70は、いくつか
の既知の酸化剤のいずれか、特にO2、NO、H2O、N
2O、HCOOH、HClO3などの揮発性酸化剤を含む
ことができる。以下に述べる実施例1〜3では、N2
またはNOを使用することが最も好ましい。実施例4
は、純粋な酸素ガス(O2)ならびにNOを使用し、し
たがって、プロセス・フロー中の異なる点で2種の酸化
剤供給源を使用する。酸化剤は、H2やN2などの不活性
キャリア・ガス流に導入することが好ましい。その他の
配置構成では、純粋な反応物の流れを使用することもで
きる。さらに他の配置構成では、酸素を含有するソース
・ガスを遠隔プラズマ発生器に供給して、酸化用の励起
した化学種をもたらすことができる。
【0046】酸化剤のパーセンテージの下限は、その意
図される使用と、酸化することができる時間とに応じて
異なるが、0.1%程度に低くすることができる。ここ
に示す、高品質ゲート誘電体用の酸化物層または酸窒化
物層の形成を含むプロセスの場合、酸化剤供給源70
は、酸化剤を少なくとも約1体積%含むべきである。酸
化剤供給源70は、少なくとも2%のNOを含むことが
より好ましい。酸化剤混合物70は、所与の条件での爆
発限界を超えることなくその限界に可能な限り近いこと
が最も好ましい。したがって、好ましい反応器条件での
酸化剤供給源は、希ガスを含む混合物中に約6%未満の
NOを含むことが好ましく、特に約1%〜5%の間でN
Oを含むことが好ましい。あるいは、最大100%純粋
な酸化剤をキャリア・ガスで希釈することができ、また
は、その他の安全なフィーチャと共に使用して、還元剤
との爆発性の相互作用の危険性を最小限に抑えることが
できる。
【0047】やはり図2に示すように、反応器10は、
水素ガス(H2)の供給源72をさらに含む。当技術分
野で知られるように、水素はその沸点が低く、非常に高
い純度で供給することができるので有用なキャリア・ガ
スでありかつパージ・ガスであり、ケイ素の付着に適合
する。以下の実施例2では、H2は高温水素ベークでも
使用され、それによって層形成の前に自然酸化膜を昇華
する。またH2は励起種発生器60内を流れて、同様の
表面を調製するためにHラジカルを発生させることもで
きる。
【0048】好ましい反応器10は、窒素ガス(N2
の供給源73も含む。当技術分野で知られるように、N
2は半導体製作において、キャリア・ガスまたはパージ
・ガスとしてH2の代わりにしばしば使用される。窒素
ガスは、比較的不活性であり、多くの集積された材料お
よびプロセス・フローに影響を与えない。その他の可能
なキャリア・ガスには、ヘリウム(He)やアルゴン
(Ar)などの希ガスが含まれる。
【0049】液体反応物供給源74も示す。液体供給源
74は、例えばバブラー内に液体ジクロロシラン(DC
S)、トリクロロシラン(TCS)、有機金属供給源を
含むことができ、かつ気相反応物に気泡を発生させ、バ
ブラーから反応チャンバ12まで運ぶためのガス・ライ
ンを含むことができる。以下の実施例4では、バブラー
は、金属供給源として液体Ta(OC255を保持
し、一方ガス・ラインは、液体金属供給源を通してH2
を泡立たせ、有機金属前駆物質を気状の形で反応チャン
バ12に輸送する役割をする。
【0050】反応器10は、ドーパント供給源(例えば
ここに示すホスフィン76、アルシン78、ジボラン8
0)などのその他のソース・ガスと、反応器の壁および
その多の内部構成要素(例えばHCl供給源82や励起
種発生器60により供給されるNF3/Cl2(図示せ
ず))を清浄にするためのエッチング剤も含むことが望
ましい。図示しないが、ドーピングまたはSiGe膜の
形成のために、ゲルマニウムの供給源(例えばゲルマン
またはGeH4)を提供することもできる。
【0051】さらに示すソース・ガスにはアンモニア
(NH3)供給源84が含まれ、これは、以下に述べる
実施例1〜4から明らかなように、CVDおよび窒化ア
ニールステップで有用な揮発性窒素供給源としての役割
をする。シラン供給源86も提供される。当技術分野で
知られるように、モノシラン(SiH4)、DCS、お
よびTCSを含むシランは、窒化ケイ素、金属ケイ化
物、外因性または内因性ケイ素(付着パラメータに応じ
て多結晶質、非晶質、またはエピタキシャル)を付着さ
せるようなCVDの適用分野では、一般的な揮発性ケイ
素供給源である。モノシランは、敏感なゲート誘電体構
造に塩素が組み込まれないようにするのに特に好まし
い。
【0052】ガス供給源のそれぞれは、ガス・ライン
と、それに付随して設けられている安全弁および制御
弁、ならびに質量流量制御器(「MFC」)を介して入
口54(図1)に接続することができるが、これらはガ
ス・パネルで協働するものである。プロセス・ガスは、
中央制御器にプログラムされた方向に従って入口54
(図1)に伝わり、注入器を通してプロセス・チャンバ
12に分配される。プロセス・チャンバ12を通過した
後、未反応のプロセス・ガスおよび気状の反応副生成物
をスクラバ88に排出して環境上危険な煙霧を凝縮し、
その後、大気中に排出する。
【0053】上記論じた従来のガス供給源および液体バ
ブラーに加え、好ましい反応器10は、反応チャンバ1
2から離して位置決めされた、またはそのチャンバの上
流に位置決めされた、励起種供給源60を含む。示され
る供給源60は、マイクロ波エネルギーとアプリケータ
内を流れるガスを結合させ、この場合ガスは反応物供給
源63からの反応体前駆物質を含んでいる。アプリケー
タ内でプラズマに点火し、励起した化学種がチャンバ1
2に向かって運ばれる。供給源60で発生した励起種の
うち、過度に反応性の高いイオン種が十分に再結合し、
その後、チャンバ12に入ることが好ましい。一方、
H、N、Oなどのラジカルは存在し続けてチャンバ12
に入り、適宜反応する。以下の一般的なプロセスの考察
から、また実施例5および6の例示的なラジカル増速プ
ロセスから明らかなように、遠隔プラズマ発生装置によ
る励起種は、層の品質をより高くするとともにウェーハ
のスループットもより高くするのに役立つ。
【0054】(インシチュ処理)図3は、本発明による
一般的なプロセス順序を示す。示すように、半導体構造
を含む単一の基板を清浄にして(100)、汚染物質お
よび自然に生じた酸化膜または自然酸化膜を除去する。
半導体構造は、とりわけエピタキシャル・ケイ素層また
はモノリシック・ケイ素層の上面を含むことができる。
従来、ゲート酸化物を成長させる前のウェーハの清浄
は、ウェーハをプロセス・チャンバ内に装入する前に行
われ、以下に述べる実施例1〜4および6は、そのよう
な従来のexsitu清浄を取り入れている。例えばウ
ェーハは、SCl/HFウェット・エッチング・バッチ
内で清浄にすることができる。あるいはHFと酢酸を一
緒にした蒸気での清浄化は、クラスタ・ツール内の近接
しているモジュールで行うことができ、それによって移
送時間が短縮され、かつ再度汚染されまたは再度酸化さ
れる機会が減少する。いくつかの適用例では、SC1ス
テップによって残された清浄な酸化物は除去されず、代
わりに初期酸化物層として使用される。
【0055】しかし本発明の一態様によれば、ウェーハ
の予備清浄は、誘電体を成長させる(110)前に、イ
ンシチュ状態で部分的にまたは全体的に行うことができ
る。以下の実施例2で述べるように、自然酸化膜を昇華
させるため、水素ベーク・ステップをチャンバ12内で
行うことができる。このステップで少量のHCl蒸気を
添加して、水素ベーク中に金属汚染物質などを清浄にす
るのを助けることができる。別の配置構成で、プラズマ
生成物は、水素ガスの代わりにHラジカルを使用するな
どしてインシチュ清浄化を助け、またはインシチュ清浄
化を行うことができる。実施例5および図10は、遠隔
プラズマ供給源60(図2)で発生したラジカルの助け
によるインシチュ清浄化を示す。活性化した、または励
起した化学種の使用により、適切な清浄化を行うための
プロセス温度のウィンドウを広くできることが有利であ
る。
【0056】イクスシチュ(ex situ)清浄化の
後、またはインシチュ清浄化の前に、ウェーハまたはそ
の他の基板をプロセス・チャンバ内に装入する。清浄化
の後、基板上に界面誘電体を成長させる(110)。誘
電体の成長は、熱酸化や窒化などで、清浄にされた半導
体基板を反応する化学種にさらすことによって行うこと
ができる。界面誘電体層は基板から成長させるので半導
体基板と共存でき、界面電荷捕獲部位が生じるのを妨げ
る役割をする。このため、この誘電体層は非常に薄くす
ることができ(例えば1層または2層のモノレイヤ)、
したがって一般には化学量論的ではない。
【0057】以下の実施例1〜4の実施形態で、界面誘
電体は、清浄な基板の熱酸化によって成長した酸化物を
含み、酸窒化物界面誘電体を形成するために、窒素の取
込み(例えばNOやN2Oでの酸化によって)も含むこ
とが最も好ましい。実施例5および6は、酸化物、窒化
物、または酸窒化物を成長させるために、窒素および/
または酸素ラジカルを供給することが有利である。した
がって第1の誘電体層は、SiOX、SiNY、またはS
iOXYを含むことが好ましい。界面誘電体は、初期酸
化の後に窒化を行い、またはその逆を行うなど、逐次的
なプロセスによって形成することもできる。
【0058】誘電体を成長させた(110)後、プロセ
ス・チャンバからウェーハを取り出さずに、好ましくは
CVDによって第2の誘電体層の付着を行う(12
0)。誘電体の成長ステップおよび付着ステップは、実
質的に等温および/または等圧条件下で行うことが最も
好ましい。温度に関し、これらのプロセスは、互いに対
して約100℃以内で行うことが好ましく、より好まし
くは約±50℃以内であり、最も好ましくは約±10℃
以内である。圧力に関し、これらのプロセスは、互いに
対して約200Torr以内で行うことが好ましく、よ
り好ましくは約±50Torr以内であり、最も好まし
くは約±20Torr以内である。示される実施形態で
は、例えば、第1の温度で酸窒化ケイ素を成長させた
後、±1℃以内および±1Torr以内で窒化ケイ素を
付着させる。上述の温度は、実際の温度ではなく設定値
としての温度を指し、わずかに変動してもよいことが理
解される。しかし、示される反応器10は優れた温度制
御を示し、したがって設定値と実際の温度の間の変動は
最小限に抑えられる。同様に圧力の差は目標とする差で
あり、これは、偶発的な変動を考慮していないものであ
る。
【0059】示される実施例1〜3では、誘電体の成長
ステップおよび付着ステップは、共にインシチュ状態で
約700℃〜850℃の間の温度で行うことが好まし
く、より好ましくは約760℃〜800℃、最も好まし
くは約780℃である。プロセス中の圧力は、約1To
rr〜80Torrの間に維持することが好ましく、よ
り好ましくは約50Torrである。実施例4で、温度
は、界面誘電体の成長中の約780℃から、誘電率の高
い誘電体の付着中の約450℃に低下する。実施例5で
は、酸素ラジカルおよび窒素ラジカルによって、約68
0℃で界面誘電体を成長させ、その後、約650℃で窒
化ケイ素を付着させることが可能になる。実施例6で
は、誘電体形成(界面誘電体の形成、および別の誘電体
の付着)および電極形成(ケイ素の付着)の全体を通し
た温度は、約600℃〜800℃の間に維持することが
好ましく、より好ましくは約650℃〜700℃の間で
あり、最も好ましくは約680℃である。
【0060】第2の誘電体層は、誘電率が酸化ケイ素よ
りも高いことが望ましい。以下の実施例1〜3で、第2
の層は、窒素ソース・ガスとケイ素ソース・ガス、好ま
しくはアンモニアとシランのCVD反応によって形成さ
れた、理論量またはほぼ理論量の窒化ケイ素層(Si3
4)を含む。したがって第2の層は、バリア特性、お
よびホット・キャリア効果に対する抵抗性に寄与し、そ
れと同時に誘電率が高いのでゲート誘電体の全体的なス
ケーリングが可能なる。実施例4は、付着された誘電体
層が酸化タンタル(Ta25)を含む誘電体スタックを
提供し、その誘電率は20〜40にわたるものである。
したがってこの層は、良好な界面特性を有するキャパシ
タ誘電体を完成させ、キャパシタ構造の単位面積当たり
のキャパシタンスを高くする。
【0061】誘電体を付着させた(120)後、第2の
誘電体層または付着させた誘電体層を任意選択でアニー
ルして(125)、この層の品質を高める。実施例2の
プロセス・フローは高温アンモニア(NH3)アニール
を含み、これは、過剰などのケイ素も反応させてより理
論量に近いSi34層を生成することによって、付着し
た窒化ケイ素層の密度を高める役割をする。その他の配
置構成では、アニール中(125)に酸化剤(例えばN
2O、NO、O2、Oラジカル)が供給される。さらに他
の配置構成で、アニール(125)は、窒化剤(例えば
NH3、N2、Nラジカル)にさらした後に酸化剤にさら
すことを含む。実施例4では、高誘電率のTa25層が
任意選択で高温酸素アニールにかけられ、層を結晶化
し、通常なら本質的に金属性の漏れ経路を残すことにな
るなどの酸素空格子点も埋める。
【0062】滞留時間が短く層流設計の、ここに示す反
応器10(図1および2)は、捕獲された反応物の危険
性を最小限に抑え、ステップ間のパージを速くするのを
助ける。したがってこの反応器の設計によれば、一連の
反応物どうしの爆発的な反応またはそうではない望まし
くない反応の危険性を最小限に抑えながら、水素、アン
モニア、または酸素のアニール・ステップをインシチュ
状態で行うことが可能になる。あるいはウェーハは、プ
ロセス・チャンバ12に隣接するハンドリング・チャン
バに一時的に取り出し、その一方で、プロセス・チャン
バの壁に付いたCVDプロセスからの残渣を清浄にする
ことができ、したがって残渣の酸化が避けられる。
【0063】図3に示すように、誘電体を付着させた
後、電極を形成する(130)。実施例1〜3で、電極
はトランジスタ・ゲート電極を含み(図4A参照)、一
方実施例4は、誘電体スタック上のキャパシタ参照電極
を使用する(図5A参照)。示される反応器は、実施例
5で示されるように、インシチュ状態で電極を形成でき
る(130)ことも有利である。したがって以下の例示
的なプロセスから理解されるように、誘電体スタックを
インシチュ状態で形成できるだけではなく、好ましい反
応器および方法によって図3に示す全てのステップのイ
ンシチュ処理が可能になる。
【0064】したがって図3に示すように、界面誘電体
の成長(110)および第2の誘電体の付着(120)
は、実施例1〜6および図6〜11に示される例のそれ
ぞれにおいて、インシチュ状態で行われる。しかし任意
選択で、ウェーハの清浄化(100)、アニール(12
5)、および電極形成(130)のいずれか、または全
てをインシチュ状態で、すなわちステップ間でプロセス
・チャンバからウェーハを取り出すことなく行うことも
できる。
【0065】本明細書に記述するインシチュ処理を行う
に際し、処理ステップ間でチャンバを清浄にする必要は
ない。実際、例示的なプロセスの多くの場合、チャンバ
は、数枚のウェーハを処理した後に清浄にする必要があ
るだけである。例えばチャンバの清浄化、または「チャ
ンバ・エッチング」のサイクルは、実施例1によれば約
25枚のウェーハを処理した後に行えばよい。実施例3
のように、電極の付着がプロセス・フローの中に含まれ
る場合、チャンバの清浄化は、約10枚程度のウェーハ
を処理した後に行うことができる。したがって、ウェー
ハのスループットもさらに改善することができる。
【0066】さらに、等温処理の利点をチャンバの清浄
化に利用することもできる。チャンバ12(図1)およ
びその他の内部構成要素がケイ素の付着物を含む場合、
HClを、好ましくは約500℃から800℃の間の温
度で、より好ましくは前のウェーハのインシチュ処理中
のプロセス温度とほぼ同じ温度で、ウェーハとウェーハ
の間でチャンバに供給することができる。このような等
温処理ステップおよびチャンバ清浄ステップにより、別
々の温度ランプの時間が節約される。さらにこのステッ
プは、反応器10の構成要素、および最終的には付着し
た層に対する熱応力を低減させるのを助けるが、このよ
うにしないと剥がれ落ちてチャンバおよびウェーハに対
する粒子や汚染物質の源を生み出す可能性がある。
【0067】ケイ素、窒化ケイ素、酸窒化ケイ素、およ
び/または二酸化ケイ素で形成された付着層を除去する
ために、化学種NF3およびCl2を組み合わせるプロセ
スを、20℃から800℃、好ましくは500℃〜80
0℃の範囲の温度で、かつ遠隔プラズマ発生器の使用範
囲に影響を与えない圧力(このプロセスでは一般に0.
5〜5Torr)で行うことができる。NF3およびC
2は、遠隔プラズマ発生器60(図2)内を流れると
きに、約850Wのマイクロ波エネルギーを加えること
によって分離する。典型的には200sccmのN
3、400scmのCl2、および4〜10slmのN
2が遠隔プラズマ発生器60内を流れる。N2の流れはエ
ッチング速度を速めるのを助け、全体的なガスの速度が
速くなる。二酸化ケイ素に対する窒化ケイ素のエッチン
グの選択性を最終的に無限に高めるため、すなわち二酸
化ケイ素がエッチング剤と接触しないようにするため、
NF3:Cl2の流れの比および温度を調整することがで
きる。なおいっそうの詳細が、スト(Suto)他の
「Highly selective etching
of Si34 to SiO2 employing
fluorineand chlorine ato
ms generated by microwave
discharge」、J.ELECTROCHEM
ICAL SOCIETY、Vol.136、No
7、1989年7月、p.2032〜2034と、スタ
ッファ(Staffa)他の「Selective r
emote plasma etching of S
34 over SiO2 at elevated
temperature」、ELECTROCHEM
ICAL SOCIETY PROCEEDINGS、
Vol.95−5、p.283〜289に提供されてお
り、その開示を参照により本明細書に組み込む。典型的
には約600℃〜800℃の温度範囲でケイ素およびS
34のエッチング速度を速くすることができる(例え
ば1分当たり100nm〜1,000nm)。
【0068】(例示的なプロセス)例示的なプロセスに
関する以下の考察全体にわたり、目標温度(範囲ではな
い)が示された場合は約±20℃の範囲を含むことを意
味する。同様に、目標圧力が示された場合は、約±30
Torrの範囲を含むと読むべきである。
【0069】(ゲート誘電体スタック)実施例1〜3お
よび5〜6は、トランジスタ・ゲート誘電体の適用例に
特に適する誘電体スタックを構成するプロセスについて
述べる。
【0070】図4Aおよび図4Bは、そのような誘電体
スタックを組み込んだトランジスタ・ゲートを示す。具
体的には、半導体基板200は、その上にトランジスタ
・ゲート・スタック210が形成されている状態を示
す。示される実施形態では、基板200は単結晶シリコ
ン・ウェーハの上部で構成されるが、当業者なら、この
基板をその他の半導体材料で構成することも可能である
ことを理解するであろう。
【0071】ゲート・スタック210は多結晶シリコン
電極層220を含み、これは側壁スペーサ230および
キャップ層240を備えて従来の手法で電極220を保
護し、かつ分離する。また、多結晶シリコン220上に
は、典型的には金属を含む、より導電性の高いストラッ
プ層250が示されている。ストラップ250は、ウェ
ーハ全体のトランジスタ・ゲート間を信号が速く伝搬す
るのを容易にし、ゲートを論理回路に接続する。
【0072】以下に述べる例示的なプロセスによって形
成されたゲート誘電体260は、ゲート電極220を基
板200から引き離す。上記背景のセクションで述べた
ように、ゲート誘電体260は、より高密度でより高速
の回路を求める際の重要なフィーチャである。
【0073】図4Bの拡大図から最も良くわかるよう
に、好ましいゲート誘電体260は、誘電体スタックで
構成され、具体的には二重層構造である。シリコン基板
200上には界面誘電体層262が直接重ねられ、これ
は熱酸化および/または窒化によって形成されることが
好ましい。界面誘電体層262上には第2の誘電体層2
64が直接重ねられ、これは化学気相成長によって形成
されることが好ましい。
【0074】次に、示されるゲート構造を形成する例示
的な方法を詳細に記述する。
【0075】
【実施例】(実施例1)図6を参照すると、第1の例示
的なプロセスによれば、ウェーハは、まずSCl浴やH
F浴などでex situ状態で清浄にし(400)、
それによって清浄なシリコン表面を準備する。当業者に
理解されるように、SC1浴は、水酸化アンモニウムお
よび過酸化水素の希薄水溶液を含む。あるいは、一般的
なウェーハ・ハンドラに接続された多数のプロセス・モ
ジュールを有するクラスタ・ツールでは、基板を隣接す
るモジュール内で、HFおよび酢酸の蒸気で処理するこ
とによって清浄にできる。後者のケースでは、ウェーハ
は、その後ウェーハ・ハンドラ内を通って図1に示すプ
ロセス・チャンバに移送されるが、このとき基板はクラ
スタ・ツールの外側の「クリーン・ルーム」にさらされ
ず、装入前に酸素またはその他の汚染物質にさらされる
機会が減少する。
【0076】次にウェーハを好ましい反応チャンバ12
(図1)内に装入し(410)、ゲート弁を閉じる。反
応器は、ウェーハを装入するときに(410)約780
℃で無負荷運転させることが好ましい。装入後、プロセ
ス・チャンバ内が約50Torrまで下がるようにポン
プで排気しながらウェーハ温度を安定にする。ポンプで
排気する間、窒素キャリア・ガス(N2)を流す。
【0077】次に、15slmのN2の流れを維持しな
がら、純粋なNO酸化剤ガスと想定される約1.5sl
mのNOガスを導入することによって、界面酸化物を成
長させる(420)。当業者なら、代替の酸化剤ガス
(例えばO2、H2O、N2O、HCOOH、HClO3
混合物、希釈した酸化剤)を使用する場合には、流量の
調整を必要とする可能性があることを理解するであろ
う。温度および圧力は、約780℃および50Torr
にそれぞれ維持され、N2の流れも同様に維持される。
約20秒で基板から酸窒化ケイ素の層が成長して、約
0.5nmの厚さになる。
【0078】酸化物または酸窒化物を成長させた(42
0)後、NOガスの流れを止め、N 2を連続的に流すこ
とによってチャンバをパージする。一般にパージ・ステ
ップは、チャンバ体積の約2倍よりも多い量のパージ・
ガスをプロセス・チャンバ内に流すことを含む。示され
るチャンバ12(図1)で、層状に流れるガスの滞留時
間を短くすることにより、パージ時間を容易に短くす
る。どちらの場合でも移行は、ウェーハを取り出すこ
と、および第2のチャンバにウェーハを移送することに
比べて大幅に削減される。
【0079】次に、約1.5slmのアンモニア(NH
3)および15sccmのシラン(SiH4)を導入す
る。窒素は同じ流量で流し続け、温度および圧力は、約
780℃および50Torrに維持する。アンモニアお
よびシランを約90秒間流し続け、基板表面で反応させ
て付着させ(430)、厚さ約3nmの窒化ケイ素の層
にする。界面酸化物のないより厚い窒化物層の試験付着
物で偏光解析法によって測定されるように、同様のプロ
セスによって形成された窒化物は、その屈折率が約1.
98であり、優れたバリア特性および閾値電圧の消耗に
対する抵抗性を示す、密度の高い化学量論的なSi34
膜を示している。
【0080】窒化ケイ素のCVD(430)後、ウェー
ハを取り出し(440)、新しいウェーハを装入する。
反応器は、装入/取出し中は約780℃に維持すること
が有利である。したがって反応器は、このプロセス中約
780℃という温度設定値に保たれ、このプロセス中、
ならびにウェーハを取り出し(440)かつ新しいウェ
ーハを装入する(400)間も、温度のランプおよび安
定化の両方を最小限に抑える。
【0081】ここには示さないが、純粋な酸化ケイ素層
を最初に成長させる場合(420)、成長させた酸化ケ
イ素の表面を任意選択で窒化し、その後、窒化物層を付
着させる(430)。例えば、アンモニアまたはNラジ
カルを他の反応物なしで導入することができる。別の配
置構成では、まずこのケイ素表面をNラジカルで窒化
し、その後、その薄い窒化物を通してNO、N2O、
2、Oラジカルなどで酸化物を成長させる。
【0082】したがって酸化物(または酸窒化物)を成
長させ(420)、窒化物を付着させる(430)全体
のプロセス時間は、示されるゲート誘電体スタックの場
合、約125秒未満である。優れた温度制御およびサセ
プタを有する好ましい反応器では、ウェーハを装入して
から(410)取り出すまで(440)の全体の経過時
間が、装入する際の(400)温度の安定化も含めて約
220秒未満である。
【0083】(実施例2)図7を参照すると、第2の例
示的なプロセスによれば、基板を、SCl浴やHF浴な
どによってex situ状態で予備清浄する(50
0)。しかし、反応チャンバへの導入の際は、チャンバ
加熱システムを約900℃で無負荷運転する。ウェーハ
の装入(510)後、設定値温度を約900℃に上げな
がら、チャンバ圧力を約50Torrに設定する。N2
ガスではなくH2ガスを、約15slmの速度でチャン
バ内に流す。水素ベーク・ステップ515を約60秒間
行う。水素ベークでは、温度の安定性は重要ではなく、
したがってこのステップ515は、追加の時間が必要と
ならないように、安定化と同時に行うことが好ましい。
2は、N2よりも純度を高くできることが有利である。
さらに、この追加のインシチュ予備洗浄ステップ中、高
温で基板をH2にさらすことによって、どの自然酸化膜
にも昇華が生じる。
【0084】本明細書の開示から理解されるように、H
2ベークは、活性化し、または励起したHラジカルの準
備に換えることができる。励起種は、このインシチュ清
浄の熱エネルギーの必要性を低減できることが有利であ
り、その結果、温度ランプに必要とされる時間および必
要とされるエネルギーを減少させることができる。別の
観点から見ると、水素ラジカルを使用することによって
水素ベークのプロセス・ウィンドウを広げることができ
る。したがって、多数のステップ全体を通して等温条件
に近付けることによって、インシチュ処理を速めること
ができる。典型的には、ドイツ、ミュンヘンのR3T
GmbHからの好ましいTR−850マイクロ波ラジカ
ル発生器など、遠隔プラズマ発生器に関して減圧(例え
ば2〜5Torr)が使用される。
【0085】水素ベーク515の後、圧力を約50To
rrに維持しながらウェーハを約780℃に冷却する
が、これは示される反応器の場合、約60秒が費やされ
る。次いで清浄な基板表面に、望ましくは実施例1で述
べたものと同じ等温および等圧プロセス・パラメータの
下、界面酸窒化物およびその上に重なる窒化ケイ素を含
む誘電体スタックを形成する(520、530)。
【0086】誘電体スタックの形成(520、530)
後、インシチュアニール535は、窒化ケイ素層の密度
を高める働きをする。示される実施形態では、窒化物付
着中と同じ流量で窒素およびアンモニアの流れを維持し
ながら、シランの流れを止める。チャンバからシランを
除いた後、ウェーハ温度を780℃から約900℃に上
昇させ(好ましい反応器の場合、約10秒を費やす)、
アニール535を約60秒間行うことが好ましい。反応
器の無負荷運転を約900℃で続けながらウェーハを取
り出すこと(540)が有利であり、したがって、古い
ウェーハを取り出して新しいウェーハを装入する際、新
しいウェーハの温度は素早く安定化する。その他の配置
構成では、アニール中(535)、酸化剤(例えばN2
O、NO、O2、Oラジカル)が代わりに供給される。
さらに別の配置構成では、アニール535は、窒化剤
(例えNH3、N2、Nラジカル)にさらしその後酸化剤
にさらすことを含む。
【0087】水素プリ・ベーク(515)、誘電体スタ
ック形成(520、530)、およびポスト付着窒素ア
ニール(535)を含む第2の例示的なインシチュプロ
セスの部分の経過時間は、約214秒未満である。
【0088】(実施例3)図8を参照すると、第3の例
示的なプロセスによれば、上述の実施例1または2(実
施例1が示される)に従ってゲート・スタックを成長さ
せ(620)、付着させる(630)。しかし、ウェー
ハを取り出す(640)前に、誘電体スタック上に導電
層をインシチュ状態で形成する(637)。示される実
施形態では、導電層は、図4Aや図4Bのゲート電極層
220など、多結晶シリコンまたはシリコン・ゲルマニ
ウム(ポリSiGe)のゲート電極層を含む。
【0089】780℃で窒化物を付着させた(630)
後(またはポスト付着アニール)、N2またはH2のパー
ジ・ガスを流し続けながら、ウェーハを約680℃に冷
却する(635)ことが好ましい。当業者に知られるよ
うに、この温度範囲内の冷却は、例えば1,100℃か
ら900℃に冷却する場合に比べて一般に遅い。したが
って冷却(635)は、ウェーハを冷たいチャンバ壁の
近くに移動させることによって、または低温要素をウェ
ーハ近くに移動することによって、急いで行うことが好
ましい。このように迅速なインシチュ冷却(635)の
好ましい構造および方法が、1998年9月10日に出
願されたMETHOD AND APPARATUS
FOR FAST COOL−DOWN OF SEM
ICONDUCTOR WAFERSという名称の同時
係属の米国出願第09/150,988号に開示されて
おり、その開示を参照により本明細書に組み込む。
【0090】冷却(635)中にN2パージを利用する
とき、約350sccmのシランを導入しながらN2
流れを約15slmに維持する。ジシランの使用によっ
て、付着速度を改善できることが有利である。圧力は、
約50Torrに維持され続け、温度は約680℃に安
定に保たれる。約120秒以内で、厚さが約150nm
の多結晶シリコン電極層が付着される(637)。この
方法によって形成された多結晶シリコンには、付着(6
37)の後に適切な導電性にドープされるが、インシチ
ュドーピング(付着中の)も企図されることが理解され
よう。インシチュドーピングの場合、ホスフィンやアル
シン、ジボランなどの一般的なドーピング源をシランの
流れに加えることができる。別の配置構成では、H2
SiH4多結晶シリコン・プロセスを行うために、チャ
ンバをほぼ大気圧に戻すことができる。
【0091】その他の配置構成では、ゲート/誘電体の
界面での電気的な仕事関数を低下させるため、多結晶シ
リコン層にインシチュ状態でゲルマニウムをドープす
る。例えば、約100sccm〜1,000sccmの
ゲルマン(H2中1.5%)の流れをシランの流れに加
えることができる。この場合、付着温度は約550℃か
ら650℃の間に維持することが好ましく、より好まし
くは約600℃±15℃である。得られるポリSiGe
層中のゲルマニウム含有量は、約10%〜60%であ
る。
【0092】(キャパシタ誘電体スタック)図9を参照
すると、誘電体スタックを構成するための第4の例示的
なプロセスが示されており、これは、集積されたキャパ
シタに特に適するものである。例示的なキャパシタ構造
について考察した後、実施例4について以下に詳細に述
べる。
【0093】図5Aおよび図5Bは、このような誘電体
スタックを組み込む集積されたキャパシタ300を示
す。具体的に、キャパシタ300は、典型的にはトラン
ジスタ活性領域(図示せず)にラッチされる。示される
キャパシタ300は「スタック」状、すなわち基板上に
形成されたキャパシタであるので、上部絶縁層305は
キャパシタの周りを取り囲み、下部絶縁層315内を延
びるコンタクト・プラグ310によって電気的な接続が
形成される。プラグ310は、典型的には多結晶シリコ
ン、タングステン、またはその他の同様の金属を含む。
その他の配置構成では、メモリ・セル・キャパシタが基
板上ではなく基板内の深いトレンチに形成され、そのよ
うな設計のため電気的接続は別の方法で形成されること
を、当業者なら理解するであろう。
【0094】キャパシタ300は、コンタクト・プラグ
310によってトランジスタ活性領域と電気的に接触し
ている下部または記憶電極330と、上部または基準電
極340とを含む。実施例4によれば、下部電極330
は、そこから窒化物層または酸化物層、好ましくは絶縁
層を成長させることができる材料を含む。
【0095】電極330、340は、キャパシタ誘電体
360によって分離している。当技術分野で知られるよ
うに、キャパシタ誘電体360はデバイスの記憶容量、
または容量に強い影響を与える。容量は、キャパシタ誘
電体の有効誘電率kに比例し、誘電体キャパシタ360
の厚さに反比例する。
【0096】図5Bから最も良くわかるように、示され
るキャパシタ誘電体360は、誘電体スタック、具体的
には二重層構造を含む。第1の誘電体層362は、下部
電極330上に直接重なり、これは下に在る電極330
から成長させることが好ましい(例えば熱酸化および/
または窒化によって)。第2の誘電体層364は、第1
の誘電体層362上に直接重なり、これは化学気相成長
によって形成することが好ましい。
【0097】当業者なら、前述の記述および以下に述べ
るプロセスから、下部電極330が、酸化されまたは窒
化して界面窒化物、酸化物、または酸窒化物を形成する
導電性材料を含むことを容易に理解するであろう。示さ
れる実施形態で下部電極は、酸化されて酸化ケイ素を形
成し、窒化して窒化ケイ素を形成し、または酸素および
窒素の両方にさらして(同時または逐次)酸窒化ケイ素
を形成することができる多結晶シリコンを含む。その他
の候補に挙げられる材料には、タングステン、チタン、
タンタルなど、貴金属ではない金属が含まれる。示され
るキャパシタの適用例では、成長させた材料が主に適切
な界面バッファを形成する働きをし、それによって後で
付着される誘電体の品質が向上し、それ自体を絶縁する
必要がないことに留意されたい。成長させた金属酸化物
または金属窒化物は、導電性である場合、誘電体の一部
ではなく下部電極の一部としての役割をすることができ
る。
【0098】次に、示されるキャパシタ誘電体スタック
360を形成する例示的な方法について、詳細に述べ
る。
【0099】(実施例4)図9を再び参照すると、メモ
リ・セル・キャパシタに組み込むための誘電体スタック
を形成する、第4の例示的なプロセスが提供されてい
る。実施例1〜3の場合と同様に、ウェーハをex s
itu状態で予備清浄し、約780℃および50Tor
rに設定されて15slmのN2が流れているチャンバ
内に装入する。
【0100】しかし前述の実施例とは対照的に、ウェー
ハは、メモリ・セル・キャパシタ用の下部電極を画定す
る点まで予め製作した。次いで電極表面を熱により酸化
し、好ましくは約1.5slmのNOをさらに約20秒
間流すことによって酸化する。酸窒化ケイ素を含む薄い
(例えば0.5nm)界面誘電体をこのように成長させ
る(720)。
【0101】熱酸化(720)の後、基板の温度を78
0℃から450℃に下げる(725)。以下に参照によ
り組み込む米国出願第09/150,986号の方法お
よび構造は、このようなインシチュ冷却(725)を容
易にすることが好ましい。
【0102】その後、酸窒化物層上に、好ましくはCV
Dによって、酸化ケイ素よりも誘電率が高い材料をイン
シチュ状態で付着させる(730)。例えば窒化ケイ素
は、本明細書で述べる方法によって付着させることがで
きる。しかし例示的なプロセスでは、酸化環境で有機金
属前駆物質を流すことによって酸化タンタル(Ta
25)を形成する。具体的には、望ましくは約160℃
に維持される液体供給源Ta(OC255を通して1
slmのN2ガスを泡立て、それによって蒸発を促す。
それと同時に、好ましくは高体積(例えば15slm)
のO2ガス流によって形成された酸化環境をチャンバ内
で維持する。酸化タンタル約5〜10nmが付着する
(730)。
【0103】付着後、酸化環境がポスト付着硬化アニー
ル中維持されることが好ましい(735)。ある配置構
成では、温度を付着温度から約780℃に上昇させ、酸
素の流れを約30秒間維持する。別の配置構成ではOラ
ジカルが供給されて、より低い温度での酸化アニールが
できるようにする(735)。前に述べたように、酸化
アニール(735)によって、誘電率の高い層の密度が
高められる。
【0104】基板は、ウェーハを取り出し(740)て
新しいウェーハを装入する際に新しいウェーハの温度が
素早く安定化するように、反応器の無負荷運転を約78
0℃で続けながら取り出すこと(740)が有利であ
る。
【0105】第4の例示的なプロセスの場合、装入(7
10)から取出し(740)までの間の全体な経過時間
は、ポスト付着アニールも含めて約400秒未満であ
る。
【0106】(遠隔プラズマ増速逐次処理)以下の実施
例5および6は、図2に関連して上記述べた、遠隔プラ
ズマ供給源60の使用により容易になったインシチュ処
理を示す。前の実施形態から明らかなように、インシチ
ュ処理は、等温逐次プロセスを実行することによって容
易になり、かつより素早く行なわれる。このように、温
度ランプ時間は最小限に抑えられ、または全くなくな
る。さらに、基板から、またはチャンバ自体の壁から被
覆が剥がれ落ちる原因となる可能性のある熱応力を最小
限に抑えることによって、プロセスの純度が高められ
る。インシチュプロセスの全体を通して圧力を維持する
ことができる配置構成では、チャンバの再充填または排
気にかかる時間が最小限に抑えられ、また、剥離および
その他の微粒子の発生も引き起こす可能がある圧力の変
動を最小限に抑えることによって、プロセス純度が高め
られる。
【0107】本発明の一態様によれば、等温および等圧
処理は、励起した化学種のエネルギーを処理反応に加え
ることによってさらに容易になり、それによってプロセ
ス・ウィンドウが広がる。このため、使用するプラズマ
・エネルギーの相対的な量に応じてより広い範囲の温度
および圧力条件を利用することができる。
【0108】プラズマ増速は、CVDおよび化学エッチ
ング・プロセスを行うための反応温度を下げることが可
能であることが周知である。従来この知識は、処理温度
を下げて熱履歴を保つためにのみ使用されてきた。しか
し本発明の実施形態は、励起した化学種をプロセス・チ
ャンバに送出する遠隔プラズマの追加のパラメータによ
って可能にされた融通性を利用する。例えば、励起した
化学種による第1のプロセスへのエネルギーの入力は、
プロセス温度を第2のプロセス温度に一致させるために
その温度を下げるように調整することができ、それによ
って等温逐次処理が可能になる。したがって、温度ラン
プ時間を短縮することによって全体的な時間の節約を実
現することができる。同様に、等圧プロセスを実行する
とき、ステップ間での排気または再充填の時間がなくな
る。
【0109】プロセス・ウィンドウを広げてインシチュ
処理を容易にする他、遠隔プラズマの準備は、ゲート誘
電体層に対して独立の利点を含む。活性化したラジカル
種を供給することによって、望ましくない反応副生成物
を減少させることが可能になる。
【0110】例えば窒化ケイ素のCVDは、従来、比較
的高い温度での、ジクロロシラン(DCS)などの揮発
性ケイ素供給源とアンモニア(NH3)などの揮発性窒
素供給源との反応を伴う。残念ながら、誘電体層に取り
込まれた塩素および水素は、特に最近の高密度集積回路
設計を敏感なゲート誘電体に利用した場合、電気特性に
悪影響を及ぼす可能性がある。
【0111】しかし実施例5で述べるように、遠隔プラ
ズマを使用することによって、付着速度または等方性に
悪影響を及ぼすことなくプロセスから塩素をなくすこと
が容易になる。Nラジカルを供給することによって、付
着、およびケイ素ソース・ガスとの反応に必要とされる
熱エネルギーが低下し、したがってSiH4は、追加の
揮発性DCSではなくケイ素供給源として使用すること
ができるようになる。そのうえ、NH3の代わりに励起
した窒素種を使用することによって、水素含有量を大幅
に低減させることができる。したがって、従来のCVD
反応物(SiCl22+NH3)は、塩素を含まず水素
含有量の少ない反応物(SiH4+N)に置き換えられ
る。当業者なら理解するように、水素含有量が少なくな
ると、問題となっている誘電体内の漏れ電流を減少させ
ることができる。
【0112】さらに、広く様々なプロセスをインシチュ
状態で実行することができる。実施例5は、順次行われ
るそのような4つのプロセス(装入/取出しは除く)を
列挙し、そのうちの3プロセスは、励起種増速用に選択
される。実施例6は、順次行われるそのような3つのプ
ロセス(装入/取出しは除く)を列挙し、そのうちの2
プロセスが励起種増速用に選択される。当業者なら、ラ
ジカルによって容易にされまたは行なわれる別のプロセ
スであって、同じプロセス・チャンバ内で安全にかつ効
率的に実行することができる別のプロセスを容易に理解
するであろう。さらに、実施例5および6からより良く
理解されるように、等温処理は、最も狭いプロセス・ウ
ィンドウを有するプロセスに関するパラメータを選択す
ることによって、またこれらのパラメータに一致する励
起種でその前にプロセスを行いまたはその後にプロセス
を行うことによって、容易にすることができる。
【0113】(実施例5)次に図10を参照すると、第
4の例示的なプロセスは、ウェーハの清浄化、ゲート誘
電体スタックの形成、およびゲート電極の付着を示し、
これらの全ては好ましい枚葉式ウェーハ処理チャンバ1
2(図1)からウェーハを取り出すことなく順次実行さ
れ、すなわちインシチュ状態で実行される。
【0114】プロセス技術者は、厳しいパラメータ要件
を有するこの一連のプロセスを選択することが好まし
い。例えばゲート電極用の多結晶シリコンは、非晶質構
造および粒度の大きい構造を回避するために、かつ商用
として許容されるスループットを得るために、約600
℃〜800℃の温度範囲で付着させるべきである。した
がって、所望の多結晶シリコン付着条件を目標として設
定し、インシチュ状態で実行されることになる残りの1
つまたは複数のプロセスおよび好ましくはその全てのプ
ロセスを、同様のまたは同一の温度および圧力下で実行
する。示されるプロセスで、多結晶シリコンの付着は、
より好ましくは約650℃〜700℃の間で、最も好ま
しくは約680℃で、好ましくは約1Torr〜80T
orrの間の圧力で、より好ましくは50Torrの圧
力で行う。したがって残りのプロセスは、多結晶シリコ
ン付着パラメータの約±100℃以内で付着させるため
に選択することが好ましい。様々なプロセスに関するパ
ラメータは、約±50℃以内に保つことがより好まし
く、最も好ましくは約±10℃以内である。設定値温度
は±1℃以内に保つことが理想的であり、したがって、
インシチュステップ間での温度ランプを必要としない。
【0115】初めに、処理されるウェーハをプロセス・
チャンバ内に装入する(810)。反応器は約680℃
で無負荷運転し、したがってチャンバが約50Torr
に排気されるまで、ランプとサセプタの両方がウェーハ
に熱エネルギーを伝達することが望ましい。
【0116】装入(810)の後、好ましくは励起した
化学種を導入することによって、インシチュウェーハ清
浄化(815)を行う。示される実施形態では、水素お
よび/またはフッ素のラジカルが、誘電体を成長させる
前に行われるウェーハの清浄化を助ける。当業者に理解
されるように、任意の適切なフッ素ソース・ガスを遠隔
プラズマ発生器60(図2)に供給し、そこでイオン化
エネルギーを受けることができる。例えば、NF3、H
F、F2、ClF3などはこの発生器内で容易に分解して
フッ素ラジカルを供給する。しかし、適切な条件下で
は、加熱されたサセプタなど、チャンバそのもの内部で
フッ素ソース・ガス(例えばNF3)に供給される熱エ
ネルギーによって、十分なFラジカルを発生させること
ができることが見出されている。励起したフッ素種は、
ウェーハ表面から自然酸化膜を効果的にエッチングし
(例えば約10Å〜15Å)、それと同時に炭素や金属
などの汚染物質を清浄化する。さらに、フッ素ラジカル
(プラズマ・エネルギーによって発生したものであろう
と熱分解したものであろうと)を使用して、チャンバ壁
の被覆のエッチングを誘発することもできる。
【0117】自然酸化膜の昇華による清浄化を向上させ
るため、Hラジカルを供給することがより好ましい。H
ラジカルで清浄にする間、遠隔プラズマ生成物とは別に
2ソース・ガスを供給することができ、励起した水素
種と水素ガスとの相対的な量は、清浄化条件に合うよう
に選択することができる。しかし、化合物ガスに対する
励起した水素種の相対的な量は、遠隔プラズマ発生器6
0(図2)内を通る水素ソース・ガスの流量およびイオ
ン化エネルギーを調整することによって合わせることが
最も好ましい。
【0118】励起した化学種で清浄にする間(81
5)、不活性ガスまたはキャリア・ガスを流すことが好
ましい。例えばN2を約15slmで流すことができ
る。ラジカル支援による清浄化(815)は約30秒間
行うことが好ましい。
【0119】プラズマ清浄ステップの後、界面誘電体の
成長(820)が続く。その他の配置構成で、この成長
ステップは、前に述べた実施形態と同様に、NOガスに
よる約780℃での熱酸化を含むことができる。しかし
示される実施形態では、OラジカルまたはNラジカルを
導入し、あるいはOラジカルの次にNラジカルを導入
し、あるいはNラジカルの次にOラジカルを導入するこ
とよってプロセス・ウィンドウが広がり、それによって
パラメータが、先行するインシチュステップおよび後続
のインシチュステップにより近付くようになる。
【0120】具体的には、誘電体成長(820)は清浄
用プラズマの流れを遮断することによって実現されるが
これは、多量のH2またはN2の流れによって素早くパー
ジされる(後続の酸化ステップのためN2が好まし
い)。O2ガスは、遠隔プラズマ発生器60(図2)内
でイオン化され、励起した酸素種の選択的再結合によっ
て、主にOラジカルがウェーハに導入される。ウェーハ
は、約750℃よりも低く維持することが好ましく、約
650℃〜700℃の間がより好ましい。誘電体の成長
(820)は約680℃で行うことが最も好ましく、し
たがって、清浄化(815)ステップと成長(820)
ステップの間での温度ランプを必要としない。同様に、
チャンバは約50Torrに維持することが好ましく、
したがって清浄化(815)ステップと成長(820)
ステップとの間で再充填または排気を行う必要がない。
あるいは、同じ温度および圧力でN2ガスを活性化して
ウェーハに導入し、または、OおよびNの励起種によっ
て酸窒化ケイ素を成長させることができる。どの場合で
も、温度および圧力は、先行プロセスおよび後続プロセ
スの温度および圧力に近い状態に維持され、好ましくは
それと同じに維持される。
【0121】同様に、後続の誘電体付着(830)はプ
ラズマ・エネルギーによって補助され、それによってほ
ぼ等温の逐次処理が可能になる。示される実施形態で
は、成長した誘電体上に窒化ケイ素を付着させる(83
0)。先に行った成長(820)が酸素プラズマを含む
場合、酸素の流れを停止させ、Nラジカルの流れを供給
しまたは継続させる。プロセスにNラジカルを供給する
ことによってエネルギー入力するので、付着は約650
℃〜680℃で行うことができる。シラン(SiH4
を供給しながらキャリア・ガスの流れを継続させる。例
示的な実施形態では、プラズマ発生器内に約1slm〜
10slmのN2を流すことによって窒素ラジカルを供
給しながらシランを約1sccm〜20sccm供給
し、これを約500kW〜2,000kWのマイクロ波
エネルギーにかける。
【0122】その他の配置構成では、例えば所与の付着
速度にプロセス条件を合わせるようにするため、Nラジ
カルの供給にNH3の流れを加えることができる。した
がってプロセス・パラメータは、先行プロセスまたは後
続プロセスに一致するように選択することができ、温度
ランプ、排気、または再充填のための移行時間が最小限
になる。
【0123】付着(830)にアンモニアがさらに供給
されようと供給されまいと、アンモニアの一部または全
てを励起した窒素種に置き換えることによって、付着し
た窒化ケイ素中の水素含有量を低減できることが有利で
ある。これによりゲート誘電体の電気特性が改善され
る。さらに、DCSまたはTCSを使用する付着プロセ
スとは異なり、プラズマ増速または支援による窒化物付
着を用いることによって、スループットを犠牲にするこ
となく付着した誘電体内に塩素が取り込まれないように
することが有利である。
【0124】付着させた(830)窒化ケイ素の密度を
高めるため、窒化物付着の後に任意選択のプロセス(図
示せず)を続けることができる。そのようなアニール
は、窒化剤(例えばアンモニア)および/または酸化剤
(例えばN2O)を含むことができる。前述の開示から
理解されるように、このアニールの温度は、アニール環
境にNラジカルを注入することによって大幅に下げるこ
とができ、示されるプロセス・フローの中では約680
℃で行うことが好ましい。
【0125】示される窒化物付着を代替の付着に代える
ことができることも理解されよう。例えば図5Aおよび
図5Bと上記の実施例4に関して開示したように、メモ
リ・セル・キャパシタ用の誘電体スタックの製作では、
付着した誘電体はTa25を含むことができる。この場
合、Oラジカルを供給してプロセス・ウィンドウを広
げ、同様に酸化アニール・ステップを補助することがで
きる。あるいは、実施例4に関して開示したようにCV
Dによって高誘電率材料を付着させることができ、先行
および後続のインシチュプロセスのプロセス・ウィンド
ウを広げてTa25付着に必要とされる厳しいプロセス
・パラメータにぴたりと適合させる。
【0126】付着(830)の後、示すようにインシチ
ュ状態で電極層を付着させることができる(837)。
電極付着(830)は、上記実施例3に関して開示した
ように、約680℃および50TorrでのCVDを含
むことが好ましい。その他の配置構成では、大気条件下
で多結晶シリコンを付着させることができる。実施例3
に関して述べたように、電極付着はゲルマニウムのドー
ピングを含むこともできる。先行プロセスは、多結晶シ
リコンを付着させるための処理条件に一致するように遠
隔プラズマによって支援されたので、従来のCVDは、
プラズマ増速または支援による手段をとらずに使用され
る。その他の配置構成では、多結晶シリコンまたはその
他の電材料を付着させるためのプロセス・ウィンドウ
を、励起した化学種を供給することによって広げ、その
結果その他のより困難なプロセスのパラメータに一致さ
せることができることが理解される。
【0127】次いでウェーハを取り出し(840)、次
のウェーハを装入する。温度ランプおよび圧力変化が最
小限に抑えられ、または温度ランプおよび圧力変化がな
い状態で、清浄化(815)、界面誘電体成長(82
0)、誘電体付着(830)、および電極付着(83
7)が全て約400秒未満で行えることが有利である。
離れて発生したプラズマ反応物を注入することによって
プロセス・ウィンドウを広げると、そのような等温処理
および等圧処理が容易になる。製作時間、したがって製
作コストは大幅に減少するが、それに伴って反応速度が
低下することはない。
【0128】(実施例6)次に図11を参照すると、第
6の例示的なプロセスは、ウェーハの清浄化、ゲート誘
電体スタックの形成、およびゲート電極の付着を示し、
これらは全て、好ましい枚葉式ウェーハ処理チャンバ1
2(図1)からウェーハを取り出さずに順次行われ、す
なわち全てインシチュ状態で行われる。
【0129】プロセス技術者は、厳しいパラメータ要件
を有するこの順序のプロセスを選択することが好まし
い。示される順序では、CVDによる窒化ケイ素の付着
(930)が最も厳しいパラメータ要件を有する。約7
80℃のウェーハ温度および50Torrでアンモニア
およびシランを流すことが最も好ましい。したがって残
りのプロセスは、約±100℃以内および±200To
rr以内の窒化ケイ素付着パラメータで付着させるため
に選択することが好ましい。様々なプロセス用のパラメ
ータは、約±50℃以内および±50Torr以内に保
たれることがより好ましく、約±10℃以内および±2
0Torr以内が最も好ましい。設定値温度および目標
圧力は±1℃以内および±1Torr以内に保たれるこ
とが理想的であり、したがってインシチュステップ間で
温度ランプを必要とせず、かつチャンバを排気しまたは
再充填することを必要としない。
【0130】ex situ清浄(900)を行った
後、処理されるウェーハをプロセス・チャンバ内に装入
する(910)。反応器を約780℃で無負荷運転し、
チャンバが約50Torrに排気されるまで、ランプお
よびサセプタが共に熱エネルギーをウェーハに伝達する
ようにすることが望ましい。
【0131】ウェーハ装入(910)の後に界面誘電体
の成長(920)が続く。温度および圧力を約780℃
および50Torrに維持しながら、窒素および/また
は酸素の励起種をウェーハに導入する。O2ガスを遠隔
プラズマ発生器60(図2)内でイオン化し、ウェーハ
に導入する。あるいは同じ温度および圧力でN2ガスを
イオン化してウェーハに導入することができ、またはO
およびNの励起種で同時にまたは逐次的に酸窒化ケイ素
を成長させることができる。いずれの場合も、温度およ
び圧力は先行プロセスおよび後続プロセスに近い状態で
維持され、好ましくはそれらのプロセスと同じ状態で維
持される。
【0132】同様に、後続の誘電体付着(930)を約
780℃および50Torrで上記実施例1に関して記
述したように行うことができる。
【0133】付着した窒化ケイ素の密度を高めるため、
窒化物付着(930)の後に窒素アニール(935)が
続く。このアニール用の温度は約780℃で実行するこ
とが好ましく、これはアニール環境にNラジカルを注入
することによって容易にされることが有利である。付着
した誘電体が高誘電率酸化物である(実施例4参照)そ
の他の配置構成では、アニールは、プロセス・ウィンド
ウを広げるためにOラジカルを注入することによって利
益を得ることができる。
【0134】次いでウェーハを取り出し(940)、次
のウェーハを装入する。温度ランプが最小限の状態で、
または温度ランプがない状態で、界面誘電体成長(92
0)、誘電体付着(930)、および誘電体アニール
(935)を全て約400秒未満で付着させることが有
利である。離れて発生した励起種を注入することによっ
てプロセス・ウィンドウが広がると、そのような等温処
理が容易になる。製作時間、したがって製作コストは大
幅に減少するが、それに伴って反応速度が低下すること
はない。
【0135】(利点)インシチュ処理は、元来かつそれ
自体、2種の誘電体層を形成する間の移行時間を短縮す
るのに有利であり、さらに、ウェーハの動きと、汚染さ
れる可能性のある雰囲気にウェーハをさらすことを最小
限に抑えるのに有利である。好ましいシングル・パス形
式でこのプロセスを実行すると、水平流処理チャンバに
よって、チャンバの純度を維持することが可能になり、
また、層状ガス流および最小限の反応物滞留時間のため
に逐次プロセスの反応物間の望ましくない反応が回避さ
れる。
【0136】さらに、インシチュ処理のスループットお
よび純度は、誘電体成長および後続の誘電体付着をほぼ
同じ温度で実行することによって、いくつかの実施形態
でさらに改善され、したがってプロセス間の移行時間が
さらに短縮する。したがって、第1の誘電体層の成長か
ら第2の誘電体層の付着への移行時間は、約30秒未満
に保つことが好ましく、より好ましくは約10秒未満で
あり、最も好ましくはゼロである。この成長および付着
は、迅速に行えることが有利である。示される実施形態
では、超薄型ゲート誘電体の場合、界面酸化物の成長と
誘電体層の付着にかかる合計時間は約180秒未満であ
り、より好ましくは約150秒未満であり、最も好まし
くは約120秒未満である。
【0137】好ましい等温処理は、多くの態様で有利で
ある。述べたように、ステップ間の温度ランプおよび安
定化時間がなくなる。さらに、チャンバおよびウェーハ
の表面は熱サイクルにかけられる機会が少なくなり、す
なわちそのような表面に予め形成されていた層に応力が
かけられかつ層が剥がれ、それによってチャンバとその
内部で支持されるウェーハを汚染する可能性がある熱サ
イクルにかけられる機会が少なくなる。このような熱応
力は、第1ステップによって形成された残渣が第2ステ
ップの前にチャンバ壁から清浄にされない場合のインシ
チュプロセスで、特に深刻なものである。
【0138】示される実施形態は、等圧逐次プロセスの
使用についても示す。例えば、実施例1〜4のそれぞれ
は多数のインシチュ逐次プロセスを含み、そのそれぞれ
は約50Torrで実行される。したがってチャンバ1
2は、ステップ間でポンプにより注入しまたは排出する
ことを必要としない。等圧プロセスは、真空ポンピング
または再充填に必要とされる時間を節約するだけではな
く、そうすることによって、振動による衝撃を受け、層
流ではなくなり、その結果、剥離および微粒子が発生す
る、という事態も回避する。
【0139】さらに、示される反応器12によれば、実
施例5および6で示すように、励起した化学種をプロセ
スに供給することによってプロセス・ウィンドウを広げ
ることをさらに可能にする。広げられたプロセス・ウィ
ンドウによって、等温および/または等圧条件に近い状
態での逐次処理が容易になる。例示的なプロセス・レシ
ピによって示されるように、反応物ラジカルは、多数の
ステップからなるインシチュプロセス・フローの各ステ
ップで、所望の量で、かつ適切なエネルギーで供給する
ことができる。
【0140】励起した化学種によって支援されるこのよ
うな処理は、各ステップごとにプロセス・ウィンドウを
広げるものであることが有利である。特に、遠隔プラズ
マ発生器60(図2)での反応物の解離に供給されるエ
ネルギーは、チャンバ内の反応物を解離するのに必要と
される熱エネルギー入力を効果的に削減することができ
る。それと共に、プラズマおよび熱供給源(例えば放射
加熱ランプ)からのエネルギー入力は、必要とされる反
応エネルギーを供給する。この事実を認識すれば、異な
るプロセスには異なる固有のエネルギー要件があるにも
かかわらず、特定の体積の特定の励起種の供給によるエ
ネルギー入力を、等温および等圧逐次プロセスが可能に
なるように選択することができる。特に、遠隔プラズマ
供給源を使用すると、各プロセスごとのプロセス・ウィ
ンドウを広げることによって行われる等温および等圧処
理が容易になる。
【0141】当業者なら、本発明の範囲から逸脱するこ
となく様々な変形および変更を行うことができることが
理解されよう。例えば励起種を使用してプロセス・ウィ
ンドウを広げることは、本明細書で述べたゲート誘電体
およびキャパシタ誘電体の実施例に加えて様々な集積回
路で逐次プロセスの速度を速めるように適合できること
が、当業者に容易に理解されよう。同様に、前述の特許
請求の範囲に定義されるように、その他の変形および変
更が本発明の範囲内に入るように意図される。
【図面の簡単な説明】
【図1】例示的な枚葉式基板反応チャンバの概略断面図
である。
【図2】本発明の好ましい実施形態による反応ガスおよ
びパージ・ガスの供給源を示す、ガスの流れの概略図で
ある。
【図3】好ましい実施形態により基板を処理するための
ステップを概略的に示すフロー・チャートである。
【図4A】本発明の好ましい実施形態により構成される
トランジスタ・ゲート・スタックの概略断面図である。
【図4B】本発明の好ましい実施形態により構成される
トランジスタ・ゲート・スタックの概略断面図である。
【図5A】本発明の別の好ましい実施形態により構成さ
れる、集積されたキャパシタの、トランジスタ・ゲート
・スタックの概略断面図である。
【図5B】本発明の別の好ましい実施形態により構成さ
れる、集積されたキャパシタの、トランジスタ・ゲート
・スタックの概略断面図である。
【図6】好ましい実施形態による例示的な方法のステッ
プを示すフロー・チャートである。
【図7】好ましい実施形態による例示的な方法のステッ
プを示すフロー・チャートである。
【図8】好ましい実施形態による例示的な方法のステッ
プを示すフロー・チャートである。
【図9】好ましい実施形態による例示的な方法のステッ
プを示すフロー・チャートである。
【図10】好ましい実施形態による例示的な方法のステ
ップを示すフロー・チャートである。
【図11】好ましい実施形態による例示的な方法のステ
ップを示すフロー・チャートである。
【符号の説明】
10 CVD反応器 12 チャンバ 13 上部加熱要素 14 下部加熱要素 16 シリコン・ウェーハ 18 基板支持構造 20 基板ホルダ 22 支持スパイダ 24 シャフト 26 管 28 熱電対 30 スリップ・リング 34 エルボ 40 入口 42 出口 58 排気用開口 59 排気用コンジット 200 半導体基板 210 ゲート・スタック 230 側壁スペーサ 240 キャップ層 300 キャパシタ 360 キャパシタ誘電体
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 29/78 H01L 29/78 301G // H01L 27/108 27/10 651 21/8242 (72)発明者 クリスタン ジェイ. ウェルクホベン アメリカ合衆国 85284−4535 アリゾナ テンペ イースト ラ ヴィーヴェ レ イン 1514

Claims (48)

    【特許請求の範囲】
  1. 【請求項1】 集積されたトランジスタ用のゲート誘電
    体スタックを形成するためのプロセスであって、 枚葉式基板処理チャンバ内に、半導体構造を有する基板
    を装入すること、 前記半導体構造に対して反応性を有する第1のガスにさ
    らすことによって、前記チャンバ内で、前記半導体構造
    の表面に界面誘電体層を成長させること、および同じチ
    ャンバ内で、前記界面誘電体層上に窒化ケイ素層を付着
    させることを含むプロセス。
  2. 【請求項2】 前記窒化ケイ素層を付着させることが化
    学気相成長を含む請求項1に記載のプロセス。
  3. 【請求項3】 前記窒化ケイ素層を付着させることが、
    励起した窒素種を前記基板に供給することを含む請求項
    2に記載のプロセス。
  4. 【請求項4】 前記界面誘電体層を成長させること、お
    よび前記窒化ケイ素層を付着させることが、実質的な等
    温条件下で行われる請求項1に記載のプロセス。
  5. 【請求項5】 界面誘電体を成長させる間、および窒化
    ケイ素を付着させる間、共に基板温度が約700℃〜8
    50℃の間に維持される請求項1に記載のプロセス。
  6. 【請求項6】 界面誘電体を成長させる間、および窒化
    ケイ素を付着させる間、共に基板温度が約750℃〜8
    00℃の間に維持される請求項5に記載のプロセス。
  7. 【請求項7】 基板温度が約650℃〜700℃に維持
    される請求項1に記載のプロセス。
  8. 【請求項8】 前記界面誘電体層を成長させること、お
    よび前記窒化ケイ素層を付着させることが、等圧条件下
    で行われる請求項1に記載のプロセス。
  9. 【請求項9】 前記チャンバ内の圧力が、約1Torr
    〜80Torrの間に維持される請求項8に記載のプロ
    セス。
  10. 【請求項10】 前記界面誘電体層を成長させること、
    および前記窒化ケイ素層を付着させることが、実質的な
    等温条件下および実質的な等圧条件下で行われる請求項
    2に記載のプロセス。
  11. 【請求項11】 前記界面誘電体層を成長させること
    が、熱酸化物を成長させること、および熱酸化物層に窒
    素を取り込むことを含む請求項1に記載のプロセス。
  12. 【請求項12】 前記界面誘電体を成長させる前に、前
    記半導体構造をインシチュ状態で清浄にすることをさら
    に含む請求項1に記載のプロセス。
  13. 【請求項13】 インシチュ状態で清浄にすることが、
    前記半導体構造を水素種にさらすことを含む請求項12
    に記載のプロセス。
  14. 【請求項14】 前記水素種が水素ラジカルを含む請求
    項13に記載のプロセス。
  15. 【請求項15】 窒化物層をインシチュ状態でアニール
    することをさらに含む請求項1に記載のプロセス。
  16. 【請求項16】 集積されたトランジスタ用のゲート誘
    電体スタックを形成するプロセスであって、枚葉式基板
    処理チャンバ内で、半導体基板から第1の誘電体層を成
    長させること、および前記処理チャンバから前記基板を
    取り出すことなく前記第1の誘電体層上に第2の誘電体
    層を付着させることを含むプロセス。
  17. 【請求項17】 前記第2の誘電体層が、前記第1の誘
    電体層よりも高い誘電率を有する請求項16に記載のプ
    ロセス。
  18. 【請求項18】 前記第1の誘電体層を成長させること
    が、前記半導体基板を熱によって酸化することを含む請
    求項16に記載のプロセス。
  19. 【請求項19】 前記第1の誘電体層を成長させること
    が、励起した酸素種を流すことを含む請求項18に記載
    のプロセス。
  20. 【請求項20】 前記第2の誘電体層を付着させること
    が、化学気相成長を含む請求項18に記載のプロセス。
  21. 【請求項21】 前記第2の誘電体層が窒化ケイ素を含
    む請求項20に記載のプロセス。
  22. 【請求項22】 前記第2の誘電体層を付着させること
    が、励起した窒素種を流すことを含む請求項20に記載
    のプロセス。
  23. 【請求項23】 前記第2の誘電体層を付着させること
    が、熱酸化中と同じ温度に基板を維持しながらシランお
    よびアンモニアを流すことを含む請求項20に記載のプ
    ロセス。
  24. 【請求項24】 前記第2の誘電体層が、前記第1の誘
    電体層上に直接付着される請求項16に記載のプロセ
    ス。
  25. 【請求項25】 前記第2の誘電体層を付着させる前
    に、前記第1の誘電体層を窒化することをさらに含む請
    求項16に記載のプロセス。
  26. 【請求項26】 前記第1の誘電体層を窒化すること
    が、前記第1の誘電体層を励起した窒素種にさらすこと
    を含む請求項25に記載のプロセス。
  27. 【請求項27】 半導体基板上に集積されたトランジス
    タ・ゲートを形成する方法であって、 第1の温度で前記基板から酸化物層を成長させること、
    および前記第1の温度の約±50℃以内の第2の温度で
    前記酸化物層上に窒化ケイ素層を付着させることを含む
    方法。
  28. 【請求項28】 前記第2の温度が前記第1の温度の約
    ±10℃以内である請求項27に記載の方法。
  29. 【請求項29】 前記酸化物を成長させること、および
    前記窒化ケイ素層を付着させることが、枚葉式基板処理
    チャンバ内で、前記チャンバから前記基板を取り出すこ
    となくインシチュ状態で行われる請求項28に記載の方
    法。
  30. 【請求項30】 前記窒化ケイ素層上に、多結晶シリコ
    ン層を直接インシチュ状態で付着させることをさらに含
    む請求項29に記載の方法。
  31. 【請求項31】 多結晶シリコン層を付着させること
    が、前記第2の温度の約±50℃以内の第3の温度に前
    記基板を維持することを含む請求項30に記載の方法。
  32. 【請求項32】 窒化ケイ素層を付着させることが、離
    して励起させた窒素種を前記基板に供給することを含む
    請求項31に記載の方法。
  33. 【請求項33】 多結晶シリコン層がゲルマニウムを含
    む請求項30に記載の方法。
  34. 【請求項34】 多結晶シリコン膜のゲルマニウム含有
    量が、約10%〜60%の間である請求項33に記載の
    方法。
  35. 【請求項35】 前記窒化ケイ素層を付着させる前に窒
    化を行うことをさらに含む請求項27に記載の方法。
  36. 【請求項36】 前記窒化が酸化物層を成長させる前に
    行われる請求項35に記載の方法。
  37. 【請求項37】 集積回路を部分的に製作するため、枚
    葉式チャンバ内で順次処理する方法であって、 複数の処理ステップと、前記チャンバ内の基板上で前記
    複数のステップを実行する順序とを選択すること、 前記複数のステップの中からの基本プロセス用の温度を
    選択すること、 前記チャンバ内に基板を装入すること、 前記基板上で前記基本プロセスを実行すること、および
    プラズマを離して発生させ、前記複数のステップのう
    ち、前記選択された順序での基本プロセスの直前または
    直後の少なくとも1つのステップ中、励起した化学種を
    前記基板に供給することを含む方法。
  38. 【請求項38】 前記基本プロセスが多結晶シリコン層
    を付着させること含み、前記複数のステップが、 半導体構造から酸化物を成長させること、および前記酸
    化物上に第2の誘電体層を付着させることをさらに含む
    請求項37に記載の方法。
  39. 【請求項39】 多結晶シリコンを付着させること、酸
    化物を成長させること、および第2の誘電体層を付着さ
    せることのそれぞれが、互いの約±50℃以内で実行さ
    れる請求項38に記載の方法。
  40. 【請求項40】 多結晶シリコンを付着させることが、
    前記多結晶シリコン層にゲルマニウムをドープすること
    を含む請求項38に記載の方法。
  41. 【請求項41】 励起した化学種が、複数のステップの
    うち少なくとも1つのステップに関するプロセス・ウィ
    ンドウを広げ、前記複数のステップのうち少なくとも1
    つのステップが、励起した化学種を用いない複数のステ
    ップのうち少なくとも1つのステップよりも基本プロセ
    ス温度に近い温度で実行される請求項37に記載の方
    法。
  42. 【請求項42】 複数のインシチュ集積回路製作ステッ
    プを実行するためのプロセスであって、 プロセス・チャンバ内に基板を取り付けること、 第1の基板処理ステップで、前記取り付けられた基板
    に、離して発生させたプラズマ生成物を供給し、前記第
    1のステップを第1の温度で実行すること、および前記
    チャンバから基板を取り出すことなく、かつ離して発生
    させたプラズマ生成物を用いることなく、前記第1の温
    度の約±50℃以内の第2の温度で第2の基板処理ステ
    ップを実行することを含むプロセス。
  43. 【請求項43】 前記離して発生させたプラズマ生成物
    が、酸素ラジカルと窒素ラジカルの少なくとも一方を含
    む請求項42に記載のプロセス。
  44. 【請求項44】 前記第1の基板処理ステップが窒化ケ
    イ素を付着させることを含み、前記第2の基板処理ステ
    ップが化学気相成長によって多結晶シリコンまたはシリ
    コン・ゲルマニウムを付着させることを含む請求項43
    に記載のプロセス。
  45. 【請求項45】 前記第1の基板処理ステップが酸化物
    を成長させることを含み、前記第2の基板処理ステップ
    が化学気相成長によって窒化ケイ素を付着させることを
    含む請求項42に記載のプロセス。
  46. 【請求項46】 前記離して発生させたプラズマ生成物
    が、酸素ラジカルと窒素ラジカルの少なくとも一方を含
    む請求項45に記載のプロセス。
  47. 【請求項47】 前記第1の基板処理ステップが前記第
    2の基板処理ステップの前に実行される請求項42に記
    載のプロセス。
  48. 【請求項48】 前記第2の基板処理ステップが前記第
    1の基板処理ステップのすぐ後に続く請求項47に記載
    のプロセス。
JP2000388529A 1999-12-23 2000-12-21 インシチュ誘電体スタックの製造方法及びそのプロセス Withdrawn JP2001237243A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/471,761 US6348420B1 (en) 1999-12-23 1999-12-23 Situ dielectric stacks
US09/471761 1999-12-23

Publications (1)

Publication Number Publication Date
JP2001237243A true JP2001237243A (ja) 2001-08-31

Family

ID=23872893

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000388529A Withdrawn JP2001237243A (ja) 1999-12-23 2000-12-21 インシチュ誘電体スタックの製造方法及びそのプロセス

Country Status (2)

Country Link
US (2) US6348420B1 (ja)
JP (1) JP2001237243A (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003028069A3 (en) * 2001-09-25 2003-12-11 Applied Materials Inc Method for cyclic cvd
WO2003049159A3 (en) * 2001-12-03 2004-01-15 Micron Technology Inc Methods of forming capacitors and methods of forming capacitor dielectric layers
JP2004320022A (ja) * 2003-04-14 2004-11-11 Samsung Electronics Co Ltd 半導体素子のキャパシタ及びその製造方法
US7005714B2 (en) 2003-07-04 2006-02-28 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory and manufacturing method for the same
JP2007504668A (ja) * 2003-09-04 2007-03-01 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 電荷損失が減少された窒化物層を備えるメモリセル構造及びその製造方法
JP2007281181A (ja) * 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7371647B2 (en) 2000-06-22 2008-05-13 Micron Technology, Inc. Methods of forming transistors
JP2009049085A (ja) * 2007-08-15 2009-03-05 Oki Electric Ind Co Ltd 窒化シリコン膜の製造方法
JP2009060145A (ja) * 2008-12-05 2009-03-19 Tokyo Electron Ltd 酸化膜除去方法
US8058130B2 (en) 2000-08-07 2011-11-15 Micron Technology, Inc. Method of forming a nitrogen-enriched region within silicon-oxide-containing masses
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング

Families Citing this family (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100560049B1 (ko) * 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
US6461899B1 (en) 1999-04-30 2002-10-08 Semiconductor Energy Laboratory, Co., Ltd. Oxynitride laminate “blocking layer” for thin film semiconductor devices
CN100592523C (zh) * 1999-06-02 2010-02-24 株式会社半导体能源研究所 半导体器件及其制造方法
US6465828B2 (en) * 1999-07-30 2002-10-15 Micron Technology, Inc. Semiconductor container structure with diffusion barrier
JP4562835B2 (ja) * 1999-11-05 2010-10-13 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6417537B1 (en) * 2000-01-18 2002-07-09 Micron Technology, Inc. Metal oxynitride capacitor barrier layer
JP4056195B2 (ja) * 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
KR100612561B1 (ko) * 2000-06-19 2006-08-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조 방법
US6833329B1 (en) * 2000-06-22 2004-12-21 Micron Technology, Inc. Methods of forming oxide regions over semiconductor substrates
US7081419B2 (en) * 2000-06-28 2006-07-25 Agere Systems Inc. Gate dielectric structure for reducing boron penetration and current leakage
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
CN1262508C (zh) * 2000-08-28 2006-07-05 应用材料有限公司 玻璃衬底的预多晶硅被覆
US6465373B1 (en) * 2000-08-31 2002-10-15 Micron Technology, Inc. Ultra thin TCS (SiCl4) cell nitride for DRAM capacitor with DCS (SiH2Cl2) interface seeding layer
US6750157B1 (en) * 2000-10-12 2004-06-15 Advanced Micro Devices, Inc. Nonvolatile memory cell with a nitridated oxide layer
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
US6602781B1 (en) * 2000-12-12 2003-08-05 Advanced Micro Devices, Inc. Metal silicide gate transistors
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US20020127763A1 (en) * 2000-12-28 2002-09-12 Mohamed Arafa Sidewall spacers and methods of making same
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
JP2002208592A (ja) * 2001-01-09 2002-07-26 Sharp Corp 絶縁膜の形成方法、半導体装置、製造装置
US20050274322A1 (en) * 2001-02-26 2005-12-15 Lee Chung J Reactor for producing reactive intermediates for low dielectric constant polymer thin films
DE10114956C2 (de) * 2001-03-27 2003-06-18 Infineon Technologies Ag Verfahren zum Herstellen einer dielektrischen Schicht als Isolatorschicht für einen Grabenkondensator
JP4657480B2 (ja) * 2001-03-27 2011-03-23 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP3696119B2 (ja) * 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6627501B2 (en) * 2001-05-25 2003-09-30 Macronix International Co., Ltd. Method of forming tunnel oxide layer
JP2002368084A (ja) * 2001-06-12 2002-12-20 Hitachi Ltd 半導体集積回路装置の製造方法
US6511876B2 (en) * 2001-06-25 2003-01-28 International Business Machines Corporation High mobility FETS using A1203 as a gate oxide
KR100532409B1 (ko) * 2001-08-14 2005-11-30 삼성전자주식회사 유전체막과 상부 전극 계면에서의 누설 전류 특성이개선된 반도체 소자의 커패시터 형성 방법
US6878585B2 (en) * 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US20030098038A1 (en) * 2001-11-26 2003-05-29 Siegele Stephen H. System and method for on-site generation and distribution of fluorine for fabrication processes
US20040037768A1 (en) * 2001-11-26 2004-02-26 Robert Jackson Method and system for on-site generation and distribution of a process gas
US20090001524A1 (en) * 2001-11-26 2009-01-01 Siegele Stephen H Generation and distribution of a fluorine gas
US20030121796A1 (en) * 2001-11-26 2003-07-03 Siegele Stephen H Generation and distribution of molecular fluorine within a fabrication facility
US7365403B1 (en) * 2002-02-13 2008-04-29 Cypress Semiconductor Corp. Semiconductor topography including a thin oxide-nitride stack and method for making the same
US6828234B2 (en) * 2002-03-26 2004-12-07 Applied Materials, Inc. RTP process chamber pressure control
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US7038239B2 (en) * 2002-04-09 2006-05-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
JP3989761B2 (ja) 2002-04-09 2007-10-10 株式会社半導体エネルギー研究所 半導体表示装置
JP4463493B2 (ja) * 2002-04-15 2010-05-19 株式会社半導体エネルギー研究所 表示装置及びその作製方法
JP3989763B2 (ja) * 2002-04-15 2007-10-10 株式会社半導体エネルギー研究所 半導体表示装置
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US7256421B2 (en) 2002-05-17 2007-08-14 Semiconductor Energy Laboratory, Co., Ltd. Display device having a structure for preventing the deterioration of a light emitting device
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
JP2004022902A (ja) * 2002-06-18 2004-01-22 Fujitsu Ltd 半導体装置の製造方法
KR100540476B1 (ko) * 2002-06-22 2006-01-10 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US6969689B1 (en) * 2002-06-28 2005-11-29 Krishnaswamy Ramkumar Method of manufacturing an oxide-nitride-oxide (ONO) dielectric for SONOS-type devices
KR100894751B1 (ko) * 2002-07-04 2009-04-24 매그나칩 반도체 유한회사 반도체 소자의 트랜지스터 및 그 제조 방법
US6642117B1 (en) * 2002-08-05 2003-11-04 Taiwan Semiconductor Manufacturing Co. Ltd Method for forming composite dielectric layer
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6727134B1 (en) * 2002-11-05 2004-04-27 Taiwan Semiconductor Manufacturing Company Method of forming a nitride gate dielectric layer for advanced CMOS devices
KR100490303B1 (ko) * 2002-12-03 2005-05-17 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6885590B1 (en) 2003-01-14 2005-04-26 Advanced Micro Devices, Inc. Memory device having A P+ gate and thin bottom oxide and method of erasing same
US6912163B2 (en) * 2003-01-14 2005-06-28 Fasl, Llc Memory device having high work function gate and method of erasing same
JP4681886B2 (ja) * 2003-01-17 2011-05-11 富士通セミコンダクター株式会社 半導体装置
WO2004070816A1 (ja) * 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
JP2004266075A (ja) * 2003-02-28 2004-09-24 Tokyo Electron Ltd 基板処理方法
US20040241948A1 (en) * 2003-05-29 2004-12-02 Chun-Feng Nieh Method of fabricating stacked gate dielectric layer
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US20050064109A1 (en) * 2003-09-19 2005-03-24 Taiwan Semiconductor Manufacturing Co. Method of forming an ultrathin nitride/oxide stack as a gate dielectric
US7078300B2 (en) * 2003-09-27 2006-07-18 International Business Machines Corporation Thin germanium oxynitride gate dielectric for germanium-based devices
US7078337B2 (en) * 2003-09-30 2006-07-18 Agere Systems Inc. Selective isotropic etch for titanium-based materials
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7144825B2 (en) * 2003-10-16 2006-12-05 Freescale Semiconductor, Inc. Multi-layer dielectric containing diffusion barrier material
US6958286B2 (en) * 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US20050148162A1 (en) * 2004-01-02 2005-07-07 Huajie Chen Method of preventing surface roughening during hydrogen pre-bake of SiGe substrates using chlorine containing gases
JP2005203476A (ja) * 2004-01-14 2005-07-28 Oki Electric Ind Co Ltd 半導体装置の配線構造及びその製造方法
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
US20050214456A1 (en) * 2004-03-29 2005-09-29 Donghul Lu Enhanced dielectric layers using sequential deposition
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
KR100586009B1 (ko) * 2004-05-31 2006-06-01 삼성전자주식회사 반도체 장치의 제조 방법 및 이를 수행하기 위한 장치
JP2006066884A (ja) * 2004-07-27 2006-03-09 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US7253121B2 (en) 2004-09-09 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming IMD films
US7361608B2 (en) * 2004-09-30 2008-04-22 Tokyo Electron Limited Method and system for forming a feature in a high-k layer
JP4477981B2 (ja) * 2004-10-07 2010-06-09 Okiセミコンダクタ株式会社 半導体装置の製造方法
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
TWI247432B (en) * 2004-12-03 2006-01-11 Chunghwa Picture Tubes Ltd Manufacturing method of thin film transistor and poly-silicon layer
KR100668954B1 (ko) * 2004-12-15 2007-01-12 동부일렉트로닉스 주식회사 박막트랜지스터 제조 방법
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7446063B1 (en) * 2005-02-24 2008-11-04 Cypress Semiconductor Corp. Silicon nitride films
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
KR100791197B1 (ko) 2005-06-16 2008-01-02 후지쯔 가부시끼가이샤 유전체막의 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
WO2007005312A1 (en) * 2005-06-29 2007-01-11 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes and methods for formation thereof
US7132353B1 (en) * 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
US7902046B2 (en) * 2005-09-19 2011-03-08 The Board Of Trustees Of The Leland Stanford Junior University Thin buffer layers for SiGe growth on mismatched substrates
WO2007035660A1 (en) * 2005-09-20 2007-03-29 Applied Materials, Inc. Method to form a device on a soi substrate
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7524727B2 (en) 2005-12-30 2009-04-28 Intel Corporation Gate electrode having a capping layer
US20070196970A1 (en) 2006-02-21 2007-08-23 Texas Instruments Inc. Method for manufacturing a semiconductor device using a nitrogen containing oxide layer
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US20070232078A1 (en) * 2006-03-31 2007-10-04 Metz Matthew V In situ processing for ultra-thin gate oxide scaling
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) * 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
DE112007001814T5 (de) 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
KR101369355B1 (ko) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US8048717B2 (en) * 2007-04-25 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for bonding 3D semiconductor devices
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
CN101889331A (zh) * 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7897514B2 (en) * 2008-01-24 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor contact barrier
US9487858B2 (en) * 2008-03-13 2016-11-08 Board Of Trustees Of Michigan State University Process and apparatus for diamond synthesis
US20090269939A1 (en) * 2008-04-25 2009-10-29 Asm International, N.V. Cyclical oxidation process
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8524616B2 (en) * 2008-11-12 2013-09-03 Microchip Technology Incorporated Method of nonstoichiometric CVD dielectric film surface passivation for film roughness control
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
US9127340B2 (en) * 2009-02-13 2015-09-08 Asm International N.V. Selective oxidation process
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
KR101801696B1 (ko) * 2009-03-05 2017-11-27 어플라이드 머티어리얼스, 인코포레이티드 감소된 계면 오염을 갖는 층들의 증착 방법
KR100937945B1 (ko) * 2009-08-05 2010-01-21 주식회사 아토 반도체 소자의 제조 방법
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
KR20120111738A (ko) 2009-12-30 2012-10-10 어플라이드 머티어리얼스, 인코포레이티드 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US20110189860A1 (en) * 2010-02-02 2011-08-04 Applied Materials, Inc. Methods for nitridation and oxidation
KR101853802B1 (ko) * 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8507388B2 (en) 2010-04-26 2013-08-13 Asm International N.V. Prevention of oxidation of substrate surfaces in process chambers
TWI562195B (en) * 2010-04-27 2016-12-11 Pilegrowth Tech S R L Dislocation and stress management by mask-less processes using substrate patterning and methods for device fabrication
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR101563541B1 (ko) 2010-12-30 2015-10-27 어플라이드 머티어리얼스, 인코포레이티드 마이크로파 플라즈마를 이용한 박막 증착
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
KR20120089147A (ko) * 2011-02-01 2012-08-09 삼성전자주식회사 반도체 소자의 제조 방법
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
JP5694096B2 (ja) * 2011-09-08 2015-04-01 株式会社東芝 炭化珪素半導体装置の製造方法
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
KR20140003154A (ko) * 2012-06-29 2014-01-09 에스케이하이닉스 주식회사 반도체 장치 제조 방법
US20140048888A1 (en) 2012-08-17 2014-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained Structure of a Semiconductor Device
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
US9711351B2 (en) * 2014-09-11 2017-07-18 Asm Ip Holding B.V. Process for densifying nitride film
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
JP7278111B2 (ja) 2019-03-08 2023-05-19 株式会社Screenホールディングス 熱処理方法および熱処理装置
US10872763B2 (en) 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures
US12249511B2 (en) 2019-05-03 2025-03-11 Applied Materials, Inc. Treatments to improve device performance
US11417517B2 (en) 2019-05-03 2022-08-16 Applied Materials, Inc. Treatments to enhance material structures
US12195846B2 (en) * 2019-08-07 2025-01-14 Applied Materials, Inc. Modified stacks for 3D NAND
WO2021086788A1 (en) 2019-11-01 2021-05-06 Applied Materials, Inc. Cap oxidation for finfet formation
TWI797640B (zh) 2020-06-18 2023-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 基於矽之自組裝單層組成物及使用該組成物之表面製備
KR102783997B1 (ko) * 2020-12-15 2025-03-19 주식회사 원익아이피에스 박막 형성 방법 및 장치
CN114743972A (zh) * 2021-01-07 2022-07-12 中国科学院微电子研究所 多晶硅接触薄膜的沉积方法
TWI740779B (zh) * 2021-02-01 2021-09-21 印能科技股份有限公司 利用增加氣體密度抑制材料翹曲的方法
CN115165109A (zh) 2021-03-18 2022-10-11 Asm Ip私人控股有限公司 具有灯排对准的晶片远边缘温度测量系统

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3926715A (en) 1968-08-14 1975-12-16 Siemens Ag Method of epitactic precipitation of inorganic material
US3943015A (en) 1973-06-29 1976-03-09 International Business Machines Corporation Method for high temperature semiconductor processing
JPS59123226A (ja) 1982-12-28 1984-07-17 Fujitsu Ltd 半導体装置の製造装置
WO1988002209A1 (fr) 1986-09-19 1988-03-24 Kabushiki Kaisha Komatsu Seisakusho Dispositif el a film mince
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4764248A (en) * 1987-04-13 1988-08-16 Cypress Semiconductor Corporation Rapid thermal nitridized oxide locos process
US4789645A (en) 1987-04-20 1988-12-06 Eaton Corporation Method for fabrication of monolithic integrated circuits
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPH0640550B2 (ja) 1987-06-09 1994-05-25 沖電気工業株式会社 薄膜トランジスタの製造方法
US4906328A (en) 1987-07-16 1990-03-06 Texas Instruments Incorporated Method for wafer treating
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US4820378A (en) 1987-07-17 1989-04-11 Texas Instruments Incorporated Process for etching silicon nitride selectively to silicon oxide
KR100212098B1 (ko) 1987-09-19 1999-08-02 가나이 쓰도무 반도체 집적회로 장치 및 그 제조 방법과 반도체 집적 회로 장치의 배선기판 및 그 제조 방법
JP2768685B2 (ja) 1988-03-28 1998-06-25 株式会社東芝 半導体装置の製造方法及びその装置
US5192410A (en) 1988-07-28 1993-03-09 Nippon Steel Corporation Process for manufacturing multi ceramic layer-coated metal plate
US5204288A (en) 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5393683A (en) 1992-05-26 1995-02-28 Micron Technology, Inc. Method of making semiconductor devices having two-layer gate structure
JP2849008B2 (ja) 1992-11-02 1999-01-20 三容真空工業株式会社 カラー液晶表示装置
US5360769A (en) 1992-12-17 1994-11-01 Micron Semiconductor, Inc. Method for fabricating hybrid oxides for thinner gate devices
US5376593A (en) 1992-12-31 1994-12-27 Micron Semiconductor, Inc. Method for fabricating stacked layer Si3 N4 for low leakage high capacitance films using rapid thermal nitridation
EP0636704B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Silicon nitride deposition
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JPH07176627A (ja) 1993-12-17 1995-07-14 Nec Corp 半導体装置の製造方法
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8017470B2 (en) 2000-06-22 2011-09-13 Round Rock Research, Llc Method of forming a structure over a semiconductor substrate
US7371647B2 (en) 2000-06-22 2008-05-13 Micron Technology, Inc. Methods of forming transistors
US8058130B2 (en) 2000-08-07 2011-11-15 Micron Technology, Inc. Method of forming a nitrogen-enriched region within silicon-oxide-containing masses
WO2003028069A3 (en) * 2001-09-25 2003-12-11 Applied Materials Inc Method for cyclic cvd
WO2003049159A3 (en) * 2001-12-03 2004-01-15 Micron Technology Inc Methods of forming capacitors and methods of forming capacitor dielectric layers
US6723599B2 (en) 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
US7153736B2 (en) 2001-12-03 2006-12-26 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
JP2004320022A (ja) * 2003-04-14 2004-11-11 Samsung Electronics Co Ltd 半導体素子のキャパシタ及びその製造方法
US7005714B2 (en) 2003-07-04 2006-02-28 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory and manufacturing method for the same
US7247916B2 (en) 2003-07-04 2007-07-24 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory and manufacturing method for the same
US7387934B2 (en) 2003-07-04 2008-06-17 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory and manufacturing method for the same
JP2007504668A (ja) * 2003-09-04 2007-03-01 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 電荷損失が減少された窒化物層を備えるメモリセル構造及びその製造方法
JP2007281181A (ja) * 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US8105959B2 (en) 2006-04-06 2012-01-31 Elpida Memory, Inc. Method for manufacturing a semiconductor device having a nitrogen-containing gate insulating film
JP2009049085A (ja) * 2007-08-15 2009-03-05 Oki Electric Ind Co Ltd 窒化シリコン膜の製造方法
JP2009060145A (ja) * 2008-12-05 2009-03-19 Tokyo Electron Ltd 酸化膜除去方法
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング

Also Published As

Publication number Publication date
US6544900B2 (en) 2003-04-08
US6348420B1 (en) 2002-02-19
US20020052124A1 (en) 2002-05-02

Similar Documents

Publication Publication Date Title
JP2001237243A (ja) インシチュ誘電体スタックの製造方法及びそのプロセス
JP4281082B2 (ja) 堆積前の表面調整方法
US7202166B2 (en) Surface preparation prior to deposition on germanium
US7790556B2 (en) Integration of high k gate dielectric
US7105055B2 (en) In situ growth of oxide and silicon layers
US6960537B2 (en) Incorporation of nitrogen into high k dielectric film
KR100777321B1 (ko) 동일 챔버에서의 산화물층 및 실리콘층의 성장
JP2008311631A (ja) 化学気相堆積プロセスを用いて高k誘電物質を堆積させる方法
US7737051B2 (en) Silicon germanium surface layer for high-k dielectric integration

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071029

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091207

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091207

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20100118