JP2005203476A - 半導体装置の配線構造及びその製造方法 - Google Patents

半導体装置の配線構造及びその製造方法 Download PDF

Info

Publication number
JP2005203476A
JP2005203476A JP2004006546A JP2004006546A JP2005203476A JP 2005203476 A JP2005203476 A JP 2005203476A JP 2004006546 A JP2004006546 A JP 2004006546A JP 2004006546 A JP2004006546 A JP 2004006546A JP 2005203476 A JP2005203476 A JP 2005203476A
Authority
JP
Japan
Prior art keywords
film
wiring
semiconductor device
wiring structure
cap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004006546A
Other languages
English (en)
Inventor
Kazuhide Abe
一英 阿部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP2004006546A priority Critical patent/JP2005203476A/ja
Priority to US10/910,318 priority patent/US7414314B2/en
Publication of JP2005203476A publication Critical patent/JP2005203476A/ja
Priority to US12/170,458 priority patent/US8859426B2/en
Priority to US14/484,414 priority patent/US10256184B2/en
Priority to US16/377,626 priority patent/US11139235B2/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 半導体装置の配線構造において、配線間の絶縁耐性を向上させるとともに、配線層上に形成されるキャップ膜や層間絶縁膜等に段差が生じることを抑制して正確な微細加工を容易にすることを目的とする。
【解決手段】 第1の上面と、第1の上面に形成された複数の溝部105とを有する第1の絶縁膜101〜104と、溝部105の内壁に形成され第2の上面を有するバリア膜106と、溝部105内であってバリア膜106に接している側面と第3の上面とを有する配線膜108とを備え、溝部105の底面から第1の上面までの高さ、及び当該底面から第3の上面までの高さは、当該底面から第2の上面までの高さよりも高いことを特徴とする、半導体装置の配線構造。
【選択図】 図5

Description

本発明は、半導体装置の配線構造及びその製造方法に関する。
ダマシン法によるCu配線の形成では、絶縁膜の表面に配線溝を形成し、配線溝にCu拡散防止用のバリア膜、Cu配線膜を順に堆積した後、表面を化学的機械的研磨(CMP:Chemical Mechanical Polishing)法により平坦化し、Cu配線膜及びバリア膜を配線溝内のみに残して、その上からキャップ膜を堆積する。キャップ膜に窒化シリコン等の絶縁材料を使用した場合には、絶縁材料であるキャップ膜とCu配線膜との密着性が悪く、キャップ膜に接触するCu配線膜の上面においてエレクトロマイグレーションが起こり易い。このため、Cu配線膜上面からCuイオンが拡散したり、Cuヒロックが拡大し、隣接する配線間でリーク電流が流れやすい。
特許文献1では、隣接する配線間でのリーク電流の抑制を目的とした配線構造が提案されている。この配線構造は、絶縁膜の表面に複数の配線溝を形成し、配線溝にバリア膜及びCu配線膜を埋め込んだ後、絶縁膜の表面をCu配線膜及びバリア膜の上面よりも窪ませ、絶縁膜、バリア膜及びCu配線膜上にキャップ膜を堆積して形成されている。この配線構造では、Cuイオン及びCuヒロックのリーク源となるCu配線膜の上面と、リークのパスとなるキャップ膜と絶縁膜との境界面とを上下方向に分離することによって、隣接する配線間でのリーク電流を抑制している。
特開2002−329780号公報(第15頁、第20図)
特許文献1に記載の配線構造では、配線溝外の絶縁膜を薄膜化させ、配線溝内のバリア膜及び配線膜を配線溝外の絶縁膜よりも突出させている。ここで、薄膜化された絶縁膜の領域が配線構造全体の領域のかなりの部分を占めるので、配線構造全体の領域に対して広い凹部が存在することになる。このように広い凹部が存在すると、上層に形成されるキャップ膜や層間絶縁膜等に段差が生じやすく、正確な微細加工が困難になる。特に、多層配線構造において層数が多くなると、上の層ほど微細加工が困難になる。
本発明に係る半導体装置の配線構造は、第1の絶縁膜と、バリア膜と、配線膜とを備えている。第1の絶縁膜は、第1の上面と、第1の上面に形成された複数の溝部とを有する。バリア膜は、溝部の内壁に形成され、第2の上面を有する。配線膜は、溝部内であって、バリア膜に接している側面と、第3の上面とを有する。そして、溝部の底面から第1の上面までの高さ、及び当該底面から第3の上面までの高さは、当該底面から第2の上面までの高さよりも高いことを特徴とする。
本実施形態に係る半導体装置の配線構造では、溝部の底面から第1の上面までの高さ、及び当該底面から第3の上面までの高さを、当該底面から第2の上面までの高さよりも高く形成することにより、バリア膜の上面が第1の絶縁膜及び配線膜の上面よりも窪む。この窪みを埋め込むようにキャップ膜を形成することにより、配線膜は、上面から窪み内の側面にかけてキャップ膜で連続的に覆われ、配線膜が、配線材料のリークパスである第1の絶縁膜・キャップ膜間の界面に接触することを防止できる。
また、配線材料のリークパスとしては、バリア膜・キャップ膜の界面と、第1の絶縁膜・キャップ膜間の界面とが存在するが、第2の上面(バリア膜)が第1の上面(第1の絶縁膜)よりも窪んで形成されているため、バリア膜・キャップ膜の界面と第1の絶縁膜・キャップ膜間の界面とは上下方向に分離されており、仮にバリア膜・キャップ膜の界面まで配線材料がリークしたとしても、リークが第1の絶縁膜・キャップ膜間の界面に到達することを抑制し、隣接する配線間での絶縁耐性を向上させ得る。
また、一般に第2の上面(バリア膜)の占める領域は、第1の上面(第1の絶縁膜)及び第3の上面(配線膜)よりもかなり狭いので、第2の上面を窪ませたとしても、上層に形成される膜に段差が生じることを抑制できる。
(1)第1実施形態
〔構造〕
図5は、本発明の第1実施形態に係る半導体装置の配線構造の断面図である。この配線構造は、絶縁膜101乃至104(第1の絶縁膜)と、バリア膜106と、配線膜108と、キャップ膜110とを備えている。絶縁膜101乃至104には、複数の溝部105が形成されている。バリア膜106は、溝部105の内壁に沿って形成されており、その上面は絶縁膜104及び配線膜108の上面よりも凹んでいる。配線膜108は、バリア膜106の内壁に沿って形成されており、その上面は絶縁膜104の上面と略同一の高さに形成されている。ここで、バリア膜106及び配線膜108が第1層の配線109を構成する。また、バリア膜106の上面が、配線膜108及び絶縁膜104の上面よりも窪んだ形状となることにより、バリア膜106の上面、配線膜108及び絶縁膜104の側面に囲まれる凹部120が形成されている。キャップ膜110は、配線膜108及び絶縁膜104上に形成されるとともに、凹部120を埋めてバリア膜106の上面、配線膜108の側面、絶縁膜104の側面にも形成されている。
また、キャップ膜110上には、絶縁膜111乃至114が形成されている。キャップ膜110、絶縁膜111及び112には、孔115が形成されており、絶縁膜112乃至114には、孔115に連続する溝部116が形成されている。孔115及び溝部116にはバリア膜117及び配線膜118が形成されており、バリア膜117及び配線膜118が第2層の配線119を構成する。
〔製造方法〕
本実施形態に係る配線構造の製造方法を図1から図5を参照して説明する。
図1(a)に示すように、半導体素子が形成された基板(図示せず)の上に、例えば酸化シリコンからなる膜厚500nmの絶縁膜101、例えば窒化シリコンからなる膜厚50nmの絶縁膜102、例えばフッ素ドープの酸化シリコンからなる膜厚250nmの絶縁膜103、例えば酸化シリコンからなる膜厚50nmの絶縁膜104を、CVD(Chemical Vapor Deposition)法で堆積し、公知のリソグラフィー技術及びエッチング技術により、配線形成予定領域(配線パターンを形成する領域)に複数の溝部105を形成する。溝部105は、幅200nm、深さ350nmであり、隣接する溝部105の間隔は200nmである。
このとき、窒化シリコンからなる絶縁膜102は、溝部105のエッチングにおけるエッチングストップ層として機能する。エッチングストップ層としての絶縁膜102によって、ウエハ内でのエッチングばらつき、あるいは上層の絶縁膜103及び104の膜厚のばらつきの影響を受けることなく、溝部105の深さをウエハ内で均一に加工することが可能になる。
溝部105のエッチングは、例えば、マグネトロン型反応性イオンエッチング(RIE: Reactive Ion Etching)装置を用いる。なお、絶縁膜101のエッチングは、マグネトロン型カソードカップルエッチング装置、二周波励起容量結合プラズマエッチング装置、ICP(Inductive coupled plasma)型エッチング装置の内から適宜選択されたエッチング装置を好適に用いることができる。以降の工程で実行されるエッチングにおいてもこれらのエッチング装置を好適に用いることができる。
絶縁膜104及び103のエッチングには、オクタフルオロシクロブタンCと一酸化炭素COと酸素OとアルゴンArとを使用した。絶縁膜104及び103のエッチングの条件の一例を挙げると、ガス流量C/CO/O/Ar=14/50/5/30sccm、RFパワー1.5kW、チャンバー圧力50mTorrとする。続いて行われる絶縁膜102のエッチングには、CHFとCOとを使用した。絶縁膜102のエッチングの条件の一例を挙げると、CHF/CO=30/170sccm、RFパワー1.5kW、チャンバー圧力30mTorrとする。
次に、図1(b)に示すように、絶縁膜104の上面及び溝部105の内壁に配線材料の拡散防止層としてのバリア膜106を形成する。バリア膜106は、スパッタ法によって、窒化タンタル(TaN)を例えば膜厚50nm堆積することによって形成する。窒化タンタル膜の形成は、例えば、ターゲットにTa、プロセスガスにAr/N混合ガスを用い、指向性を高めたスパッタリング法により、スパッタリング雰囲気の圧力を3mTorr、DCパワーを6kW、成膜温度を150℃の条件で行う。このバリア膜106は、後述する配線膜108の溝部105内での密着性を確保する役割もある。このような役割を担う材料として、タンタル(Ta)、チタン(Ti)、窒化チタン(TiN)、ジルコニウム(Zr)、窒化ジルコニウム(ZrN)、タングステン(W)、窒化タングステン(WN)、窒化タンタルシリコン(TaSiN)、窒化チタンシリコン(TiSiN)、窒化ジルコニウムシリコン(ZrSiN)、窒化タングステンシリコン(WSiN)等を用いることもできる。
さらに、バリア膜106の表面にメッキ膜の種となる膜厚150nmのCuシード膜107を形成する。Cuシード膜107の堆積は、例えば、ターゲットにCu、プロセスガスにArを用い、指向性を高めたスパッタリング法により、スパッタリング雰囲気の圧力を2mTorr、DCパワーを12kW、成膜温度を30℃の条件で行う。Cuシード膜107の役割は、電界メッキを行う際に、ウエハ端に位置する電極からの電子をウエハ中心まで伝えること、及び、メッキ膜成長の核となることである。そのような役割を果たす材料として、Cu合金を用いることも可能である。
次に、図1(c)に示すように、Cu電界メッキを行うことにより、Cu或いはCu合金からなる配線膜108で溝部105を埋め込む。配線膜108は、溝部105を埋め尽くす膜厚以上だけ堆積する。電界メッキには、例えば、Cu成分を析出させる元になる硫酸銅(CuSO・5HO)、電導性を高めるための硫酸(HSO)、高電流密度部の光沢性や溶解性アノード(例えば、リン含有銅)の溶解を促進するための塩素Cl、埋込性を向上させる添加剤などを含むメッキ液を使用する。電界メッキは、例えば、上記メッキ液を用いて、液温25℃、定電流の条件で行う。但し、電流密度は2段階に変化させ、初期ステップでは0.2A/dm、次のステップでは2A/dmとする。電流密度を変化させる理由は、高電流密度のみでは微細パターンである溝部105の入り口でメッキ膜が閉じてボイドが形成される虞がある一方、低電流密度のみでは、配線膜108の堆積速度が遅く、大きなパターンの埋込に時間を要するためである。
配線膜108を電界メッキした後、炉内にて例えば温度100〜350℃、窒素N
び水素Hの混合雰囲気中で1〜300分間の熱処理を行う。或いは、基板をホットプレ
ートに戴載して熱処理しても良い。この熱処理により、配線膜108の微細なCu結晶粒
の成長を促すとともに、膜の硬度、結晶性、比抵抗等の安定化を図る。なお、以下の説明では、Cuシード膜107も含めて配線膜108と称す。
次に、図2(a)に示すように、配線膜108、バリア膜106を化学的機械的研磨(CMP:Chemical Mechanical Polishing)法により研磨し、配線膜108及びバリア膜106を平坦化する。より詳細には、絶縁膜104が露出するまで配線膜108、バリア膜106を除去して、配線膜108及びバリア膜106を溝部105内にのみ残す。この結果、配線膜108及びバリア膜106が溝部105ごとに分離され、各配線膜108及びバリア膜106が第1層の配線109を構成する。
このCMPによる研磨は、例えば2段階の研磨を含んでいる。第1段階では、バリア膜103(TaN)をストッパーにして、絶縁膜104の表面にあるバリア膜106の表面が露出するまで配線膜108を研磨、除去する。第1段階では、研磨粒子としてシリカを含む溶液に銅錯体形成促進剤として過酸化水素Hを加えたものをスラリーとして使用する。また、研磨パッドには、不織布と独立発砲体の積層構造を用い、スラリー流量200ml/min、研磨荷重2psi、キャリアヘッド回転数120rpm、テーブル回転数120rpmとする。続いて第2段階では、絶縁膜101をストッパーにして、絶縁膜104の表面にあるバリア膜106を除去する。第2段階でも、研磨粒子としてシリカを含む溶液に過酸化水素Hを加えたものをスラリーとして使用する。また、研磨パッドには、不織布と独立発泡体の積層構造を用い、スラリー流量200ml/min、研磨荷重2psi、キャリアヘッド回転数80rpm、テーブル回転数80rpmとする。
なお、配線膜108及びバリア膜106の平坦化では、理想的には、配線膜108及びバリア膜106の上面が一致することが好ましいが、実際には、バリア膜103を除去する際(第2段階の研磨)に、配線膜108がバリア膜106よりも研磨されるディッシングが発生するため、配線膜108の上面の中央部がバリア膜106の上面に対して5nm〜10nmだけ窪むことがあるが、このような場合にも、後述するようにバリア膜106の上面を凹ませて配線膜108と溝部105との間に凹部120を形成してキャップ膜110で埋め込むことによって、Cuイオンの拡散及びCuヒロックの拡大を抑制することが可能である。
次に、図2(b)に示すように、薬液によるウェットエッチング又はガスを用いたドライエッチング等によってバリア膜106の上面を配線膜108及び絶縁膜104の上面よりも窪ませる。これにより、配線膜108と溝部105との間には、配線膜108の側面、バリア膜106の上面及び溝部105の内壁によって構成される凹部120が形成される。窪ませる量(凹部120の深さ)は、凹部120へのキャップ膜110の埋め込みを容易に行える深さ、例えば20〜30nmとする。ドライエッチングの条件の一例を挙げると、ガス流量Cl/BCl=70/30sccm、圧力15mTorr、RFパワー1200W、バイアスパワー60Wとする。
続いて、図2(c)に示すように、キャップ膜110を堆積する。キャップ膜110は、配線膜108の上面及び絶縁膜104の上面に形成されるとともに、凹部120を埋め込んで、配線膜108の側面、バリア膜106の上面及び溝部105の内壁に形成される。キャップ膜110は、例えば膜厚50nmの窒化シリコン膜をCVD法で堆積することにより形成される。キャップ膜110は、配線膜108の上面から絶縁膜104の上面へのCuの拡散を抑制するとともに、上層の膜のパターニング時のエッチングストップ層として機能する。このような機能を有する材料として、SiON、SiC又はSiCを主成分とする材料の何れかを用いることもできる。
次に、図3に示すように、例えばフッ素ドープの酸化シリコンからなる膜厚300nmの絶縁膜111、例えば窒化シリコンからなる膜厚50nmの絶縁膜112、例えばフッ素ドープの酸化シリコンからなる膜厚250nmの絶縁膜113、例えば酸化シリコンからなる膜厚50nmの絶縁膜114を、CVD法によって順次堆積する。次に、図4に示すように、公知のリソグラフィ技術及びエッチング技術によって、孔115及び溝部116を形成する。さらに、図5に示すように、上記バリア膜106及び配線膜108の形成と同様にして、孔115及び溝部116にバリア膜117及び配線膜118を埋め込み、不要な部分を除去することにより、第2層の配線119を形成する。さらに配線層を形成する場合には、図2(b)以降の工程を繰り返せば良い。
〔作用効果〕
本実施形態に係る半導体装置の配線構造では、バリア膜106の上面を絶縁膜104の上面及び配線膜108の上面よりも窪ませることによって、絶縁膜104と配線膜108との間に凹部120を形成している。この凹部120を埋め込むようにキャップ膜110を形成することにより、配線膜108は、上面から凹部内の側面にかけてキャップ膜110で連続的に覆われ、配線膜108が、配線材料Cuのリークパスである絶縁膜104・キャップ膜110間の界面に接触することを防止できる。
また、配線材料Cuのリークパスとしては、バリア膜106・キャップ膜110の界面と、絶縁膜104・キャップ膜106間の界面とが存在するが、バリア膜106上面が絶縁膜104上面よりも窪んで形成されているため、バリア膜106・キャップ膜110の界面と、絶縁膜104・キャップ膜106間の界面とは上下方向に分離されており、仮にバリア膜106・キャップ膜110の界面まで配線材料がリークしたとしても、リークが絶縁膜104・キャップ膜106間の界面に到達することが抑制され、隣接する配線109間での絶縁耐性を向上させ得る。
また、一般にバリア膜106上面の占める領域は、絶縁膜104上面及び配線膜108上面よりもかなり狭いので、バリア膜106上面を窪ませたとしても、上層に形成される膜に段差が生じることを抑制できる。これにより、多層配線構造を形成する場合にも、上層において精密な微細加工が可能になる。
(2)第2実施形態
〔構造〕
図7は、第2実施形態に係る半導体装置の配線構造の断面図である。本実施形態の配線構造が上記第1実施形態と異なる点は、キャップ膜の一部(第1層の配線間の部分)が除去されて、キャップ膜110aが溝部105ごとに分離されている点である。
〔製造方法〕
本実施形態に係る配線構造の製造方法を図6及び図7を参照して説明する。
第1実施形態に示した図1(a)乃至図2(c)の工程を経た後、公知のリソグラフィ技術及びエッチング技術により、図6に示すように、窒化シリコン膜であるキャップ膜110をパターニングし、キャップ膜110aを形成する。このとき、パターニング後も配線膜108の上面及び側面がキャップ膜110に覆われている状態にする。ここでは、キャップ膜110aの材料は、配線膜108の配線材料のイオン拡散又はヒロック拡大を防止する機能を有する材料としてSiNを仕様するが、これ以外にもSiON、SiC又はSiCを主成分とする材料を使用しても良い。また、本実施形態ではキャップ膜110をパターニングして隣接する配線間で分離するため、キャップ膜110の材料は、タンタル(Ta)、チタン(Ti)、ジルコニウム(Zr)、タングステン(W)、コバルト(Co)、ニッケル(Ni)等、或いは、これらのうちの少なくとも1種類以上を含む金属であっても良い。また、キャップ膜110の材料は、上記金属のうちの少なくとも1種類以上を含むシリコン化合物であっても良い。また、本実施形態ではキャップ膜110をパターニングして隣接する配線間で分離するため、キャップ膜110の材料は、タンタル(Ta)、チタン(Ti)、ジルコニウム(Zr)、タングステン(W)、コバルト(Co)、ニッケル(Ni)等、或いは、これらのうちの少なくとも1種類以上を含む金属であっても良い。また、キャップ膜110の材料は、上記金属のうち少なくとも1種類以上を含むシリコン化合物であっても良い。
キャップ膜110のパターニング後、第1実施形態に示した図3及び図4と同様にして、絶縁膜111乃至114、孔115、溝部116、バリア膜117及び配線膜118を形成する。
〔作用効果〕
本実施形態においても、バリア膜106の上面が配線膜108及び絶縁膜104の上面よりも窪んだ構造(凹部120)が形成され、凹部120にキャップ膜110が埋め込まれているので、上記第1実施形態と同様の作用効果を奏する。
さらに、本実施形態では、キャップ膜110aをパターニングしたことにより付加的な効果を生じる。
キャップ膜が絶縁材料の場合、SiNの比誘電率は7.0、SiC、SiC等の比誘電率は4〜4.5、層間絶縁膜(絶縁膜111)の比誘電率3.5であり、キャップ膜の比誘電率は層間絶縁膜の比誘電率よりもかなり高いので、半導体装置全体におけるキャップ膜の占有面積が大きければ大きいほど、層間容量及び配線間容量は大きくなる。比誘電率の高いキャップ膜の一部(第1層の配線間の部分)を除去して占有面積を縮小し、その部分に比誘電率の低い層間絶縁膜を配置すれば、各層の配線間及び同一層内の配線間において配線間に挟まれる絶縁膜の比誘電率を実質的に低減し、層間容量及び配線間容量を低減することができる。
一方、キャップ膜が金属等の導電材料であれば、配線膜108との密着性が改善されることにより、エレクトロマイグレーション(EM)耐性が向上する。また、導電材料(キャップ膜)は、層間及び同一層内の配線間で容量成分の電極に対応するが、各溝部105ごとに分離し、配線膜108の上面及び側面とバリア膜105の上面を少なくとも覆うようにキャップ膜を可能な限り除去すれば、層間では電極面積が低減され、同一層内では電極間距離を増大させて、層間容量及び配線間容量を低減できる。
即ち、キャップ膜が絶縁材料であれば、パターニングすることにより、層間容量、配線間容量の低減を図ることが可能となる。一方、キャップ膜が金属等の導電材料であれば、層間容量、配線間容量の低減に加えて、配線膜108との密着性が改善されることにより、エレクトロマイグレーション(EM)耐性が向上する。
(3)第3実施形態
〔構造〕
図9は、第3実施形態に係る半導体装置の配線構造の断面図である。本実施形態の配線構造が上記第1実施形態と異なる点は、キャップ膜110bが無電解メッキ、あるいは選択CVDによって配線膜108の上面及び側面に選択的に形成されている点である。
〔製造方法〕
本実施形態に係る配線構造の製造方法を図8及び図9を参照して説明する。
第1実施形態に示した図1(a)乃至図2(b)の工程を経た後、図8に示すように、CVD法によって、膜厚20nmのタングステン(W)からなるキャップ膜110を配線膜108上に形成する。W形成前の前処理として、Hを含む雰囲気で熱処理を施し、配線膜108表面の酸化層を除去する。熱処理の条件は、例えば、基板温度350℃、H流量1000sccm、Ar流量300sccm、圧力1Torr、処理時間60〜300秒とする。続いて、真空を破ることなく、Wチャンバーにウエハを搬送する。W形成の条件は、例えば、基板温度200〜300℃、WF流量5sccm、H流量500sccm、圧力300Torrとする。なお、ここでは、タングステンW膜形成の前処理(熱処理)とタングステンW膜の形成とを別々のチャンバーで行っているが、これらの処理を同一チャンバー内で行っても良い。
ここでは、キャップ膜110bの材料としてWを例に挙げたが、金属元素を含む導電材料であり、配線膜108の表面を選択的に被覆できる材料であれば良い。例えば、Co、CoP、CoWP、CoMoPなどのCoを主成分とする金属材料、又はNiWP、NiMoPなどのNiを主成分とする金属材料を使用しても良い。
キャップ膜110bの堆積後、第1実施形態に示した図3及び図4と同様にして、絶縁膜111乃至114、孔115、溝部116、バリア膜117及び配線膜118を形成する。
〔作用効果〕
本実施形態においても、バリア膜106の上面が配線膜108及び絶縁膜104の上面よりも窪んだ構造(凹部120)が形成され、凹部120にキャップ膜110が埋め込まれているので、上記第1実施形態と同様の作用効果を奏する。
また、キャップ膜110bが溝部105ごとに分離して形成されるので、第2実施形態と同様に層間容量、配線間容量の低減及びEM耐性の向上が図られる。特に、キャップ膜110bで覆う必要がある配線膜108の上面及び側面を小さな占有面積で覆うことができるので、層間容量及び配線間容量の低減の効果が大きい。
さらに、本実施形態では、キャップ膜110bを無電解メッキ、あるいは選択CVDによって配線膜108上に選択的に形成するため、第2実施形態におけるキャップ膜110の一部(第1層の配線間の部分)を除去するリソグラフィ及びエッチング工程が省略できるため、第2実施形態に比較してスループットが向上する効果がある。
(4)第4実施形態
〔構造〕
図12は、第4実施形態に係る半導体装置の配線構造の断面図である。本実施形態の配線構造が上記第1実施形態と異なる点は、溝部105a内において、バリア膜106の代わりに溝部105aの内側面に絶縁膜106aが形成されており、溝部105a内において絶縁膜106aの内壁に配線膜108aが形成されている点である。また、本実施形態では、溝部105aは、絶縁膜106aの膜厚分だけ幅広に形成されており、本実施形態の絶縁膜106aの内側の幅が、第1実施形態の溝部105の幅と略同一の幅に保たれるように形成されている。即ち、本実施形態に係る配線膜108aの幅は、第1実施形態に係るバリア膜106及び配線膜108を合わせた幅に略等しい。
〔製造方法〕
本実施形態に係る配線構造の製造方法を図10乃至図12を参照して説明する。
第1実施形態に示した図1(a)と同様に、溝部105aを形成する。ここでは、溝部105aのパターニング工程において、溝部105aの内側面に形成する絶縁膜106aの膜厚分だけ溝部105aが溝部105(第1実施形態)よりも幅広に形成されるように、マスクサイズを第1実施形態の場合よりも大きくする。これにより、溝部105aの内周壁に絶縁膜106aが形成された後も、絶縁膜106aの内側の幅が溝部105(第1実施形態)と略同一の幅になる。
次に、絶縁膜104の上面及び溝部105a上に、例えば窒化シリコンからなる膜厚50nmの絶縁膜106aを形成した後、異方性のドライエッチングにより絶縁膜104の上面及び溝部105aの底面にある絶縁膜106aを除去して、溝部105aの内側面に絶縁膜106aを残す。絶縁膜106aは、Cu或いはCu合金などの配線材料の拡散を防止機能を有する材料であり、例えば、SiON、SiC又はSiCを主成分とする材料の何れかを使用することができる。
次に、第1実施形態と同様に、例えば膜厚150nmのCuシード膜107を形成し(図10(b))、Cu電解メッキによって配線膜108aを形成する(図10(c))。また、図11(a)に示すように、配線膜108aをCMPによって除去することによって、溝部105aごとに配線膜108aが形成される。CMPによる配線膜108aの研磨工程は、第1実施形態における配線膜108の第1段階の研磨と同様に行えば良い。
次に、図11(b)に示すように、薬液によるウェットエッチング又はガスを用いたドライエッチング等により絶縁膜106aの一部を除去して、絶縁膜106aの上面を配線膜108a及び絶縁膜104の上面よりも窪ませて、凹部120を形成する。続いて、図11(c)に示すように、第1実施形態と同様に、キャップ膜110を形成し、凹部120をキャップ膜110で埋め込む。
さらに、図12に示すように、第1実施形態と同様にして、絶縁膜111乃至114、孔115a、溝部116aを形成し、図10(a)乃至図11(a)と同様にして絶縁膜117a及び配線膜118aを形成する。但し、ここでは、溝部116aは、第1実施形態の溝部116よりも幅広に形成する。
〔作用効果〕
本実施形態においても、絶縁膜106aの上面が配線膜108a及び絶縁膜104の上面よりも窪んだ構造(凹部120)が形成され、凹部120にキャップ膜110が埋め込まれているので、上記第1実施形態と同様の作用効果を奏する。
さらに、本実施形態では、溝部105(第1実施形態)よりも絶縁膜106aの膜厚の分だけ溝部105aを幅広に形成し、溝部105aの内側面に拡散防止膜としての絶縁膜106aを形成するので、絶縁膜106aの内側の幅は溝部105(第1実施形態)と略同一に形成されるとともに、絶縁膜106aによって配線材料の拡散が防止される。従って、絶縁膜106aの内側にバリア膜なしに直接配線膜108aを形成することが可能であり、かつ、配線膜108aの幅は溝部105(第1実施形態)の幅、即ち、第1実施形態の配線膜108及びバリア膜106を合わせた幅に形成することができる。この結果、配線膜108aの材料よりも高抵抗であるバリア膜106を省略して、第1実施形態の溝部105の幅を全て配線膜108aで埋めることができ、配線の実効的な抵抗値を低減することができる。
(5)第5実施形態
〔構成〕
図14は、第5実施形態に係る半導体装置の配線構造の断面図である。本実施形態の配線構造が上記第4実施形態と異なる点は、キャップ膜の一部(第1層の配線間の部分)が除去されて、キャップ膜110aが溝部105aごとに分離されている点である。
〔製造方法〕
本実施形態に係る配線構造の製造方法を図13及び図14を参照して説明する。
第4実施形態に示した図11(c)の工程までを経た後、公知のリソグラフィ技術及びエッチング技術により、図13に示すように、窒化シリコン膜であるキャップ膜110aがパターニングされる。このとき、パターニング後も配線膜108aの上面及び側面がキャップ膜110aに覆われている状態にする。キャップ膜110aの材料は、配線膜108aの配線材料のイオン拡散又はヒロック拡大を防止する機能を有する材料として、SiON、SiC又はSiCを主成分とする材料を使用する。また、本実施形態ではキャップ膜110aをパターニングして隣接する配線間で分離するため、キャップ膜110aの材料は、タンタル(Ta)、チタン(Ti)、ジルコニウム(Zr)、タングステン(W)、コバルト(Co)、ニッケル(Ni)等、或いは、これらのうちの少なくとも1種類以上を含む金属であっても良い。また、キャップ膜110aの材料は、上記金属のうちの少なくとも1種類以上を含むシリコン化合物であっても良い。
さらに、図14に示すように、第1実施形態と同様にして、絶縁膜111乃至114、孔115a、溝部116aを形成し、図10(a)乃至図11(a)と同様にして絶縁膜117a及び配線膜118aを形成する。但し、ここでは、溝部116aは、第1実施形態の溝部116よりも幅広に形成する。
〔作用効果〕
本実施形態においても、上記第4実施形態と同様の作用効果を奏する。
さらに、本実施形態では、キャップ膜110aをパターニングしたことにより付加的な効果を生じる。
さらに、本実施形態では、キャップ膜をパターニングしたことにより付加的な効果を生じる。
キャップ膜が絶縁材料の場合、SiNの比誘電率は7.0、SiC、SiC等の比誘電率は4〜4.5、層間絶縁膜(絶縁膜111)の比誘電率3.5であり、キャップ膜の比誘電率は層間絶縁膜の比誘電率よりもかなり高いので、半導体装置全体におけるキャップ膜の占有面積が大きければ大きいほど、層間容量及び配線間容量は大きくなる。比誘電率の高いキャップ膜の一部(第1層配線間の部分)を除去して占有面積を縮小し、その部分に比誘電率の低い層間絶縁膜を配置すれば、各層の配線間及び同一層内の配線間において配線間に挟まれる絶縁膜の比誘電率を実質的に低減し、層間容量及び配線間容量を低減することができる。
一方、キャップ膜が金属等の導電材料であれば、配線膜108との密着性が改善されることにより、エレクトロマイグレーション(EM)耐性が向上する。また、導電材料(キャップ膜)は、層間及び同一層内の配線間で容量成分の電極に対応するが、各溝部105ごとに分離し、配線膜108の上面及び側面とバリア膜105の上面を少なくとも覆うようにキャップ膜を可能な限り除去すれば、層間では電極面積が低減され、同一層内では電極間距離を増大させて、層間容量及び配線間容量を低減できる。
即ち、キャップ膜が絶縁材料であれば、パターニングすることにより、層間容量、配線間容量の低減を図ることが可能となる。一方、キャップ膜が金属等の導電材料であれば、層間容量、配線間容量の低減に加えて、配線膜108との密着性が改善されることにより、エレクトロマイグレーション(EM)耐性が向上する。
(6)第6実施形態
〔構造〕
図16は、第6実施形態に係る半導体装置の配線構造の断面図である。本実施形態の配線構造が上記第4実施形態と異なる点は、キャップ膜110bが無電解メッキ、あるいは選択CVDによって配線膜108aの上面及び側面に選択的に形成されている点である。
〔製造方法〕
本実施形態に係る配線構造の製造方法を図15及び図16を参照して説明する。
第4実施形態に示した図11(b)の工程まで終了した後、図15に示すように、CVD法によって、膜厚20nmのタングステン(W)からなるキャップ膜110bを配線膜108a上に形成する。W形成前の前処理として、Hを含む雰囲気で熱処理を施し、配線膜108a表面の酸化層を除去する。熱処理の条件は、例えば、基板温度350℃、H流量1000sccm、Ar流量300sccm、圧力1Torr、処理時間60〜300秒とする。続いて、真空を破ることなく、Wチャンバーにウエハを搬送する。W形成の条件は、例えば、基板温度200〜300℃、WF流量5sccm、H流量500sccm、圧力300Torrとする。なお、ここでは、タングステンW膜形成の前処理(熱処理)とタングステンW膜の形成とを別々のチャンバーで行っているが、これらの処理を同一チャンバー内で行っても良い。
なお、キャップ膜110bの材料としてWを例に挙げたが、金属元素を含む導電材料であり、配線膜108aの表面を選択的に被覆できる材料であれば良い。例えば、Co、CoP、CoWP、CoMoPなどのCoを主成分とする金属材料、又はNiWP、NiMoPなどのNiを主成分とする金属材料を使用しても良い。
さらに、図16に示すように、第1実施形態と同様にして、絶縁膜111乃至114、孔115a、溝部116aを形成し、図10(a)乃至図11(a)と同様にして絶縁膜117a及び配線膜118aを形成する。但し、ここでは、溝部116aは、第1実施形態の溝部116よりも幅広に形成する。
〔作用効果〕
本実施形態においても、上記第4実施形態と同様の作用効果を奏する。
また、キャップ膜110bが溝部105aごとに形成され、第5実施形態と同様に層間容量、配線間容量の低減及びEM耐性の向上が図られる。特に、キャップ膜110bで覆う必要がある配線膜108の上面及び側面を小さな占有面積で覆うことができるので、層間容量及び配線間容量の低減の効果が大きい。
さらに、本実施形態では、キャップ膜110bを無電解メッキ、あるいは選択CVDによって配線膜108a上に選択的に形成するため、キャップ膜110の一部(第1層の配線間の部分)を除去して溝部105ごとに分離するリソグラフィ及びエッチング工程(第5実施形態)が省略できるため、第5実施形態に比較してスループットが向上する効果がある。
第1実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第1実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第1実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第1実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第1実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第2実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第2実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第3実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第3実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第4実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第4実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第4実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第5実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第5実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第6実施形態に係る半導体装置の配線構造の製造方法を示す断面図。 第6実施形態に係る半導体装置の配線構造の製造方法を示す断面図。
符号の説明
101〜104、111〜114 絶縁膜
105、105a、116、116a 溝部
106、117 バリア膜
106a、117a 絶縁膜
107 Cuシード膜
108、108a、118、118a Cu配線膜
109、119 配線
110、110a、110b キャップ膜
115 孔
120 凹部

Claims (40)

  1. 第1の上面と、前記第1の上面に形成された複数の溝部とを有する第1の絶縁膜と、
    前記溝部の内壁に形成され、第2の上面を有するバリア膜と、
    前記溝部内であって、前記バリア膜に接している側面と、第3の上面とを有する配線膜とを備え、
    前記溝部の底面から前記第1の上面までの高さ、及び前記底面から前記第3の上面までの高さは、前記底面から前記第2の上面までの高さよりも高いことを特徴とする、
    半導体装置の配線構造。
  2. 前記第1の上面と前記第3の上面とは、同一平面にあることを特徴とする、請求項1に記載の半導体装置の配線構造。
  3. 前記配線構造は、前記第2の上面と前記側面と前記第3の上面とを覆うキャップ膜をさらに備えていることを特徴とする、請求項1に半導体装置の配線構造。
  4. 前記溝部に形成される前記キャップ膜は、隣接する他の溝部に形成される他のキャップ膜とそれぞれ分離していることを特徴とする、請求項3に記載の半導体装置の配線構造。
  5. 前記キャップ膜は絶縁体であり、
    前記配線構造は、前記キャップ膜の比誘電率よりも小さい比誘電率を持つ第3の絶縁膜であってかつ前記キャップ膜及び前記第1の絶縁膜を覆う第3の絶縁膜を、さらに備えることを特徴とする請求項4に半導体装置の配線構造。
  6. 前記キャップ膜は、SiN、SiON、SiC又はSiCを主成分とする材料の何れかであり、前記第3の絶縁膜は、フッ素ドープの酸化シリコンである、請求項5に記載の半導体装置の配線構造。
  7. 前記キャップ膜は、導電体であることを特徴とする、請求項4に記載の半導体装置の配線構造。
  8. 前記キャップ膜は、Ta、Ti、Zr、W、Co、Ni、或いは、これらのうちの少なくとも1種類以上を含む金属であることを特徴とする、請求項7に記載の半導体装置の配線構造。
  9. 前記第3の上面及び前記側面に無電解メッキ又は選択CVDによって形成されたキャップ膜をさらに備えることを特徴とする、請求項1に記載の半導体装置の配線構造。
  10. 前記キャップ膜は、W、または、Co、または、CoP、CoWP、CoMoP等のCoを主成分とする化合物、または、NiWP、NiMoP等のNiを主成分とする化合物であることを特徴とする、請求項9に記載の半導体装置の配線構造。
  11. 第1の上面と、前記第1の上面に形成された複数の溝部とを有する第1の絶縁膜と、
    前記溝部の内壁に形成され、第2の上面を有する複数の第2の絶縁膜と、
    前記溝部内であって、前記第2の絶縁膜に接している側面と、第3の上面とを有する配線膜とを備え、
    前記溝部の底面から前記第1の上面までの高さ、及び前記底面から前記第3の上面までの高さは、前記底面から前記第2の上面までの高さよりも高いことを特徴とする半導体装置の配線構造。
  12. 前記第1の上面と前記第3の上面とは、同一平面にあることを特徴とする、請求項11に記載の半導体装置の配線構造。
  13. 前記配線構造は、前記第2の上面と前記側面と前記第3の上面とを覆うキャップ膜をさらに備えていることを特徴とする、請求項11に半導体装置の配線構造。
  14. 前記溝部に形成される前記キャップ膜は、隣接する他の溝部に形成される他のキャップ膜とそれぞれ分離していることを特徴とする、請求項11に記載の半導体装置の配線構造。
  15. 前記キャップ膜は絶縁体であり、
    前記配線構造は、前記キャップ膜の比誘電率よりも小さい比誘電率を持つ第3の絶縁膜であってかつ前記キャップ膜及び前記第1の絶縁膜を覆う第3の絶縁膜を、さらに備えることを特徴とする請求項14に半導体装置の配線構造。
  16. 前記キャップ膜は、SiN、SiON、SiC又はSiCを主成分とする材料の何れかであり、前記第3の絶縁膜は、フッ素ドープの酸化シリコンである、請求項15に記載の半導体装置の配線構造。
  17. 前記キャップ膜は、導電体であることを特徴とする、請求項14に記載の半導体装置の配線構造。
  18. 前記キャップ膜は、Ta、Ti、Zr、W、Co、Ni、或いは、これらのうちの少なくとも1種類以上を含む金属であることを特徴とする、請求項17に記載の半導体装置の配線構造。
  19. 前記第3の上面及び前記側面に無電解メッキ又は選択CVDによって形成されたキャップ膜をさらに備えることを特徴とする、請求項11に記載の半導体装置の配線構造。
  20. 前記キャップ膜は、W、または、Co、または、CoP、CoWP、CoMoP等のCoを主成分とする化合物、または、NiWP、NiMoP等のNiを主成分とする化合物であることを特徴とする、請求項19に記載の半導体装置の配線構造。
  21. 第1の絶縁膜上に複数の溝部を形成するステップと、
    前記第1の絶縁膜上にバリア膜を形成するステップと、
    前記溝部内のバリア膜上に配線膜を形成するステップと、
    前記溝部以外の前記第1の絶縁膜が露出するように、前記配線膜及び前記バリア膜を除去するステップと、
    前記溝部の底面から前記溝部以外の前記第1の絶縁膜までの高さ、及び前記底面から前記配線膜の上面までの高さが、前記底面から前記バリア膜の上面までの高さよりも高くなるように前記バリア膜の一部を除去するステップと、
    を含むことを特徴とする半導体装置の配線構造の製造方法。
  22. 前記溝部以外の前記第1の絶縁膜と前記配線膜の上面とは、同一平面にあることを特徴とする、請求項21に記載の半導体装置の配線構造の製造方法。
  23. 前記バリア膜の上面と前記配線膜の側面及び上面とをキャップ膜で覆うステップをさらに含む、請求項21に記載の半導体装置の配線構造の製造方法。
  24. 前記溝部に形成される前記キャップ膜を隣接する他の溝部に形成される他のキャップ膜とそれぞれ分離するステップを、さらに含むことを特徴とする請求項21に記載の半導体装置の配線構造の製造方法。
  25. 前記キャップ膜は絶縁体であり、
    前記キャップ膜の比誘電率よりも小さい比誘電率を持つ第3の絶縁膜によって、前記キャップ膜及び前記第1の絶縁膜を覆うステップをさらに含む、請求項24に記載の半導体装置の配線構造の製造方法。
  26. 前記キャップ膜は、SiN、SiON、SiC又はSiCを主成分とする材料の何れかであり、
    前記第3の絶縁膜は、フッ素ドープの酸化シリコンである、請求項25に記載の半導体装置の配線構造の製造方法。
  27. 前記キャップ膜は、導電体であることを特徴とする、請求項24に記載の半導体装置の配線構造の製造方法。
  28. 前記キャップ膜は、Ta、Ti、Zr、W、Co、Ni、或いは、これらのうちの少なくとも1種類以上を含む金属であることを特徴とする、請求項27に記載の記載の半導体装置の配線構造の製造方法。
  29. 前記配線膜の上面及び側面に無電解メッキ又は選択CVDによってキャップ膜を形成するステップをさらに含むことを特徴とする、請求項21に記載の記載の半導体装置の配線構造の製造方法。
  30. 前記キャップ膜は、W、または、Co、または、CoP、CoWP、CoMoP等のCoを主成分とする化合物、または、NiWP、NiMoP等のNiを主成分とする化合物であることを特徴とする、請求項29に記載の記載の半導体装置の配線構造の製造方法。
  31. 第1の絶縁膜上に溝部を形成するステップと、
    前記溝部の内側のみに第2の絶縁膜を形成するステップと、
    前記第2の絶縁膜の内壁に配線膜を形成するステップと、
    前記溝部以外の前記第1の絶縁膜が露出するように、前記配線膜を除去するステップと、
    前記溝部の底面から前記溝部以外の前記第1の絶縁膜までの高さ、及び前記底面から前記配線膜の上面までの高さが、前記底面から前記第2の絶縁膜の上面までの高さよりも高くなるように前記第2の絶縁膜の一部を除去するステップと、
    を含むことを特徴とする半導体装置の配線構造の製造方法。
  32. 前記溝部以外の前記第1の絶縁膜と前記配線膜の上面とは、同一平面にあることを特徴とする、請求項31に記載の半導体装置の配線構造の製造方法。
  33. 前記バリア膜の上面と前記配線膜の側面及び上面とをキャップ膜で覆うステップをさらに含む、請求項31に記載の半導体装置の配線構造の製造方法。
  34. 前記溝部に形成される前記キャップ膜を隣接する他の溝部に形成される他のキャップ膜とそれぞれ分離するステップを、さらに含むことを特徴とする請求項31に記載の半導体装置の配線構造の製造方法。
  35. 前記キャップ膜は絶縁体であり、
    前記キャップ膜の比誘電率よりも小さい比誘電率を持つ第3の絶縁膜によって、前記キャップ膜及び前記第1の絶縁膜を覆うステップをさらに含む、請求項34に記載の半導体装置の配線構造の製造方法。
  36. 前記キャップ膜は、SiN、SiON、SiC又はSiCを主成分とする材料の何れかであり、
    前記第3の絶縁膜は、フッ素ドープの酸化シリコンである、請求項35に記載の半導体装置の配線構造の製造方法。
  37. 前記キャップ膜は、導電体であることを特徴とする、請求項34に記載の半導体装置の配線構造の製造方法。
  38. 前記キャップ膜は、Ta、Ti、Zr、W、Co、Ni、或いは、これらのうちの少なくとも1種類以上を含む金属であることを特徴とする、請求項37に記載の記載の半導体装置の配線構造の製造方法。
  39. 前記配線膜の上面及び側面に無電解メッキ又は選択CVDによってキャップ膜を形成するステップをさらに含むことを特徴とする、請求項31に記載の記載の半導体装置の配線構造の製造方法。
  40. 前記キャップ膜は、W、または、Co、または、CoP、CoWP、CoMoP等のCoを主成分とする化合物、または、NiWP、NiMoP等のNiを主成分とする化合物であることを特徴とする、請求項39に記載の記載の半導体装置の配線構造の製造方法。
JP2004006546A 2004-01-14 2004-01-14 半導体装置の配線構造及びその製造方法 Pending JP2005203476A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2004006546A JP2005203476A (ja) 2004-01-14 2004-01-14 半導体装置の配線構造及びその製造方法
US10/910,318 US7414314B2 (en) 2004-01-14 2004-08-04 Semiconductor device and manufacturing method thereof
US12/170,458 US8859426B2 (en) 2004-01-14 2008-07-10 Semiconductor device and manufacturing method thereof
US14/484,414 US10256184B2 (en) 2004-01-14 2014-09-12 Semiconductor device and manufacturing method thereof
US16/377,626 US11139235B2 (en) 2004-01-14 2019-04-08 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004006546A JP2005203476A (ja) 2004-01-14 2004-01-14 半導体装置の配線構造及びその製造方法

Publications (1)

Publication Number Publication Date
JP2005203476A true JP2005203476A (ja) 2005-07-28

Family

ID=34737270

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004006546A Pending JP2005203476A (ja) 2004-01-14 2004-01-14 半導体装置の配線構造及びその製造方法

Country Status (2)

Country Link
US (4) US7414314B2 (ja)
JP (1) JP2005203476A (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007142422A (ja) * 2005-11-15 2007-06-07 Dongbu Electronics Co Ltd 半導体素子の製造方法
WO2007091574A1 (ja) * 2006-02-06 2007-08-16 Nec Corporation 多層配線構造および多層配線の製造方法
JP2007281485A (ja) * 2006-04-10 2007-10-25 Interuniv Micro Electronica Centrum Vzw 狭いトレンチ中でスーパー第2結晶粒の成長を発生させる方法
WO2009075052A1 (ja) * 2007-12-12 2009-06-18 Panasonic Corporation 半導体装置及びその製造方法
JP2009532917A (ja) * 2006-04-04 2009-09-10 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体デバイス内のアルミニウム端子パッド材料を除去する方法及び構造体
JP2009277729A (ja) * 2008-05-12 2009-11-26 Panasonic Corp 半導体装置および半導体装置の製造方法
WO2011034089A1 (ja) * 2009-09-18 2011-03-24 株式会社アルバック 成膜方法
JP2013089736A (ja) * 2011-10-17 2013-05-13 Fujitsu Ltd 電子装置およびその製造方法
KR20140023763A (ko) * 2012-08-17 2014-02-27 삼성전자주식회사 금속 플러그를 포함하는 반도체 장치 및 그 제조 방법
CN113078119A (zh) * 2021-03-26 2021-07-06 长鑫存储技术有限公司 半导体结构的制作方法及半导体结构

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080258304A1 (en) * 2007-04-23 2008-10-23 Denso Corporation Semiconductor device having multiple wiring layers
US20090032964A1 (en) * 2007-07-31 2009-02-05 Micron Technology, Inc. System and method for providing semiconductor device features using a protective layer
KR100843971B1 (ko) * 2007-08-08 2008-07-03 주식회사 동부하이텍 금속배선 형성방법
TW200910478A (en) * 2007-08-17 2009-03-01 Advanced Semiconductor Eng Method for manufacturing a device having high aspect ratio via
DE102008026211B4 (de) * 2008-05-30 2010-07-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht
KR101616044B1 (ko) * 2009-07-03 2016-04-28 삼성전자주식회사 무전해 도금에 의해 형성된 랜딩 패드를 포함한 반도체 소자
TWI392619B (zh) * 2010-03-10 2013-04-11 Nat Univ Tsing Hua 一種人力驅動與電力輔助平衡之個人載具
US8772154B2 (en) * 2011-06-17 2014-07-08 GlobalFoundries, Inc. Integrated circuits including barrier polish stop layers and methods for the manufacture thereof
US8956974B2 (en) * 2012-06-29 2015-02-17 Micron Technology, Inc. Devices, systems, and methods related to planarizing semiconductor devices after forming openings
CN103700615B (zh) * 2012-09-27 2018-11-06 盛美半导体设备(上海)有限公司 二氟化氙气相刻蚀阻挡层的方法
US9136221B2 (en) * 2012-09-28 2015-09-15 Intel Corporation Methods of providing dielectric to conductor adhesion in package structures
US8518825B1 (en) * 2012-12-24 2013-08-27 Shanghai Huali Microelectronics Corporation Method to manufacture trench-first copper interconnection
CN103426850B (zh) * 2013-08-27 2016-04-06 南通富士通微电子股份有限公司 晶圆级芯片尺寸封装结构
US9881844B2 (en) * 2013-12-19 2018-01-30 Globalfoundries Singapore Pte. Ltd. Integrated circuits with copper hillock-detecting structures and methods for detecting copper hillocks using the same
US10109583B2 (en) 2014-12-24 2018-10-23 Intel Corporation Method for creating alternate hardmask cap interconnect structure with increased overlay margin
US10541204B2 (en) 2015-10-20 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and method of forming the same
US10020260B1 (en) * 2016-12-22 2018-07-10 Globalfoundries Inc. Corrosion and/or etch protection layer for contacts and interconnect metallization integration
US10677855B2 (en) * 2017-09-08 2020-06-09 Globalfoundries Inc. Structure, method and system for measuring RIE lag depth
KR102665246B1 (ko) * 2018-07-03 2024-05-09 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102589300B1 (ko) * 2018-09-13 2023-10-13 삼성전자주식회사 게이트 스페이서 구조체를 포함하는 집적 회로 소자
US10685876B2 (en) * 2018-09-18 2020-06-16 International Business Machines Corporation Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability
US11088076B2 (en) * 2019-12-27 2021-08-10 Sandisk Technologies Llc Bonding pads embedded in a dielectric diffusion barrier and having recessed metallic liners
US11211301B2 (en) * 2020-02-11 2021-12-28 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of manufacture

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07307338A (ja) * 1993-10-29 1995-11-21 Toshiba Corp 半導体装置の製造方法および半導体装置
JP2000323479A (ja) * 1999-05-14 2000-11-24 Sony Corp 半導体装置およびその製造方法
JP2001181851A (ja) * 1999-10-12 2001-07-03 Sony Corp めっき方法及びめっき構造
JP2002118111A (ja) * 2000-10-12 2002-04-19 Sony Corp 半導体装置およびその製造方法
JP2003068850A (ja) * 2001-08-29 2003-03-07 Tokyo Electron Ltd 半導体装置およびその製造方法
JP2003203911A (ja) * 2002-01-07 2003-07-18 Sony Corp 電解研磨方法および配線の製造方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3579061A (en) * 1968-09-23 1971-05-18 Gen Electric Individual current-limiting fuse for the rolls of a multiple roll capacitor
US5084413A (en) * 1986-04-15 1992-01-28 Matsushita Electric Industrial Co., Ltd. Method for filling contact hole
FR2630588A1 (fr) * 1988-04-22 1989-10-27 Philips Nv Procede pour realiser une configuration d'interconnexion sur un dispositif semiconducteur notamment un circuit a densite d'integration elevee
JPH04320330A (ja) * 1991-04-19 1992-11-11 Sharp Corp 半導体装置のコンタクト部の形成方法
JPH05206064A (ja) * 1991-12-10 1993-08-13 Nec Corp 半導体装置の製造方法
US5387550A (en) * 1992-02-07 1995-02-07 Micron Technology, Inc. Method for making a fillet for integrated circuit metal plug
JP2756887B2 (ja) * 1992-03-02 1998-05-25 三菱電機株式会社 半導体装置の導電層接続構造およびその製造方法
KR960004095B1 (en) * 1993-02-17 1996-03-26 Hyundai Electronics Ind Manufacturing method of metal plug in contact-hole
DE69527344T2 (de) * 1994-12-29 2003-02-27 St Microelectronics Inc Verfahren zur Herstellung einer Halbleiterverbindungsstruktur
JP3318813B2 (ja) * 1995-02-13 2002-08-26 ソニー株式会社 多層配線形成方法
US5496773A (en) * 1995-04-28 1996-03-05 Micron Technology, Inc. Semiconductor processing method of providing an electrically conductive interconnecting plug between an elevationally inner electrically conductive node and an elevationally outer electrically conductive node
US5484747A (en) * 1995-05-25 1996-01-16 United Microelectronics Corporation Selective metal wiring and plug process
JPH09139429A (ja) * 1995-11-10 1997-05-27 Nippon Steel Corp 半導体装置の製造方法
US6008117A (en) * 1996-03-29 1999-12-28 Texas Instruments Incorporated Method of forming diffusion barriers encapsulating copper
US5807786A (en) * 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
US6340827B1 (en) * 1999-01-13 2002-01-22 Agere Systems Guardian Corp. Diffusion barrier for use with high dielectric constant materials and electronic devices incorporating same
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6335283B1 (en) * 2000-01-05 2002-01-01 Advanced Micro Devices, Inc. Method of reducing in-line copper diffusion
US7122900B2 (en) * 2000-06-26 2006-10-17 Renesas Technology Corp. Semiconductor device and method manufacturing the same
JP2002329780A (ja) 2001-04-27 2002-11-15 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP4350337B2 (ja) * 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 半導体装置
JP2003188254A (ja) * 2001-12-18 2003-07-04 Hitachi Ltd 半導体装置の製造方法および半導体装置
KR100500573B1 (ko) * 2003-07-01 2005-07-12 삼성전자주식회사 금속 배선 및 그 제조 방법, 금속 배선을 포함하는 이미지소자 및 그 제조 방법
JP4638140B2 (ja) * 2003-07-09 2011-02-23 マグナチップセミコンダクター有限会社 半導体素子の銅配線形成方法
US7910494B2 (en) * 2006-03-29 2011-03-22 Tokyo Electron Limited Thermal processing furnace, gas delivery system therefor, and methods for delivering a process gas thereto
JP5439901B2 (ja) * 2009-03-31 2014-03-12 富士通セミコンダクター株式会社 半導体装置及びその製造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07307338A (ja) * 1993-10-29 1995-11-21 Toshiba Corp 半導体装置の製造方法および半導体装置
JP2000323479A (ja) * 1999-05-14 2000-11-24 Sony Corp 半導体装置およびその製造方法
JP2001181851A (ja) * 1999-10-12 2001-07-03 Sony Corp めっき方法及びめっき構造
JP2002118111A (ja) * 2000-10-12 2002-04-19 Sony Corp 半導体装置およびその製造方法
JP2003068850A (ja) * 2001-08-29 2003-03-07 Tokyo Electron Ltd 半導体装置およびその製造方法
JP2003203911A (ja) * 2002-01-07 2003-07-18 Sony Corp 電解研磨方法および配線の製造方法

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007142422A (ja) * 2005-11-15 2007-06-07 Dongbu Electronics Co Ltd 半導体素子の製造方法
JP5263482B2 (ja) * 2006-02-06 2013-08-14 日本電気株式会社 多層配線構造および多層配線の製造方法
WO2007091574A1 (ja) * 2006-02-06 2007-08-16 Nec Corporation 多層配線構造および多層配線の製造方法
US7999391B2 (en) 2006-02-06 2011-08-16 Nec Corporation Multilayered wiring structure, and method for manufacturing multilayered wiring
JP2009532917A (ja) * 2006-04-04 2009-09-10 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体デバイス内のアルミニウム端子パッド材料を除去する方法及び構造体
JP2007281485A (ja) * 2006-04-10 2007-10-25 Interuniv Micro Electronica Centrum Vzw 狭いトレンチ中でスーパー第2結晶粒の成長を発生させる方法
WO2009075052A1 (ja) * 2007-12-12 2009-06-18 Panasonic Corporation 半導体装置及びその製造方法
JP2009277729A (ja) * 2008-05-12 2009-11-26 Panasonic Corp 半導体装置および半導体装置の製造方法
JP4675393B2 (ja) * 2008-05-12 2011-04-20 パナソニック株式会社 半導体装置および半導体装置の製造方法
WO2011034089A1 (ja) * 2009-09-18 2011-03-24 株式会社アルバック 成膜方法
JPWO2011034089A1 (ja) * 2009-09-18 2013-02-14 株式会社アルバック 成膜方法
JP2013089736A (ja) * 2011-10-17 2013-05-13 Fujitsu Ltd 電子装置およびその製造方法
KR20140023763A (ko) * 2012-08-17 2014-02-27 삼성전자주식회사 금속 플러그를 포함하는 반도체 장치 및 그 제조 방법
KR102003523B1 (ko) * 2012-08-17 2019-07-24 삼성전자주식회사 금속 플러그를 포함하는 반도체 장치 및 그 제조 방법
CN113078119A (zh) * 2021-03-26 2021-07-06 长鑫存储技术有限公司 半导体结构的制作方法及半导体结构

Also Published As

Publication number Publication date
US7414314B2 (en) 2008-08-19
US11139235B2 (en) 2021-10-05
US20190237401A1 (en) 2019-08-01
US20140374910A1 (en) 2014-12-25
US8859426B2 (en) 2014-10-14
US10256184B2 (en) 2019-04-09
US20080293245A1 (en) 2008-11-27
US20050151224A1 (en) 2005-07-14

Similar Documents

Publication Publication Date Title
JP2005203476A (ja) 半導体装置の配線構造及びその製造方法
JP3647853B1 (ja) 半導体装置の配線構造及びその製造方法
JP5089575B2 (ja) 相互接続構造体及びその製造方法
US7790617B2 (en) Formation of metal silicide layer over copper interconnect for reliability enhancement
US6566258B1 (en) Bi-layer etch stop for inter-level via
US20070049007A1 (en) Interconnect structure and method for forming the same
US7071100B2 (en) Method of forming barrier layer with reduced resistivity and improved reliability in copper damascene process
US7199045B2 (en) Metal-filled openings for submicron devices and methods of manufacture thereof
US6841468B2 (en) Method of forming a conductive barrier layer having improve adhesion and resistivity characteristics
US9666529B2 (en) Method and structure to reduce the electric field in semiconductor wiring interconnects
US8039390B2 (en) Method of manufacturing semiconductor device
JP4540504B2 (ja) 半導体装置の製造方法
JP4207749B2 (ja) 半導体装置の配線構造及びその製造方法
JP2002064139A (ja) 半導体装置の製造方法
JP4238815B2 (ja) 半導体装置の配線構造及びその製造方法
JP2004356315A (ja) 半導体装置及びその製造方法
KR20100078150A (ko) 반도체 소자 및 그의 제조 방법
JPH11186390A (ja) 半導体装置の製造方法
JP2003258088A (ja) 半導体装置、その製造方法、及びその製造装置
KR100862826B1 (ko) 반도체 소자의 구리배선 형성방법
KR20020090441A (ko) 반도체 소자의 구리배선 형성방법
JP2000340565A (ja) 半導体集積回路装置およびその製造方法
JP2004014967A (ja) 半導体装置の製造方法及び半導体装置
KR20080094444A (ko) 반도체 소자의 구리배선 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060804

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20070125

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20070216

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20081126

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090203

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100624

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100818

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110201

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110404

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110802