JP2007281485A - 狭いトレンチ中でスーパー第2結晶粒の成長を発生させる方法 - Google Patents

狭いトレンチ中でスーパー第2結晶粒の成長を発生させる方法 Download PDF

Info

Publication number
JP2007281485A
JP2007281485A JP2007102452A JP2007102452A JP2007281485A JP 2007281485 A JP2007281485 A JP 2007281485A JP 2007102452 A JP2007102452 A JP 2007102452A JP 2007102452 A JP2007102452 A JP 2007102452A JP 2007281485 A JP2007281485 A JP 2007281485A
Authority
JP
Japan
Prior art keywords
copper
ecd
layer
barrier layer
pvd
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007102452A
Other languages
English (en)
Inventor
Gerald Beyer
ゲラルト・バイエル
Sywert H Brongersma
シウェルト・ハー・ブロンヘルスマ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2007281485A publication Critical patent/JP2007281485A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】本発明は、小さなトレンチ中で拡大されたCu結晶粒を得るための方法に関する。更には、半導体装置に使用される狭いトレンチおよび/またはビア中に電気化学的に堆積された銅中で、拡大された銅結晶粒を形成する方法、またはスーパー第2結晶粒成長を誘起する方法に関する。
【解決手段】再結晶した電気化学的に堆積された銅(ECD−Cu)により充填された、少なくとも1つのトレンチおよび/または少なくとも1つのビアを含む半導体装置において、再結晶したECD−Cuの少なくとも80%、85%、90%、91%、または92%が、[100]方位で、少なくとも10ミクロンの寸法を有する銅結晶粒からなる。
【選択図】図2

Description

本発明は、半導体プロセスの分野に関する。
特に、半導体装置に使用される狭い相互接続構造中で、電気化学的に堆積された銅中で拡大された銅粒子を形成し、またはスーパー第2結晶粒成長(super secondary grain growth)を誘起する方法に関する。
更には、半導体装置中の狭いトレンチおよび/またはビアの分野の探求に貢献する。
相互接続は、集積回路の性能とコストを制限する要素であり、これからもあり続ける。技術が小型化すると、更に、相互接続に関する問題がより緊急となる。アルミニウムに代わる材料として低抵抗の銅が導入され、研究者には新たな挑戦が必要となった。銅は、アルミニウム合金と同じ方法では扱えないからである。
形状が小さくなるほど、より狭い銅のトレンチを作製する必要がある。より充填された詰め込みと多層接続を容易にするために、それらが狭くなるとトレンチも比例して小さくなる。誘電体中にエッチングされたそれらの深いトレンチは、ボイドや欠陥なしに完全に埋め込まれなければならない。
物理的気相成長(PVD)は、長い間、集積回路のメタライゼーションのために選択される技術の1つであった。特に、スパッタ成長は、高性能のオンチップ相互接続の作製に広く用いられてきた。しかしながら、PVD技術と連続した形状の限界により、深いトレンチを充填するためのPVDの適用は、一般にはすぐに終わると信じられていた。しかしながら、スパッタ技術が、かかる形状で、薄い拡散バリアおよび/またはシード層の堆積に広く使用される。不運にも、充填される形状のアスペクト比が十分に大きい場合、PVDはシャドーイング効果の影響を受ける。シャドーイング効果は、トレンチやビアの上の角にオーバーハング構造を形成する。形状を満たすように試みる場合、この効果は、ボイドを形成するようになる。幾何学的なシャドーイングにより、トレンチまたはビア中にボイドを形成するように、角の上に膜が優先的に堆積される。
電気メッキされた(電気的に堆積されたまたはECDとも表現される)銅は、マイクロエレクトロニクスの応用のために、バックエンドオブライン(back-end-of-line)処理で狭い相互接続構造を埋めるために選択される方法となる。しかしながら、トレント幅が減少すると、その膜厚がそれに応じて減少しない場合に、PVD堆積シード層の影響は、より重要となる。これは、ECDとPVDの体積率が変化した場合に、トレンチ中の結晶粒成長の力を変化させる。
一般的に、銅の線は、光リソグラフィを用いて誘電体層中にトレンチをパターニングすることにより形成される。バリア層とCuシードはトレンチの中に堆積され、続いてこの構造を満たすために電気メッキが行われる。化学機械研磨(CMP)工程は、余剰の銅やバリア金属を除去するために用いられる。標準的なホットプレートアニール工程(例えば、250℃で30分)がCMPの前に導入され、シード層とメッキされたCuの双方において、銅の再結晶化を拡大する。
薄いPVD銅シード膜中やメッキされた(ECD)銅中での結晶粒成長メカニズムは、多くの著者により記載されている。一般に、2つの成長モードが同時に進み、これらは当業者に、通常および第2の結晶粒成長として知られている。後者は、薄膜またはトレンチ幅の数倍の膜厚の結晶粒サイズを引き起こし、結晶粒界の動きは不規則である。通常、シード層での結晶粒成長は、プロセス条件を通して意図的に遅らされ、その後のECDプロセスにために安定した膜が形成される。
近年、上述のようにECD銅中で一般に観察された第2の結晶粒成長(SGG)とは異なった、スーパー第2結晶成長(SSGG)と呼ばれる、新しい高度に集中した結晶粒成長モードが、Vanstreelsにより、薄いPVD銅膜について開発された。SSGGは、単にアルファTa上にのみ起こり、マイクロメータの数十倍の銅の結晶粒が形成されるように記載されている。第2ステージでは、アルファTa上の薄い物理的気相堆積(PVD)で始まるSSGG結晶粒は、この層とECDCu層のバイレイヤーの再結晶を可能とする。アルファTa上の「PVDのみ」と「PVD−ECDバイレイヤー」の双方で、SSGGとSGGの双方が活性であり、それらの競合が、得られる最終結晶粒構造を決定する。Vanstreelsらによれば、低温ではSSGGが主導的で、温度が上昇した場合SGGの重要性が増す。
SSGGは、マイクロメータの数十倍の大きさの、[100]方位([100] oriented)のスーパー結晶粒を形成するため、より少ない結晶粒界が得られ、それゆえに、それらのスーパー結晶粒を有する銅中の抵抗は低くなる。これは、相互接続構造中のSSGGの適用を、非常に魅力的なものにする。しかしながら、SSGGの成長メカニズムを理解する必要があり、半導体装置の相互接続構造(例えばトレンチ)に大きな結晶粒を導入する必要がある。今までもところ、SSGGを可能にするのに必要とされる膜厚は、一般的なトレンチ寸法より大きいため、薄膜中での成長のみが行われている。
本発明は、再結晶した電気化学的に堆積された銅(ECD−Cu)により充填された、少なくとも1つのトレンチおよび/または少なくとも1つのビアを含む半導体装置であって、再結晶したECD−Cuの少なくとも80%、85%、90%、91%、または92%が、[100]方位で、少なくとも10ミクロンの寸法を有する銅結晶粒からなる半導体装置に関する。
好適には、再結晶したECD−Cuの少なくとも93%、94%、95%、96%、または97%が、[100]方位で、少なくとも10ミクロンの寸法を有する銅結晶粒からなる。
更に好適には、再結晶したECD−Cuの少なくとも98%または99%が、[100]方位で、少なくとも10ミクロンの寸法を有する銅結晶粒からなる。
好適には、[100]方向の銅結晶粒の寸法は、少なくとも20または25マイクロメータである。
更に好適には、[100]方向の銅結晶粒の寸法は、少なくとも50マイクロメータである。
更に好適には、[100]方向の銅結晶粒の寸法は、少なくとも100マイクロメータである。
好適には、トレンチは、本発明にかかる半導体装置において、200nmより小さい幅を有する。
好適には、トレンチは、1より大きなアスペクト比(幅に対する高さの比)を有する。
好適には、本発明にかかる半導体装置は、(銅が下方の層に拡散するのを防止し、特に銅が下方の誘電体層に拡散するのを防止するための)拡散バリア層と、拡散バリア層の上の再結晶ECD−Cuを含む。好適には、拡散バリア層は8nmより小さな膜厚を有する。
好適には、拡散バリア層は、タンタル(Ta)、チタン(Ti)、ルテニウム(Ru)、タングステン(W)、および/またはマンガン(Mn)を含み、またはこれらからなる。
本発明の他の目的は、少なくとも1つのトレンチおよび/または少なくとも1つビアに銅を充填する方法であって、
少なくとも1つのトレンチおよび/または少なくとも1つビアを、誘電体材料の層に開口部をエッチングすることにより提供する工程と、
(誘電体材料中への銅の拡散を防止するために)開口部中にバリア層を堆積または形成する工程と、
バリア層上への電気化学的堆積(または電気化学的メッキ)プロセスの手段により堆積した銅で、開口部を充填する工程と、
ECD−Cu上に直接、物理的気相成長プロセスの手段で、更に銅層を堆積する工程と、
PVD−Cuを再結晶(即ち、スーパー第2結晶粒成長)するための熱処理を行い、これにより、ECD−Cu(4)にも再結晶(即ち、スーパー第2結晶粒成長)を導入する工程と、
余剰の銅を除去する工程とを含む方法に関する。
好適には、本発明にかかる方法では、トレンチは、50nmと200nmとの間に含まれる幅を有する。
好適には、トレンチは、1より大きなアスペクト比を有する。
本発明の方法では、銅(又は誘導層)のPVD堆積前に、開口部が部分的にECD−Cuにより実質的にまたは完全に充填される。好適には、開口部は、ECD−Cuにより完全に充填される。
好適には、本発明にかかる方法では、熱処理(熱アニールともいう)が、銅の緩和温度より高い温度で行われる。更に好適には、熱処理は、80℃より高い温度で行われる。
好適には、熱処理は(約)100℃と(約)420℃の間に含まれる温度で行われ、100℃と(約)400℃の間に含まれる温度で行われ、より好適には(約)130℃で行われ、より好適には(約)200℃で行われてもよい。
好適には、本発明にかかる方法では、PVD−Cuを堆積するPVD堆積工程中に、250Wの基板バイアスが与えられる。
好適には、熱処理は、少なくとの30分行われ、更に好適には、少なくとも1時間行われ、更に好適には、少なくとも1.5時間行われる。
好適には、本発明にかかる方法では、PVD−Cu層(5)の膜厚は400nmと1000nmとの間に含まれ、更に好適には、約500nmである。
好適には、本発明にかかる方法では、バリア層は、タンタル(Ta)、チタン(Ti)、ルテニウム(Ru)、タングステン(W)、および/またはマンガン(Mn)を含み、またはこれらからなる。好適には、バリア層は、α−Ta、β−Ta、又はTaNを含み、またはこれらからなる。
本発明にかかる方法では、更に、ECD−Cuを堆積する工程前に、好ましくは物理的気相堆積プロセスの手段により、銅のシード層をバリア層上に堆積する工程を含む。
本発明にかかる方法は、更に、PVD−Cuを堆積する工程前に、バリア層をストッピング層として、余剰のECD−Cuとシード層を除去する工程を含む。
余剰のECD−Cuとシード層を除去する工程は、化学機械研磨(CMP)により行われる。
代わりに、本発明にかかる方法では、Mnを銅のシード層に混ぜてもよく(Mnは、物理的気相堆積による銅のシード層の堆積工程中に混ぜられ)、Mn含有シード層は、続いて熱処理されて、Mnは誘電体材料に向かって移動し、Mn含有シード層がバリア層として働く。
本発明にかかる方法では、シード層が堆積されず、(もしあれば)余剰のECD−Cuは、ウエットおよび/またはドライエッチバックプロセスで除去されても良い。
本発明にかかる方法では、(もしあるか、もし残っていれば)余剰のPVD−Cuと余剰のバリア層は、化学機械研磨(CMP)により除去しても良い。除去は、ドライおよび/またはウエットエッチバックにより行われても良い。
本発明にかかる方法では、(ECD−CuとPVD−Cuの双方の再結晶のための)熱処理工程中に、MnがECD−Cuにマイグレーションで、誘電体層に向かって混ざり、バリア層として働く。
本発明にかかる方法に内在する異なったパラメータを調整することにより、更には、熱処理中の温度、ECD−Cuの膜厚、PVD−Cuの膜厚、および/または適用される基板バイアスを調整することにより、再結晶したECD−Cuの80%以上、または93%以上、98%または99%以上(全ての値に対して、整数または実数が、80%と99%の間と考えられる)が、[100]方向に少なくとも10ミクロン、少なくとも20または25ミクロン、または少なくとも100ミクロンの大きさを有する導結晶粒からなるようにできる。
本発明にかかる方法は、ダマシン法の又はデュアルダマシン法で、相互接続を形成するために使用することができる。
本発明の他の目的は、本発明にかかる方法で得ることができる半導体装置に関する。
描かれた図面は、単に概略であり限定されるものでは無い。図面において、幾つかの要素の大きさは誇張され、図示目的の縮尺で記載されていない。寸法と、関連する寸法は、本発明の実物に対する現実の縮小には対応していない。
本発明の文脈において、電気化学メッキ(ECP)とも呼ばれる「電気化学的堆積(ECD)」の用語は、銅を用いてトレンチおよび/またはビアを埋めて、ウエハ基板上に相互接続構造を形成するのに使用されるプロセスをいう。ECDプロセスは、電気分解の手段により金属(銅)を堆積するプロセスであり、金属イオン(例えばCu(II)イオン)を含む水性電解液にウエハ基板を配置する工程を含む。
この出願で用いられる「シード層」の用語は、電気メッキされた銅に要求される電流のための導電性経路を提供するために使用される層をいう。このように、一般には、電気化学堆積プロセスに基板が行われる前に、下層の導電性シード層が基板に適用される。PVDは、導電性シード層のための好適な適用プロセスである。なぜなら、比較的良好な接着性を有するバリア層の上に、容易に銅を堆積できるからである。
「直接メッキ」の用語は、高抵抗のバリア層を用い、シード層を用いずにバリア層上への直接メッキが可能な、電気化学的堆積(ECD)または電気化学的メッキ(ECP)をいう。
第2結晶粒および/またはスーパー第2結晶粒の方位(orientation)は、基板に平行な[xyz]方向で表される。スーパー第2結晶粒は、[100]方向に成長し、一方、第2結晶粒は、[111]方向に成長する。
本発明の文脈では、「アスペクト比」の用語は、その中に電気的接触が配置される特定の開口部の、幅の寸法に対する高さの寸法の比をいう。例えば、一般には管状形状で多層を通って延びるビア開口部は、高さと直径を有し、アスペクト比は、管の高さを直径で割った値となる。トレンチのアスペクト比は、トレンチの高さを、トレンチの底面の最小幅で割った値である。
更に、記述中に使用される「アニール」の用語は、その表面やバルク中で処理された材料の特性や構造を改変するために、ウエハに施される熱処理をいう。
本発明は、半導体装置のトレンチやビアのような狭い導電性構造中に、スーパー第2結晶粒を形成する方法である。
本発明の方法は、開口部(トレントおよび/またはビア)をSSGで充填し、例えば、シングルダマシン構造(SD)やデュアルダマシン構造(DD)のような相互接続構造を形成するのに適する。
トレンチおよび/またはビアを充填して半導体装置中に銅の相互接続構造を形成する方法が開示されている。銅は、再結晶した電気化学的に堆積された銅であり、[100]方位を有する拡張された銅結晶粒を有することを特徴とする。
拡張された銅結晶粒は、スーパー第2結晶粒(SSG)と呼ばれ、ECD−Cuの再結晶により得られ、ECD−Cuの再結晶は、ECD−Cuの上に接触し配置された、PVD堆積銅層(PVD−Cu)を再結晶することにより誘起される。
PVD堆積銅層(PVD−Cu)中にスーパー第2結晶粒が誘起され、スーパー第2結晶粒は、更に、電気化学的に充填された銅トレンチ中に成長(拡張)する。
本発明は、PVD−Cu層に誘起された後、スーパー第2結晶粒(SSG)が更に電気化学的に充填された銅トレンチ中に成長するという驚くべき発見に基づく。電気化学的に充填された銅トレンチは、PVD−Cu層の下方に接触して配置される。もちろん、SSGは、PVD−Cu層に直接接触していないECD−Cu層のアニールによっては得られない。
PVD−Cu層中でのスーパー第2結晶粒の最初の集中的な成長は、他の方向(相互接続構造に向かいその中)にも続き、1方向に限定されるのを邪魔しないようである。
更には、半導体装置中の狭い相互接続構造(トレンチおよび/またはビア)中で、スーパー第2結晶粒の形成(許容)する方法が開示されている。スーパー第2結晶粒は、[100]方位の構造と数十ミクロンの大きさを有する。相互接続構造は、好適にはシングルまたはデュアルダマシン構造の一部である。
本発明の方法は、スーパー第2結晶粒を有するような低抵抗の銅に埋められた、狭いトレンチおよび/またはビアを形成するプロセス工程を提供する。本発明の方法による再結晶ECD−Cuは、標準の方法で得られるECD−Cuより低い抵抗率を表す。
このプロセスは、好適には誘電体層中に開口部(トレントまたはビア)を最初にエッチングすることから始まる。
誘電体層には、半導体プロセスで誘電体材料として使用される適当な材料を使用できる。誘電体層は、low−k(低誘電率)誘電体材料(即ち、SiOの誘電定数より低い誘電定数を有する誘電体材料)である。
開口部がトレンチの場合、トレンチ開口部は、200nmより小さな幅と、1より大きなアスペクト比を有することが好ましい。
好適には、続いて、銅の拡散バリア層が開口部内に堆積される。
バリア層は、好適には、物理気相堆積(PVD)または原子層堆積(ALD)により堆積された層を含む薄いタンタル(Ta)からなる。
より好適には、しかしながら限定するものではないが、Ta含有層は、α−Ta、β−Ta、またはTaNの含有層である。
バリア層は、α−Ta、β−Ta、またはTaN層でも良い。
バリア層は、好適には8nmより小さな膜厚を有し、より好適にはトレンチ幅の10%より小さい膜厚である。
銅の拡散バリアとして機能する他の層(例えば、WCN、およびTa(N)/Ru)も考慮される。
バリア層の上に電気化学メッキを行うために、薄い銅のシード層が堆積されても良く、シード層は好適にはPVDで堆積される。
バリア層の上に銅のシード層を形成する必要のない、直接メッキを用いてもよい。直接メッキは、高抵抗バリア層を用い、シード層は不要でありバリア層上への直接メッキが可能である。
トレンチ開口部の残りの部分は、続いて、電気化学メッキされた銅(ECD−Cu)で充填される。開口部は部分的に充填され、実質的に充填され、または完全に充填される。好適には、開口部は完全に充填される。
余剰のECD−Cuと(選択的に)余剰のPVD−Cuシード層は、(もしあれば)、(フィールド上の)バリア層をストッピング層に用いて(フィールド領域から)除去されても良い。
除去プロセスは、好適には化学機械研磨工程である。代わりにウエットまたはドライエッチバックプロセスを用いても良い。
(好適には、ECD−Cu層より厚い)第2の厚い銅層は、更に誘起層と呼ばれ、高いバイアスを用いた物理気相堆積(PVD−Cu)により平坦化された表面上に堆積される。
高いバイアスは、好適には150Wより高い。更に好適には、200mmのウエハに対して少なくとも250Wである。300mmウエハに対する最適のバイアスの設定はより高い。
第2のPVD−Cu層又は誘起層の厚みは、好適には400nm〜1000nmの範囲であり、より好適には500nmである。
熱アニールは薄いPVD−Cuの誘起膜にスーパー第2結晶粒成長を誘起するために行われる。続いて、スーパー第2結晶粒は、更にECD−Cu中に広がり(又は成長し又は拡張し)、スーパー第2結晶粒(SSG)を有する、より低い抵抗率の銅が得られる。
拡張プロセスは、その期間中、誘起されたSSGが成長し続けるプロセスとして理解され、これにより、ECD−Cuで充填された狭い構造中に成長し、SSGが実質的に狭い構造を満たす。
熱アニールは、銅の緩和温度より高い温度で行われる。緩和温度は約80℃である。熱アニールは80℃から120℃までの範囲の温度で行われる。好適には、熱アニールは100℃から420℃までの温度で行われ、より好適には100℃から400℃の温度で行われ、更に好適には200℃周辺で行われる。
SSGGプロセスとECD−Cu構造中のSSGの形成が完了した後、余剰の第2のPVD−Cu誘起層が除去される。
除去は、好適には化学機械研磨(CMP)又は代わりにウエットおよび/またはドライエッチバックプロセスで行われる。
フィールド上のバリア層は、誘起層の除去に続いて除去される。
代わりに、非常に薄い膜を形成するECDプロセスを用いた場合、PVD銅誘起層は、このECD層の上に、中間CMP工程無しに直接堆積することができる。最後のCMP工程では、フィールド上のPVD誘起層、バリア層、シード層(もしあれば)、およびECD−Cuを除去する。
代わりに、バリア工程を省略し、Mnを含むシード層をECD前に堆積してもよい。このMn溶質は、続いて、熱アニール中にバリア層を形成する。
代わりに、Mnは、メッキ中にECD−Cuに含まれてもよい。Mnは続いて、熱アニール中に誘電体に向かって移動し、バリアとして働く。
本発明は、また、(再結晶した)電気化学的に堆積された銅(ECD−Cu)で充填された少なくとも1つの相互接続構造を有する半導体装置に関する。ECD−Cuは、更に、低抵抗率の銅であり、スーパー第2結晶粒(SSG)を有する。SSGは[100]方位を有する。
更には、再結晶したECD銅の80%より多くが、[100]方位を有するSSGの形態である。
好適には、再結晶したECD銅の93%より多くが、[100]方位を有するSSGの形態である。
更に、好適には、再結晶したECD銅の98%より多くが、[100]方位を有するSSGの形態である。
そのような強い方向性を有する銅構造を形成には、銅のエレクトロマイグレーションが有用である。
SSG構造は、更に少なくとも10ミクロンの寸法を有することを特徴とする。好適には、SSGは、少なくとも20ミクロン、少なくとも25ミクロン、少なくとも50ミクロン、及び更に好適には少なくとも100ミクロンの寸法を有する。
SSG結晶粒は、最初、ECD−Cに接触していないPVD−Cu誘起層中に形成され、SSG結晶粒は、更に、ECD−Cu中に移動(または成長、または拡張)する。PVD−Cu層は好適には、SSGのECD−Cu中への移動(または成長、または拡張)後に除去される。
拡大された銅結晶粒を有する、銅から形成された相互接続構造は、単位体積当たりの結晶粒界の数の低減により、改良された抵抗率を有する。
拡大された銅結晶粒は、好適には少なくとも10ミクロン(μm)の寸法を有し、より好適には少なくとも25ミクロン(μm)の寸法を有し、更に好適には少なくとも100ミクロン(μm)の寸法を有する。ここで、ECD−Cuのアニール後に得られる、通常の第2の銅結晶粒は、1〜2ミクロン(μm)の寸法を有する。
本発明にかかる方法や半導体装置で使用される銅は、好適には、半導体産業で使用される一般的な種類の銅合金を含む。更に好適には、好適な具体例では、約98重量%の銅を含む銅合金が使用される。
本発明の好適な具体例にかかる方法を、図2(A)から(H)に示す。
本発明の方法は、ボイドの発生無く、低抵抗率の銅で相互接続の銅を形成するために、1より大きなアスペクト比を有するトレンチを充填するための問題を解決する。
銅の物理的気相堆積(PVD)は、電気化学的堆積(ECD)銅層に比較してより密度の高い堆積された銅を形成する。しかしながら、トレンチをPVDで充填することは、この技術が浅い構造でのボイド形成につながることから望まれない。
一方、ECDは狭い形態を、完全にボトムアップして充填できる技術として知られている。
PVDで堆積された銅中の歪は、基板バイアスを調整することにより堆積プロセス中に調整することができることが知られている。これにより、より好適な[100]方位の銅を増加(例えば80%より多い)させ、スーパー第2銅結晶粒(SSG)の成長を可能とする。
思いがけず、本発明は、トレンチおよび/またはビアを充填する技術と相互接続構を形成する技術の双方の利点を合わせ持ち、または言い換えれば、ECD銅で充填されたトレンチおよび/またはビア中で、SSGの成長を可能とする。
狭いトレント(および/またはビア)中でのSSGの形成について説明する。
スーパー第2銅結晶粒(SSG)は、[100]方位で、数十ミクロンの長さの構造を有する、拡大された銅結晶粒は、好適には、少なくとも10ミクロン(μm)の寸法を有し、更に好適には少なくとも25ミクロン(μm)の寸法を有し、最も好適には少なくとも100ミクロン(μm)の寸法を有する。一方、ECD−Cuのアニール後に得られる通常の第2の銅結晶粒は、1〜2μmの寸法である。
本発明の方法を適用した後、ECD銅の80%より多くが(SGG形状で)[100]方位を有し、より好適には、ECD銅の93%より多くが[100]方位を有し、更に好適には、ECD銅の98%より多くが[100]方位を有する。
そのような強力な方向性の同構造の形成には、銅のエレクトロマイグレーションが有利である。
最初に第2の結晶粒を、ECD−Cu構造の上を覆う誘起層に導入することにより、SSGGがECD−Cu中に形成される。誘起層は、PVD堆積された銅(含有)層である。
本発明のかかる好適な方法は、基板中に、相互接続構造を形成するための、最初に開口部(即ち、トレンチまたはビア)を形成する工程を含む。
この構造は、好適には、基板上にSiOのような誘電体層1、またはCVD堆積SiCOH材料(例えばBlack Diamond(登録商標))のようなlow−k(低誘電率)、または有機スピンオン材料(例えばSilk(登録商標))等を有する、能動素子を含む半導体ウエハである。
フォトリソグラフィックパターニングに続き、誘電体層1に開口部(トレンチまたはビア)をエッチング形成するために、反応性イオンエッチングが用いられる。
開口部がトレンチの場合、トレンチ開口部は、好適には、少なくとも200nmの幅を有し、アスペクト比は1より大きい。
続いて、連続したバリア層2が、誘電体基板1の上に堆積される。バリア層2は、誘電体層の上部と同様に(余剰層とよばれる)、開口部の側壁や底部の上に、連続した層として堆積される。
銅の拡散バリア層2は、α−Ta(結晶)、β−Ta(結晶)、またはTaN(アモルファス)の層のような、Ta含有層であることが好ましい。
技術の他の状態では、銅拡散層は、また、例えば、Ti含有層(例えばTiN/Ti)、W含有層(例えばWCN)、Ru含有層等であっても良い。
バリア層2は、好適には、物理的気相堆積(PVD)または原子層堆積(ALD)により堆積される。PVD対せ吉佐凹は、好適には8nmより小さな厚みを有する。好適には、バリア層2の厚みはトレンチ幅の10%より小さい。
好適な具体例では、バリア層上に、シード層3が堆積される。シード層3は、好適には、好ましくは10nmから70nmまでの範囲の厚みを有し、更に好ましくは30nmの厚みを有するPVD堆積された銅層である。シード層3は先に堆積されたバリア層2の上に均一に堆積される。最も好ましいくは、開口部の側壁がシード層3のよって完全に覆われる。シード層3は、後に電気化学的メッキのための導電経路を提供する。
シード層3を堆積した後、基板はメッキ溶液に浸責され、銅がメッキ浴からシード層の表面に電気化学的に堆積する。開口部(トレンチまたはビア)を、ECD−Cu4により完全に充填できる。副次的な効果として、余剰な銅が、フィールド領域の迂遠に存在する。
余剰なECD−Cuの膜厚に依存して、余剰(ECD−Cu)が続いて化学機械研磨(CMP)又はエッチバックにより除去される。
図2(F)に示すように、フィールド上に存在するバリア層2は、エッチバックまたはCMPのストッピング層となりうる。
図2(G)に示すように、薄い銅層が平坦化された表面上に堆積される。銅層は、堆積中に高いバイアスを用いてPDV堆積された銅層である。
高バイアスの設定は、装置の特性でも良い。好適なバイアスは150ワットより高く、更に好適には200mmウエハに対して250W程度である。
300mmウエハに対する最適なバイアス設定は、200mmウエハに対するものより高くなるであろう。
薄いPVD−Cu層5は、更にこの応用では、誘起層と呼ばれる。
PVD−Cu誘起層5の膜厚は、好適には、400nmから1000nmの範囲であり、更に好適には500nm程度である。
PVD−Cu層は、また、(堆積中に与えられる高い基板バイアスにより)高歪を有する層として特徴づけられる。
イオン金属プラズマ(IMP)や自己イオン化プラズマ(SIP)堆積のような、近年開発されたPVD堆積技術を用いることもできる。
続いて、熱処理または熱アニールが行われ、PVD−Cu誘起層9中での再結晶が達成される。
誘起層(PVD−Cu)の堆積と、熱アニールとの間の期間は、できるだけ短いことが好ましい。
最も好適な熱アニールは、銅の緩和温度の直上の温度で行われ、銅の緩和温度は、80℃から120℃までの範囲である。
アニール温度は、好適には100℃から420℃までの範囲であり、より好適には100℃と400℃の間である。更に好適には、アニール温度は130℃程度であり更には約200℃である。
図6は、アニール温度を関数とした、PVD−Cu層中の歪緩和を示す。
歪緩和が達成され、SSG成長が最適化される、本発明の熱アニール最適な温度範囲を示す。
熱アニール中に、SSGが誘起層(PVD−Cu)中に誘起され、SSGは更に下部のECD−Cu中に拡がる。
熱アニール工程は、好適には、最低0.5時間(30分)行われ、好適には1時間行われ、更に好適には1.5程度行われる。
最低アニール時間は、SSGGを形成し拡張するのに必要とされ、異なるパラメータの関数で調整され、特に、与えられるバイアス、ECD−Cu層およびPVD−Cu層の厚み、又は[110]方位を有し、10、25、100μmより高い寸法を有する銅のパーセンテージの関数として調整される。
熱アニールの間、スーパー第2結晶粒成長(SSGG)はPVD−Cu誘起層9中に誘起される。
SSGGは、[110]方位を有し、少なくとも数十ミクロンの結晶粒の大きさを有するスーパー第2結晶粒(SSG)を形成する。
ECD−Cuを改変(ECD−Cu中に80%より多いSSGを形成)するための熱アニールの最適時間は、更に、ECD−Cu堆積に使用される化学(メッキ浴の成分)に依存する。
SSGは、低抵抗率と改良されたエレクトロマイグレーションを有する特徴を有し、そのサイズ(大きさ)により殆ど粒界がない、SSGは下部のECD−Cu中に成長し続け、開口部は少なくとも部分的にSSG6により充填される。
熱アニールの後、PVD−Cu誘起層9とバリア層5が除去される。
除去は、好適には化学機械研磨技術または代わりのウエットおよび/またはドライエッチバック技術の手段により達成される。
代わりのそして好適な具体例では、高い抵抗率のバリア層上への直接メッキが、開口部を銅で電気化学的に充填する技術として使用される。
この代わりが、図3(A)−(I)に模式的に示されており、開口部中のバリア層上に、直接メッキされたECD−Cu8を有している。
この代わりでは、バリア層上へのシード層の堆積工程が不要となっている。
高抵抗率のバリア層は、TiN/Ti層またはTaN層でも良い。
代わりに、貴金属(例えば、Pt、Pd、又はRu)の薄層またはクラスタが、バリア層上に堆積され、直接メッキプロセスを有利にしても良い。
他の代わりのそして好適な具体例では、銅の電気化学的堆積が最適化され、ECD−Cuの非常に薄い余剰膜のみがフィールド領域上に形成される。
これにより、フィールド領域上のECD−Cuの除去工程(例えば、CMP手段)が不要となる。
最終CMP工程は、フィールド上のシード層やECD−Cuと同様に、PVD−Cu誘起層を除去する。この代わりは、図4(A)−(H)に模式的に示されている。
他の代わりのそして好適な具体例では、バリア層の堆積が省略でき、銅の堆積中にMnが造の中に混ぜられる(例えば、MnとCuの合金、Mnは合金の5重量%から15重量%で残部はCu)。
Mnは、開口部(ビアまたはトレンチ)の側壁や底部上にシード層を堆積する間に、Cuシード層中に混合される。
代わりに、電気化学的メッキプロセス中に、MnがECD−Cuに混入されても良い。
上述の具体例に記載したようなSSGGを誘起するための熱アニール中に、Mnは誘電体材料に向かって移動し、バリアとして働く。
この代わりは、(直接Cu−Cu接続となる)デュアルダマシン構造中で、セルフアラインのバリア層を形成するデュアルダマシンプロセスで非常に有益である。
全ての図面は、本発明の形態や具体例を描くことだけを意図とする。装置は、明確化のために単純化して描かれる。全ての代替えまたは選択肢が描かれるわけではなく、それゆえに本発明は、添付された図面の内容に限定されるものでは無い。同じ数字は、異なった形態中の同一部分を表すのに使用される。
従来技術にかかる導電性構造(例えばトレンチ)の形成およびそれに続くトレンチ内への銅の充填と再結晶(従来技術)を示す。 (A)−(I)は、本発明の方法により、狭いトレンチ(またはビア)に電気メッキされた銅(ECD−Cu)を埋め、続いて、本発明にかかる方法で銅の再結晶を行い、ECD−Cu中にスーパー第2結晶粒成長(SSGG)を形成する(また得る)、本発明の好適な具体例を示す。 (A)−(I)は、本発明の方法により、狭いトレンチ(またはビア)を抵抗バリア層上への銅の直接メッキを用いて埋め、続いて、本発明にかかる方法で銅の再結晶を行い、ECD−Cu中にスーパー第2結晶粒成長(SSGG)を形成する(また得る)、本発明の他の好適な具体例を示す。 (A)−(H)は、狭いトレンチ(またはビア)に電気メッキされた銅を用いて埋める本発明の他の好適な具体例を示す。電気メッキされた銅は、非常に薄い被覆層を有し、余剰の平坦化工程を省略できる。本発明にかかる方法で、続いて銅の再結晶を行い、ECD−Cu中にスーパー第2結晶粒成長(SSGG)を形成する。 250Wで堆積され、250℃で1時間アニールされた、厚みが500nmのPVD膜のスーパー第2結晶粒を示す(スケールバーは500μm)。 本発明の方法にかかるスーパー結晶粒の成長の温度範囲を示す(アニール)温度を関数としたECD堆積されたCu中の歪を示す。 (a)はオリジナル[111]結晶学方位を有するECD堆積された銅に観察される結晶学方位の分布(組織)を示す。(b)SGG結晶粒とSSGG結晶粒の双方が存在する結晶粒構造を示す。(c)に示すようにSSGGが最も完全な状態では、アズデポ膜のオリジナル[111]組織が(本発明の方法を実施した後において)完全に消滅する。 トレンチの断面積を関数とした(トレンチの高さは130nm)、Cuトレンチのための標準抵抗率の値(即ち、本発明にかかる方法を行わない)を示す。

Claims (35)

  1. 再結晶した電気化学的に堆積された銅(ECD−Cu)が充填された、少なくとも1つのトレンチおよび/または少なくとも1つのビアを含む半導体装置であって、
    再結晶したECD−Cuの少なくとも80%が、[100]方位と、少なくとも10ミクロンの寸法を有する銅の結晶粒からなる半導体装置。
  2. 再結晶したECD−Cuの少なくとも93%が、[100]方位と、少なくとも10ミクロンの寸法を有する銅の結晶粒からなる請求項1のかかる半導体装置。
  3. 再結晶したECD−Cuの少なくとも98%が、[100]方位と、少なくとも10ミクロンの寸法を有する銅の結晶粒からなる請求項1のかかる半導体装置。
  4. [100]方位を有する銅の結晶粒が、少なくとも25ミクロンの寸法である請求項1〜3のいずれかにかかる半導体装置。
  5. [100]方位を有する銅の結晶粒が、少なくとも100ミクロンの寸法である請求項1〜3のいずれかにかかる半導体装置。
  6. トレンチが、200nmより小さな幅を有する請求項1〜5のいずれかにかかる半導体装置。
  7. トレンチが、1より大きなアスペクト比(幅に対する高さの比)を有する請求項6にかかる半導体装置。
  8. 再結晶したECD−Cuが、拡散バリア層の上に横たわる請求項1〜7のいずれかにかかる半導体装置。
  9. 拡散バリア層が、8nmより小さな厚みを有する請求項8にかかる半導体装置。
  10. 拡散バリア層が、タンタル(Ta)、チタン(Ti)、ルテニウム(Ru)、タングステン(W)、および/またはマンガン(Mn)を含むまたはからなる請求項8または9にかかる半導体装置。
  11. 少なくとも1つのトレンチおよび/または少なくとも1つのビアを銅で埋める方法であって、
    誘電体材料(1)の層に開口部をエッチングし、少なくとも1つのトレンチおよび/または少なくとも1つのビアを形成する工程と、
    誘電体材料(1)中に銅が拡散するのを防止するために、開口部中にバリア層(2)を堆積または形成する工程と、
    バリア層(2)上への電気化学的堆積(または電気化学的メッキ)プロセスの手段により、銅(4)を堆積させて開口部を充填する工程と、
    ECD−Cu(4)上に直接、物理的気相堆積プロセスの手段で更に銅層(5)を堆積する工程と、
    PVD−Cu(5)の再結晶を誘起する(即ち、スーパー第2結晶粒成長を誘起する)ために熱処理を行い、これにより、再結晶(即ち、スーパー第2結晶粒成長)をECD−Cu(4)中にも誘起する工程と、
    余剰の銅を除去する工程とを含む方法。
  12. トレンチが、50nmと200nmの間に幅を有する請求項11にかかる方法。
  13. トレンチが、1より大きなアスペクト比を有する請求項12にかかる方法。
  14. 熱処理が、(約)100℃と(約)420℃の間の温度で行われる請求項11〜13のいずれかにかかる方法。
  15. 熱処理が、(約)200℃で行われる請求項14にかかる方法。
  16. 250Wの基板バイアスが、PVD−Cu(5)の堆積のためのPVD堆積中に適用される請求項11〜15のいずれかにかかる方法。
  17. 熱処理が、少なくとも30分行われる請求項11〜16のいずれかにかかる方法。
  18. PVD−Cu層(5)の膜厚が、400nmと1000nmの間である請求項11〜17のいずれかにかかる方法。
  19. バリア層(2)が、タンタル(Ta)、チタン(Ti)、ルテニウム(Ru)、タングステン(W)、および/またはマンガン(Mn)を含むまたはからなる請求項11〜18のいずれかにかかる方法。
  20. バリア層(2)が、α−Ta、β−Ta、又はTaNを含むまたはからなる請求項11〜19のいずれかにかかる方法。
  21. ECD−Cu(4)の堆積工程の前に、好適には物理的気相堆積プロセスの手段により、バリア層(2)上に銅のシード層(3)を堆積する工程を更に含む請求項11〜20のいずれかにかかる方法。
  22. 最初、物理的気相堆積により、銅のシード層(3)の堆積中に、銅のシード層(3)中にMnを混入しながらバリア層(2)を形成し、続いて、Mn含有シード層をアニールする請求項11〜18のいずれかにかかる方法。
  23. PVD−Cu(5)の堆積工程前に、余剰のECD−Cu(4)を除去する工程を更に含む請求項11〜22のいずれかにかかる方法。
  24. PVD−Cu(5)の堆積工程前に、可能であればバリア層(2)をストッピング層として用いて、余剰のECD−Cu(4)とシード層(3)とを除去する工程を更に含む請求項21にかかる方法。
  25. 余剰のECD−Cu(4)および可能であれば余剰のシード層(3)の除去工程が、化学機械研磨(CMP)により行われる請求項23又は24にかかる方法。
  26. 余剰のPVD−Cu(5)と余剰のバリア層(2)とを除去する工程を更に含む請求項11〜25のいずれかにかかる方法。
  27. 余剰のPVD−Cu(5)と余剰のバリア層(2)を除去する工程が、化学機械研磨(CMP)で行われる請求項26にかかる方法。
  28. 余剰のPVD−Cu(5)と余剰のバリア層(2)を除去する工程が、ドライおよび/またはウエットエッチバックで行われる請求項26にかかる方法。
  29. MnがECD−Cu(4)中に混入され、熱処理工程中に、誘電体層に向かって移動してバリア層として働く請求項11〜28のいずれかにかかる方法。
  30. 再結晶したECD−Cuの少なくとも80%が、[100]方位で、少なくとも10ミクロンの寸法を有する銅結晶粒からなる請求項11〜29のいずれかにかかる方法。
  31. 再結晶したECD−Cuの少なくとも93%が、[100]方位で、少なくとも10ミクロンの寸法を有する銅結晶粒からなる請求項11〜29のいずれかにかかる方法。
  32. 再結晶したECD−Cuの少なくとも98%が、[100]方位で、少なくとも10ミクロンの寸法を有する銅結晶粒からなる請求項11〜29のいずれかにかかる方法。
  33. 請求項11〜32のいずれかにかかる方法を含む相互接続形成用のダマシン方法。
  34. 請求項11〜32のいずれかにかかる方法を含む相互接続形成用のデュアルダマシン方法。
  35. 請求項11〜34のいずれかにかかる方法により得られる半導体装置。
JP2007102452A 2006-04-10 2007-04-10 狭いトレンチ中でスーパー第2結晶粒の成長を発生させる方法 Pending JP2007281485A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US79063406P 2006-04-10 2006-04-10
EP06126251A EP1845554A3 (en) 2006-04-10 2006-12-15 A method to create super secondary grain growth in narrow trenches

Publications (1)

Publication Number Publication Date
JP2007281485A true JP2007281485A (ja) 2007-10-25

Family

ID=38292618

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007102452A Pending JP2007281485A (ja) 2006-04-10 2007-04-10 狭いトレンチ中でスーパー第2結晶粒の成長を発生させる方法

Country Status (3)

Country Link
US (2) US7452812B2 (ja)
EP (1) EP1845554A3 (ja)
JP (1) JP2007281485A (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009206322A (ja) * 2008-02-28 2009-09-10 Renesas Technology Corp 半導体装置、半導体装置の製造方法、半導体製造検査装置、および検査装置
JP4441658B1 (ja) * 2008-12-19 2010-03-31 国立大学法人東北大学 銅配線形成方法、銅配線および半導体装置
JP2010080606A (ja) * 2008-09-25 2010-04-08 Rohm Co Ltd 半導体装置の製造方法
US8168532B2 (en) 2007-11-14 2012-05-01 Fujitsu Limited Method of manufacturing a multilayer interconnection structure in a semiconductor device
JP2012531732A (ja) * 2009-07-03 2012-12-10 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ 金属結晶領域、特に集積回路における金属結晶領域を生成する方法
JP2012531731A (ja) * 2009-07-03 2012-12-10 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ 銅要素の結晶構造を修正する方法
JP2014534609A (ja) * 2011-09-14 2014-12-18 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 金属相互接続構造体およびそれを形成する方法(銅相互接続構造体における微細構造変更)
US10700263B2 (en) 2018-02-01 2020-06-30 International Business Machines Corporation Annealed seed layer for magnetic random access memory

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5091428B2 (ja) * 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
EP1909320A1 (en) * 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
US8791018B2 (en) * 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US20090022958A1 (en) * 2007-07-19 2009-01-22 Plombon John J Amorphous metal-metalloid alloy barrier layer for ic devices
DE102007035837A1 (de) 2007-07-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kornorientierungsschicht
FR2942912B1 (fr) * 2009-03-06 2015-05-29 Commissariat Energie Atomique Procede de realisation de lignes d'interconnexion metallique
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8661664B2 (en) * 2010-07-19 2014-03-04 International Business Machines Corporation Techniques for forming narrow copper filled vias having improved conductivity
KR20130127257A (ko) * 2012-05-14 2013-11-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8736056B2 (en) * 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
CN103871959B (zh) * 2012-12-17 2017-11-03 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
US8859417B2 (en) * 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
EP2779224A3 (en) 2013-03-15 2014-12-31 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices
US9754883B1 (en) 2016-03-04 2017-09-05 International Business Machines Corporation Hybrid metal interconnects with a bamboo grain microstructure
US10760156B2 (en) 2017-10-13 2020-09-01 Honeywell International Inc. Copper manganese sputtering target
US11035036B2 (en) 2018-02-01 2021-06-15 Honeywell International Inc. Method of forming copper alloy sputtering targets with refined shape and microstructure
US10600686B2 (en) 2018-06-08 2020-03-24 International Business Machines Corporation Controlling grain boundaries in high aspect-ratio conductive regions
US11342229B2 (en) * 2019-06-13 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor device structure having an electrical connection structure
US11430693B1 (en) * 2021-03-10 2022-08-30 Yuan Ze University Method for microstructure modification of conducting lines

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000306996A (ja) * 1999-04-21 2000-11-02 Nec Corp 半導体装置の製造方法
JP2001102448A (ja) * 1999-09-30 2001-04-13 Seiko Epson Corp 配線の形成方法
JP2003096596A (ja) * 2001-09-25 2003-04-03 Ebara Corp めっき方法及びめっき装置
JP2003109960A (ja) * 2002-07-22 2003-04-11 Nec Corp 半導体装置
JP2004040022A (ja) * 2002-07-08 2004-02-05 Nec Electronics Corp 半導体装置およびその製造方法
JP2004047846A (ja) * 2002-07-15 2004-02-12 Oki Electric Ind Co Ltd 金属配線の形成方法
WO2004084267A2 (en) * 2003-03-14 2004-09-30 Lam Research Corporation System, method and apparatus for improved local dual-damascene planarization
JP2005203476A (ja) * 2004-01-14 2005-07-28 Oki Electric Ind Co Ltd 半導体装置の配線構造及びその製造方法
JP2005244178A (ja) * 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
JP2005277390A (ja) * 2004-02-27 2005-10-06 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
JP2006049641A (ja) * 2004-08-05 2006-02-16 Nec Electronics Corp 半導体装置およびその製造方法
JP2006073863A (ja) * 2004-09-03 2006-03-16 Nikko Materials Co Ltd 半導体用銅合金配線及びスパッタリングターゲット並びに半導体用銅合金配線の形成方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
AU2002239767A1 (en) * 2000-11-15 2002-06-11 Intel Corporation Copper alloy interconnections for integrated circuits and methods of making same
US20050274621A1 (en) * 2004-06-10 2005-12-15 Zhi-Wen Sun Method of barrier layer surface treatment to enable direct copper plating on barrier metal
JP2005190517A (ja) * 2003-12-24 2005-07-14 Hitachi Global Storage Technologies Netherlands Bv 垂直磁気記録媒体及び磁気記憶装置
KR100587657B1 (ko) * 2003-12-31 2006-06-08 동부일렉트로닉스 주식회사 이씨피공정에서의 터미날 효과 최소화 방법
KR100587658B1 (ko) * 2003-12-31 2006-06-08 동부일렉트로닉스 주식회사 이씨피공정에서의 터미날 효과 최소화 방법
JP4585214B2 (ja) * 2004-03-25 2010-11-24 株式会社東芝 磁気記録媒体及びそれを用いた磁気記録再生装置
JP2006185489A (ja) * 2004-12-27 2006-07-13 Fujitsu Ltd 磁気記録媒体および磁気記憶装置
US7449409B2 (en) * 2005-03-14 2008-11-11 Infineon Technologies Ag Barrier layer for conductive features

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000306996A (ja) * 1999-04-21 2000-11-02 Nec Corp 半導体装置の製造方法
JP2001102448A (ja) * 1999-09-30 2001-04-13 Seiko Epson Corp 配線の形成方法
JP2003096596A (ja) * 2001-09-25 2003-04-03 Ebara Corp めっき方法及びめっき装置
JP2004040022A (ja) * 2002-07-08 2004-02-05 Nec Electronics Corp 半導体装置およびその製造方法
JP2004047846A (ja) * 2002-07-15 2004-02-12 Oki Electric Ind Co Ltd 金属配線の形成方法
JP2003109960A (ja) * 2002-07-22 2003-04-11 Nec Corp 半導体装置
WO2004084267A2 (en) * 2003-03-14 2004-09-30 Lam Research Corporation System, method and apparatus for improved local dual-damascene planarization
JP2006520541A (ja) * 2003-03-14 2006-09-07 ラム リサーチ コーポレーション 改良された局所的なデュアルダマシン平坦化のためのシステム、方法、および装置
JP2005203476A (ja) * 2004-01-14 2005-07-28 Oki Electric Ind Co Ltd 半導体装置の配線構造及びその製造方法
JP2005244178A (ja) * 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
JP2005277390A (ja) * 2004-02-27 2005-10-06 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
JP2006049641A (ja) * 2004-08-05 2006-02-16 Nec Electronics Corp 半導体装置およびその製造方法
JP2006073863A (ja) * 2004-09-03 2006-03-16 Nikko Materials Co Ltd 半導体用銅合金配線及びスパッタリングターゲット並びに半導体用銅合金配線の形成方法

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9559058B2 (en) 2007-11-14 2017-01-31 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing the same
US8168532B2 (en) 2007-11-14 2012-05-01 Fujitsu Limited Method of manufacturing a multilayer interconnection structure in a semiconductor device
US8946895B2 (en) 2008-02-28 2015-02-03 Renesas Electronics Corporation Semiconductor device, manufacturing method of semiconductor device, semiconductor manufacturing and inspecting apparatus, and inspecting apparatus
JP2009206322A (ja) * 2008-02-28 2009-09-10 Renesas Technology Corp 半導体装置、半導体装置の製造方法、半導体製造検査装置、および検査装置
US9362184B2 (en) 2008-02-28 2016-06-07 Renesas Electronics Corporation Semiconductor device, manufacturing method of semiconductor device, semiconductor manufacturing and inspecting apparatus, and inspecting apparatus
JP2010080606A (ja) * 2008-09-25 2010-04-08 Rohm Co Ltd 半導体装置の製造方法
JP2010147311A (ja) * 2008-12-19 2010-07-01 Tohoku Univ 銅配線形成方法、銅配線および半導体装置
US9082821B2 (en) 2008-12-19 2015-07-14 Advanced Interconnect Materials, Llc Method for forming copper interconnection structures
US8169079B2 (en) 2008-12-19 2012-05-01 Advanced Interconnect Materials, Llc Copper interconnection structures and semiconductor devices
JP4441658B1 (ja) * 2008-12-19 2010-03-31 国立大学法人東北大学 銅配線形成方法、銅配線および半導体装置
JP2012531731A (ja) * 2009-07-03 2012-12-10 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ 銅要素の結晶構造を修正する方法
JP2012531732A (ja) * 2009-07-03 2012-12-10 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ 金属結晶領域、特に集積回路における金属結晶領域を生成する方法
US9620412B2 (en) 2009-07-03 2017-04-11 Commissariat à l'énergie atomique et aux énergies alternatives Method for modifying the crystalline structure of a copper element
JP2014534609A (ja) * 2011-09-14 2014-12-18 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 金属相互接続構造体およびそれを形成する方法(銅相互接続構造体における微細構造変更)
US10700263B2 (en) 2018-02-01 2020-06-30 International Business Machines Corporation Annealed seed layer for magnetic random access memory

Also Published As

Publication number Publication date
US20070238294A1 (en) 2007-10-11
EP1845554A2 (en) 2007-10-17
US7745935B2 (en) 2010-06-29
EP1845554A3 (en) 2011-07-13
US7452812B2 (en) 2008-11-18
US20090102051A1 (en) 2009-04-23

Similar Documents

Publication Publication Date Title
JP2007281485A (ja) 狭いトレンチ中でスーパー第2結晶粒の成長を発生させる方法
US7193327B2 (en) Barrier structure for semiconductor devices
JP4049978B2 (ja) メッキを用いた金属配線形成方法
KR101857915B1 (ko) 상호접속 구조물 및 상호접속 구조물의 형성 방법
TWI298510B (en) Semiconductor device and method for manufacturing same
US6506668B1 (en) Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US20060289999A1 (en) Selective copper alloy interconnections in semiconductor devices and methods of forming the same
JP5444124B2 (ja) 拡散バリアを形成する方法、拡散バリア構造体及び半導体デバイスを形成する方法
JP2006024943A (ja) 導電性材料とその製法
US9865812B2 (en) Methods of forming conductive elements of semiconductor devices and of forming memory cells
US20150076695A1 (en) Selective passivation of vias
JP2004040101A (ja) 配線性能改善用メタライゼーションの合金化及び/又は局部ドーピング
US9640434B2 (en) Method for processing an electroplated copper film in copper interconnect process
US8084355B2 (en) Methods of forming copper-comprising conductive lines in the fabrication of integrated circuitry
US20060091551A1 (en) Differentially metal doped copper damascenes
US20140374907A1 (en) Ultra-thin copper seed layer for electroplating into small features
US20050151263A1 (en) Wiring structure forming method and semiconductor device
JP2002033323A (ja) 銅相互接続部を有する半導体デバイスの製造方法
US20060024962A1 (en) Partial plate anneal plate process for deposition of conductive fill material
JP4886165B2 (ja) デポジション処理によって、相互接続領域を選択的に合金にする方法
JP2005038999A (ja) 半導体装置の製造方法
US10818838B1 (en) Phase change memory
JP2004179297A (ja) 半導体集積回路装置
JP2000340565A (ja) 半導体集積回路装置およびその製造方法
CN110890317A (zh) 半导体器件及其制备方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091019

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121011

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121023

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130123

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130702