KR100720777B1 - 실리콘산화막을 형성하는 방법 및 장치 - Google Patents

실리콘산화막을 형성하는 방법 및 장치 Download PDF

Info

Publication number
KR100720777B1
KR100720777B1 KR1020060104499A KR20060104499A KR100720777B1 KR 100720777 B1 KR100720777 B1 KR 100720777B1 KR 1020060104499 A KR1020060104499 A KR 1020060104499A KR 20060104499 A KR20060104499 A KR 20060104499A KR 100720777 B1 KR100720777 B1 KR 100720777B1
Authority
KR
South Korea
Prior art keywords
gas
heating
reaction chamber
heater
temperature
Prior art date
Application number
KR1020060104499A
Other languages
English (en)
Other versions
KR20060113878A (ko
Inventor
유타카 다카하시
히토시 가토
다케시 구마가이
가쓰토시 이시이
가즈토시 미우라
아쓰시 도하라
요시유키 후지타
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000157879A external-priority patent/JP2001338923A/ja
Priority claimed from JP2000162950A external-priority patent/JP3794243B2/ja
Priority claimed from JP2000189434A external-priority patent/JP2002009072A/ja
Priority claimed from JP2000223235A external-priority patent/JP3552037B2/ja
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060113878A publication Critical patent/KR20060113878A/ko
Application granted granted Critical
Publication of KR100720777B1 publication Critical patent/KR100720777B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은, 피처리체가 수용된 반응실을 소정의 온도로 가열하는 반응실가열공정과, 일산화이질소로 이루어지는 처리가스를, 산질화막의 형성이 가능한 반응온도 이상으로 가열하는 가스가열공정과, 상기 가열된 반응실 내부로 상기 가열된 처리가스를 공급하여 상기 피처리체에 산질화막을 형성하는 성막공정을 구비한다. 상기 반응실가열공정에 있어서, 상기 반응실의 가열온도는, 상기 처리가스의 반응온도보다 낮게 설정되어 있다.

Description

실리콘산화막을 형성하는 방법 및 장치{METHOD OF FORMING SILICON DIOXIDE FILM AND SYSTEM FOR CARRYING OUT THE SAME}
도 1은, 본 발명의 일 실시형태의 열처리장치의 개략도,
도 2는, 가열된 처리가스의 각 성분의 농도를 나타내는 표,
도 3은, 실리콘산질화막의 막두께와 Peak N량을 나타내는 표,
도 4는, 가열된 처리가스가 반응실 내부로 도입되었을 때의 내관 내부의 온도를 나타내는 표,
도 5는, 다른 실리콘산질화막의 증가 막두께와 Peak N량을 나타내는 표,
도 6은, 본 발명의 실리콘산화막 형성방법에 사용되는 실리콘산화막 형성장치의 일례를 나타내는 종단면도,
도 7은, 도 6의 장치의 주요부의 개략사시도,
도 8은, 도 6의 장치에 있어서의 가스가열부를 나타내는 단면도,
도 9는, 웨이퍼보트의 위치에 의한 막두께의 균일성을 조사한 결과를 나타내는 특성도,
도 10은, 산화처리시간과 막두께의 균일성과의 관계를 조사한 결과를 나타내는 특성도,
도 11은, 처리가스를 가스가열부에 의해 가열한 경우와 하지 않은 경우에 있 어서의 반응관의 배기구측의 수소농도의 측정결과를 나타내는 설명도,
도 12는, 본 발명의 일 실시형태의 열처리장치의 개략도,
도 13은, 도 12의 가열기 근방의 모식도,
도 14는, 실리콘질화막의 처리속도와 굴절율을 나타내는 표,
도 15는, 본 발명의 일 실시형태의 열처리장치의 개략도,
도 16은, 도 15의 가열기 근방의 모식도,
도 17은, 가열기온도와 산소량의 관계를 나타내는 표,
도 18은, 가열기온도와 성막속도의 관계를 나타내는 표,
도 19A 내지 도 19C는, 종래의 실리콘산화막 형성방법의 문제점을 설명하기 위한 설명도이다.
<도면의 주요 부분에 대한 부호의 설명>
1,201,301 : 열처리장치 2,202,302 : 반응관
3,203,303 : 내관 4,204,304 : 외관
5,205,305 : 매니폴드 6,206,306 : 지지링
7,207,307 : 덮개체 8,208,308 : 보트 엘리베이터
9,209,309 : 웨이퍼보트 10,210,310 : 반도체웨이퍼
11,211,311, : 단열체 12,212,312 : 승온용 히터
13,213,214,313,314 : 가스도입관 14,214,217,317 : 배출구
15,215,315 : 가열기 16,221,321 : 제어부
101 : 종형 열처리장치 102 : 가열부
103 : 열처리화로 105 : 가스공급관
121 : 가열관 122 : 히터부
124 : 냉각수통로 130,218,318 : 배기관
132 : 히터 133 : 균열용 용기
151,152 : 분기 216,316 : 좁은직경부
본 발명은, 산질화막 등을 형성하는 방법 및 산질화막 등을 형성하는 장치에 관한 것으로서, 상세하게는, 피처리체, 예컨대 반도체웨이퍼의 표면에 산질화막 등을 형성하는, 산질화막 등의 형성방법 및 산질화막 등의 형성장치에 관한 것이다.
반도체장치의 제조공정에 있어서는, 피처리체, 예컨대 반도체웨이퍼에 절연막이 형성된다. 이 절연막이, 예를 들면 불순물확산이나 이온주입의 마스크, 불순물의 확산원으로서 사용되고 있다. 이러한 절연막으로서, 실리콘산질화막이 사용되는 경우가 있다. 실리콘산질화막은, 일반적으로 사용되고 있는 실리콘산화막에 비해서 유전율이 높고, 붕소와 같은 불순물이 방출되는 것을 방지하기 쉽다.
실리콘산질화막은, 예를 들면 반도체웨이퍼를 열처리함으로써, 반도체웨이퍼의 표면에 형성된다. 이 열처리에 대하여 설명한다. 우선 실리콘기판으로 구성된 반도체웨이퍼를 열처리장치 내부에 배치한다. 다음에 열처리장치로써, 반도체웨이퍼를, 예를 들면 900℃와 같은 고온으로 가열한다. 그리고 열처리장치 내부에, 예 를 들면 일산화이질소(N2O)와 같은 처리가스를 소정시간 도입한다. 이에 따라 반도체웨이퍼의 표면에 실리콘산질화막이 형성된다.
그런데, 반도체장치의 미세화에 따라, 반도체웨이퍼에 형성되는 실리콘산질화막의 박막화가 요구되고 있다. 일반적으로, 실리콘산질화막을 박막화하기 위해서는, 열처리장치의 열처리온도를 내리는 것이 바람직하다. 이것은 열처리온도를 내리는 것에 의해 산화 레이트가 내려가기 때문이다.
그러나, 열처리장치의 열처리온도를, 예를 들어 900도에서 800도나 750도로 내리면, 질소가스의 열분해가 불충분하게 되어, 원하는 질소량을 포함한 산질화막을 형성하는 것이 곤란해진다고 하는 문제가 있었다.
또한, 다수매의 반도체웨이퍼(이하, 웨이퍼라 함)를 뱃치화로의 내부로 반입하여, 웨이퍼상의 실리콘막을 산화하여 실리콘산화막(SiO2막)을 형성하는 방법으로서, 산소(O2)가스 및 염화수소(HCl)가스를 사용하는 드라이산화법이나, 산소가스 및 수소(H2)가스를 외부에서 연소시켜 수증기를 생성하고, 이 수증기와 산소가스를 반응관내로 도입하는 습식산화법 등이 알려져 있다. 적절한 산화법이, 목적으로 하는 막의 질에 따라 선택되고 있다.
상기의 산화법중, 드라이산화법은, 산소가스에 의해 실리콘막을 산화하는 한편, 염소의 게터링효과에 의해 표면의 불순물이 제거된다. 구체적으로는, 예를 들면 다수매의 웨이퍼를 보트에 선반형상으로 유지시켜 종형(縱型)의 반응관 내부로 반입하고, 이 반응관을 둘러싸는 히터에 의해 반응관 내의 처리분위기를 가열한 후, 상온의 산소가스 및 염화수소가스를 반응관의 천정부에서 반응관 내부로 공급하여, 아래쪽에서 배기한다.
그런데, 프로세스온도가 높을수록, 슬립이라고 하는 결함이 웨이퍼에 발생하기 쉬워진다. 또한 바탕에 적층된 막에 대한 열의 영향을 피하는 것 및 에너지 절약화를 도모하는 것이 바람직하다. 이들 이유로부터, 프로세스온도의 저온화가 검토되고 있다.
그러나, 프로세스온도를 낮게 하면, 웨이퍼의 대구경화가 진행하고 있는 것과 아울러, 웨이퍼 면내의 막두께의 균일성이 나빠진다. 또한 웨이퍼 사이(면 사이)의 막두께의 불균일도 커지게 된다.
여기에서, 보트상에 있어서의 웨이퍼의 탑재위치와 막두께의 관계에 대하여 조사해 보면, 막두께의 균일성은, 보트의 상단측에 위치할수록 나빠지는 경향이 있다. 이 이유에 대해서, 본 발명자는 다음과 같이 추측하고 있다. 도 19A 내지 도 19C는, 웨이퍼(W) 상의 가스의 흐름, 웨이퍼(W)의 온도 및 막두께를 모식적으로 나타낸 것이다. 산소가스 및 염화수소가스는, 웨이퍼(W)의 가장자리(엣지)로부터 중앙을 향해 흐르고, 웨이퍼상의 실리콘이 산소가스에 의해 산화되어 간다. 여기서 웨이퍼(W)의 열은 가장자리로부터 방열되기 때문에, 온도는 중앙을 향할수록 높아진다. 이 때문에 산화반응은 중앙쪽이 촉진되므로, 막두께의 균일성이 높은 경우에도, 막두께는 중앙쪽이 가장자리보다 두꺼워지는 경향이 있다.
한편, 염화수소의 분해에 의해 생성된 수소가 산소와 반응하여, 약간이지만 수증기가 생성된다. 보트의 상단측에서는, 가스가 충분히 따뜻해지고 있지 않기 때문에, 가스가 웨이퍼(W)의 가장자리로부터 중앙을 향하여 가열됨에 따라 수증기의 생성량이 많아지게 된다. 이 수증기는 산화막을 증막(增膜)하는 효과가 있다. 따라서 수증기의 생성량의 차가 막두께에 크게 반영된다. 이 결과 웨이퍼(W)의 중앙부의 막두께가 더욱 커져, 막압분포는 소위 산(山)과 같은 형상의 분포가 된다. 즉 균일성이 나빠진다. 또한 가스는 반응관의 아래쪽을 향할수록 따뜻해지기 때문에, 보트의 하단측에서는 수증기의 생성반응은 거의 평형상태로 되어 있다. 즉 웨이퍼(W)를 따라 가스가 흐르기 전에 이미 수증기가 다 생성되어 있다. 따라서 처리가스가 웨이퍼(W)의 가장자리로부터 중앙을 향하여 흘렀을 때에 웨이퍼(W)의 위치에 관계없이 수증기의 양은 거의 변하지 않기 때문에, 막두께의 균일성이 높아진다. 이러한 것 때문에, 보트의 상단측에서는 막두께의 균일성이 매우 나쁘고, 상단측과 하단측의 웨이퍼 사이의 막두께의 차가 커지고 있다고 생각된다. 이 결과 프로세스온도의 저온화가 곤란하다는 것이 현 상황이다.
또한, 반도체장치의 제조공정에 있어서는, 피처리체, 예컨대 반도체웨이퍼에, 실리콘질화막의 박막을 형성하는 것이 행해지고 있다. 실리콘질화막은, 절연성, 내식성에 뛰어나고, 절연막, 불순물확산이나 이온주입의 마스크재 등으로서 널리 사용되고 있다. 실리콘질화막은, 예를 들면 화학적 기상성장법[CVD(Chemical Vapor Deposition)] 등의 처리에 의해 반도체웨이퍼에 형성된다.
이 CVD에 의한 처리에 있어서는, 먼저 실리콘기판으로 구성된 반도체웨이퍼를 열처리장치 내부에 배치한다. 다음에 열처리장치 내부를 소정의 압력, 예컨대 133Pa(1Torr)로 감압함과 동시에, 소정의 온도, 예를 들면 650도∼700도로 가열한 다. 그리고 열처리장치 내부에 처리가스, 예를 들면 디클로로실란(SiH2Cl2) 및 암모니아(NH3)를 소정시간 도입한다. 이에 따라 반도체웨이퍼의 표면에 실리콘질화막이 형성된다.
이와 같이 형성된 실리콘질화막의 굴절율(R1)을 측정하면, RI= 2.0이다. 즉 거의 화학량 이론비의 조성을 갖는 실리콘질화막이 형성된다.
그런데, 실리콘질화막의 형성공정에서는, 실리콘질화막의 처리온도를 저온화해야 한다는 요구가 있다. 그러나 질소 공급원으로서의 암모니아는 분해온도가 높으므로, 처리온도를 예를 들어 600도로 내리면, 암모니아가 충분히 분해되지 않아, 실리콘질화막을 형성할 수 없게 되어 버린다. 이 때문에 암모니아를 대신한 질소 공급원으로서, 암모니아보다 분해온도가 낮은 트리메틸아민(TMA)을 사용하는 것에 대하여 검토하였다.
그러나, 질소 공급원에 트리메틸아민을 사용하고, 예를 들어 처리온도를 550도로 하여, 반도체웨이퍼에 실리콘질화막을 형성한 바, 형성된 실리콘질화막의 굴절율이 RI=2.9가 되어 버렸다. 즉 충분히 질화처리되지 않은 실리콘질화막이 형성되었다. 이렇게 충분히 질화처리되지 않은 이유는, 트리메틸아민은 열용량이 커서, 가열하더라도 따뜻해지기 어렵기 때문이다. 예컨대 550도에서의 트리메틸아민의 정압열용량(정압몰비열)은 190(J/mol·K)으로서, 암모니아의 정압열용량인 50 (J/mol·K)의 약 4배이다. 또 이 질화처리조건으로는 처리속도(Deposition Rate)가 0.27nm/분으로 느려서, 대량 생산성이 결여된다고 하는 문제도 있었다.
또한, 반도체장치의 제조공정에 있어서는, 화학적 기상성장법[CVD(Chemical Vapor Deposition)] 등의 처리에 의해 피처리체, 예컨대 반도체웨이퍼에 실리콘산화막을 형성하는 것이 행해지고 있다.
이 실리콘산화막의 형성은, 예를 들면 아래와 같이 행해진다. 우선 실리콘기판으로 구성된 반도체웨이퍼를 열처리장치 내부에 배치한다. 다음에 열처리장치 내부를 소정의 압력, 예를 들면 13.3Pa(0.1Torr)∼1330Pa (10Torr)로 감압함과 동시에, 소정의 온도, 예를 들면 700℃∼900℃로 가열한다. 그리고 열처리장치 내부에 처리가스, 예를 들면 디클로로실란(SiH2Cl2) 및 일산화이질소(N2O)를 소정시간 도입한다. 이에 따라 디클로로실란이 산화되어, 반도체웨이퍼의 표면에 실리콘산화막이 형성된다. 이와 같이 형성된 실리콘산화막은, 치밀하여 절연성이 좋고, 막의 박리가 일어나기 어렵다고 하는 특성을 갖는다.
그러나, 상기와 같은 방법에 의해 반도체웨이퍼에 실리콘산화막을 형성하는 경우, 반도체웨이퍼에 형성되는 실리콘산화막의 성막속도가 느리다고 하는 문제가 있다.
본 발명의 하나의 목적은, 원하는 질소량을 포함한 산질화막을 박막화할 수 있는 산질화막 형성방법 및 산질화막 형성장치를 제공하는 것이다.
그리고, 본 발명은, 피처리체가 수용된 반응실을 소정의 온도로 가열하는 반응실가열공정과, 일산화이질소로 이루어지는 처리가스를, 산질화막의 형성이 가능 한 반응온도 이상으로 가열하는 가스가열공정과, 상기 가열된 반응실 내부로 상기 가열된 처리가스를 공급하여 상기 피처리체에 산질화막을 형성하는 성막공정을 구비하고, 상기 반응실가열공정에서, 상기 반응실의 가열온도는, 상기 처리가스의 반응온도보다 낮게 설정되어 있는 것을 특징으로 하는 산질화막 형성방법이다.
이 특징에 의하면, 반응실의 온도가, 반응온도보다 낮게 설정되어 있기 때문에, 공급되는 처리가스의 산화 레이트가 내려가, 산질화막을 박막화할 수 있다. 한편 처리가스는, 산질화막을 형성가능한 반응온도 이상으로 가열되어, 피처리체에 산질화처리를 하는 것이 가능한 상태로 반응실에 공급된다. 이 때문에 피처리체에 형성되는 산질화막에는 원하는 질소량이 포함될 수 있다.
상기 가스가열공정에 있어서, 상기 처리가스는, 그 대략 전체량이 열분해되는 온도로까지 가열되는 것이 바람직하다. 이 경우 처리가스중의 질소농도가 높아져서, 피처리체에 형성되는 산질화막에 원하는 질소량을 확실히 포함시킬 수 있다.
또한, 상기 반응실가열공정에 있어서, 상기 반응실은 750℃∼850℃로 가열되며, 상기 가스가열공정에 있어서, 상기 처리가스는 900℃ 이상으로 가열되는 것이 바람직하다. 처리가스를 적어도 900도로 가열하면, 처리가스가 거의 열분해된다. 또한 반응실의 온도를 750도∼850도로 설정하면, 산질화막을 박막화할 수 있다.
또한, 본 발명은, 피처리체를 수용가능한 반응실과, 상기 반응실을 소정의 온도로까지 가열가능한 반응실가열부와, 상기 반응실 내부에 일산화이질소로 이루어지는 처리가스를 공급하는 공급수단과, 상기 공급수단에 설치되어, 상기 처리가스가 상기 반응실 내부로 공급되기 전에 해당 처리가스를 소정의 온도로까지 가열 가능한 가스가열부와, 상기 가스가열부로서, 상기 처리가스를 산질화막의 형성이 가능한 반응온도 이상으로 가열시킴과 동시에, 상기 반응실가열부로서, 상기 반응실을 상기 처리가스의 반응온도보다 낮은 온도로까지 가열시키는 제어수단을 구비한 것을 특징으로 하는 산질화막 형성장치이다.
이 특징에 의하면, 제어수단에 의해, 반응실의 온도가 반응온도보다 낮게 제어되기 때문에, 공급되는 처리가스의 산화 레이트가 내려가서, 산질화막을 박막화할 수 있다. 또한 제어수단에 의해, 처리가스가 산질화막을 형성가능한 반응온도 이상으로 가열되기 때문에, 처리가스는 피처리체에 산질화처리를 하는 것이 가능한 상태로 반응실로 공급된다. 이 때문에 피처리체에 형성되는 산질화막에는 원하는 질소량이 포함될 수 있다.
상기 제어수단은, 상기 가스가열부로서, 상기 처리가스를 그 대략 전체량이 열분해되는 온도로까지 가열시키도록 되어 있는 것이 바람직하다. 이 경우, 처리가스중의 질소농도가 높아져서, 피처리체에 형성되는 산질화막에 원하는 질소량을 확실히 포함시킬 수 있다.
또한, 상기 제어수단은, 상기 가스가열부로서, 상기 처리가스를 900℃ 이상으로 가열시키도록 되어 있는 동시에, 상기 반응실가열부로서, 상기 반응실을 750℃∼850℃로 가열시키도록 되어 있는 것이 바람직하다. 처리가스를 적어도 900도로 가열하면, 처리가스가 거의 열분해된다. 또한 반응실의 온도를 750도∼850도로 설정하면, 산질화막을 박막화할 수 있다.
또한, 상기 반응실은, 상기 피처리체를 수용하는 내관과, 이 내관을 덮도록 형성된 외관을 가지며, 상기 공급수단은, 상기 처리가스를 상기 내관 내부로 공급하도록 구성되어 있는 것이 바람직하다.
또한, 본 발명의 하나의 목적은, 피처리체에 대하여 소위 드라이산화처리를 함에 있어서, 산화막의 막두께에 대하여 높은 균일성을 얻을 수 있으며, 프로세스온도의 저온화에 기여할 수 있는 기술을 제공하는 데에 있다.
본 발명은, 실리콘층이 적어도 표면부에 형성된 피처리체가 수용된 반응실을 소정의 온도로 가열하는 반응실가열공정과, 수소 및 염소를 포함하는 화합물로 이루어지는 가스와, 산소가스를 포함하는 처리가스에 에너지를 부여하여 수분을 생성시키는 가스전처리공정과, 상기 가열된 반응실 내부로 수분생성을 위해 에너지가 부여된 처리가스를 공급하여 상기 피처리체의 실리콘층을 산화하여 실리콘산화막을 형성하는 성막공정을 구비한 것을 특징으로 하는 실리콘산화막 형성방법이다.
상기 가스전처리공정에서는, 상기 반응실의 가열온도에 있어서 처리가스로부터 그 이상의 수분이 생성되지 않는 정도까지, 수분의 생성이 이루어지는 것이 바람직하다.
또한, 상기 가스전처리공정에서는, 상기 처리가스를 가열함으로써, 상기 처리가스에 에너지를 부여하여 수분을 생성시키도록 되어 있는 것이 바람직하다.
또한, 상기 처리가스의 가열온도는, 상기 반응실가열공정에 있어서의 상기 반응실의 가열온도보다 높은 것이 바람직하다.
예를 들어, 수소 및 염소를 포함하는 화합물로 이루어진 가스는, 염화수소가스이다.
또한, 본 발명은, 실리콘층이 적어도 표면부에 형성된 피처리체를 수용가능한 반응실과, 상기 반응실을 소정의 온도로까지 가열가능한 반응실가열부와, 상기 반응실 내부에, 수소 및 염소를 포함하는 화합물로 이루어지는 가스와, 산소가스를 포함하는 처리가스를 공급하는 공급수단과, 상기 공급수단에 설치되어, 상기 처리가스가 상기 반응실 내부로 공급되기 전에 해당 처리가스를 가열하여 수분을 생성가능한 가스가열부를 구비한 것을 특징으로 하는 실리콘산화막 형성장치이다.
바람직하게는, 상기 반응실은, 다수의 피처리체를 선반형상으로 수용가능하도록 되어 있고, 상기 반응실가열부는, 상기 반응실을 둘러싸는 히터에 의해서 구성되어 있다.
또한, 바람직하게는, 상기 가스가열부는, 통기저항체가 설치된 가열실과, 상기 가열실을 둘러싸도록 설치된 히터부를 가지며, 상기 히터부는 저항발열체를 세라믹스 속에 봉입하여 구성되어 있다.
예를 들어, 상기 저항발열체는 고순도의 탄소소재로 이루어진다. 또한 예를 들면, 상기 세라믹스는 석영이다.
또한, 본 발명의 하나의 목적은, 거의 화학량론비의 조성을 갖는 실리콘질화막을 저온으로 형성할 수가 있는 동시에, 그 처리속도를 향상시킬 수 있는 실리콘질화막의 형성방법 및 형성장치를 제공하는 것이다.
본 발명은, 실리콘층이 적어도 표면부에 형성된 피처리체가 수용된 반응실을 소정의 온도로 가열하는 반응실가열공정과, 상기 반응실을 소정의 압력으로 조정하는 반응실압력조정공정과, 트리메틸아민을, 상기 반응실에서의 가열에 의해 질소가 발생가능한 온도로까지 예비가열하는 가스가열공정과, 상기 반응실 내부에, 상기 가열된 트리메틸아민과 실란계가스를 처리가스로서 공급하여, 상기 피처리체의 실리콘층을 질화하여 실리콘질화막을 형성하는 성막공정을 구비한 것을 특징으로 하는 실리콘질화막 형성방법이다.
이 특징에 의하면, 처리가스로서의 질소의 공급원가스에 트리메틸아민이 사용되기 때문에, 질화처리의 처리온도를 낮게 할 수 있다. 또한 트리메틸아민은, 반응실 내부에서의 가열에 의해 질소를 공급가능한 온도이상으로 가열된 후에 반응실로 공급되기 때문에, 트리메틸아민은 반응실 내부에서의 가열에 의해 열분해되어, 피처리체에 많은 질소가 공급된다. 이 때문에, 거의 화학량 이론비의 조성을 갖는 실리콘질화막을 형성할 수 있는 동시에, 그 처리속도를 향상시킬 수 있다.
바람직하게는, 상기 반응실가열공정에 있어서, 상기 반응실은 400℃∼650℃로 가열되고, 상기 가스가열공정에 있어서, 상기 트리메틸아민은 500∼700℃로 가열된다. 트리메틸아민을 500도∼700도로 가열하여, 400도∼650도로 설정된 반응실로 공급하면, 반응실 내부에서 트리메틸아민이 거의 완전하게 열분해된다.
바람직하게는, 상기 가스가열공정은, 상기 트리메틸아민을 20kPa∼90 kPa의 압력하에서 가열하도록 되어 있다. 20kPa∼90kPa와 같이 반응실 내부보다 높은 압력하에서 가열함으로써, 가열효율을 향상시킬 수 있다.
또한, 본 발명은, 실리콘층이 적어도 표면부에 형성된 피처리체를 수용가능한 반응실과, 상기 반응실을 소정의 온도로까지 가열가능한 반응실가열부와, 상기 반응실을 소정의 압력으로 조정가능한 반응실압력조정부와, 상기 반응실 내부로 실 란계가스를 공급하는 제 1 공급수단과, 상기 반응실 내부로 트리메틸아민을 공급하는 제 2 공급수단과, 상기 제 2 공급수단에 설치되어, 상기 트리메틸아민을 소정의 온도로까지 예비가열가능한 가스가열부와, 상기 가스가열부로서, 상기 트리메틸아민을 상기 반응실에서의 가열에 의해 질소를 발생가능한 온도로까지 가열시키는 제어수단을 구비한 것을 특징으로 하는 실리콘질화막 형성장치이다.
이 특징에 의하면, 처리가스로서의 질소의 공급원가스에 트리메틸아민을 사용하고 있기 때문에, 질화처리의 처리온도를 낮게 할 수 있다. 또한 가스가열부에 의해, 트리메틸아민이 반응실 내부에서의 가열에 의해 질소를 공급가능한 온도이상으로 가열되고, 그 후에 반응실로 공급되기 때문에, 트리메틸아민은 반응실 내부에서의 가열에 의해 열분해되어, 피처리체에 많은 질소가 공급된다. 이 때문에 거의 화학량 이론비의 조성을 갖는 실리콘질화막을 형성할 수 있는 동시에, 그 처리속도를 향상시킬 수 있다.
바람직하게는, 상기 반응실은, 상기 피처리체를 수용하는 내관과, 이 내관을 덮도록 형성된 외관을 가지며, 상기 제 1 공급수단은, 상기 실란계가스를 상기 내관 내부로 공급하도록 구성되어 있고, 상기 제 2 공급수단은, 상기 트리메틸아민을 상기 내관 내부로 공급하도록 구성되어 있다. 또한 바람직하게는, 상기 제 2 공급수단은, 상기 반응실에 연이어 통하는 공급관을 가지고 있으며, 상기 공급관의 가스가열부에 대한 하류측에서, 해당 공급관의 구경이 축소된 좁은직경부가 형성되어 있다. 이 경우 가스가열부의 내부를 통과하는 트리메틸아민에 충분한 체류시간이 부여된다. 이 때문에 가스가열부에 의한 가열효율이 향상한다.
또한, 바람직하게는, 상기 제어수단은, 상기 가스가열부로서, 상기 트리메틸아민을 500∼700℃로 가열시키도록 되어 있는 동시에, 상기 반응실가열부로서, 상기 반응실을 400℃∼650℃로 가열시키도록 되어 있다. 가스가열부에 의해 트리메틸아민을 500도∼700도로 가열하고, 반응실가열부에 의해 400도∼650도로 가열된 반응실로 공급하면, 반응실 내부에서 트리메틸아민이 거의 완전히 열분해된다.
또한, 바람직하게는, 상기 가스가열부는, 상기 트리메틸아민을 20 kPa∼90 kPa의 압력하에서 가열하도록 되어 있다. 20kPa∼90kPa와 같이 반응실 내부보다 높은 압력하에서 가열함으로써, 가열효율을 향상시킬 수 있다.
또한, 본 발명의 하나의 목적은, 피처리체에 형성되는 실리콘산화막의 성막속도를 높일 수 있는 실리콘산화막의 형성방법 및 형성장치를 제공하는 것이다.
본 발명은, 실리콘층이 적어도 표면부에 형성된 피처리체가 수용된 반응실을 소정의 온도로 가열하는 반응실가열공정과, 상기 반응실을 소정의 압력으로 조정하는 반응실압력조정공정과, 일산화이질소를, 적어도 700℃까지 예비가열하는 가스가열공정과, 상기 반응실 내부에, 상기 가열된 일산화이질소와 실란계가스를 처리가스로서 공급하여, 상기 피처리체의 실리콘층을 산화하여 실리콘산화막을 형성하는 성막공정을 구비한 것을 특징으로 하는 실리콘산화막 형성방법이다.
이 특징에 의하면, 일산화이질소가 적어도 700℃로 가열된 후에 반응실로 공급된다. 이 때문에 일산화이질소의 열분해가 촉진되어 많은 산소가 발생하고, 반응실 내부의 실란계가스의 산화가 촉진된다. 따라서 피처리체에 형성되는 실리콘산화막의 성막속도를 높일 수 있다.
바람직하게는, 상기 가스가열공정에서, 상기 일산화이질소는 750∼950℃로 가열된다. 일산화이질소를 750℃∼950℃로 가열하여 반응실에 공급하면, 일산화이질소의 열분해를 더욱 촉진시킬 수 있어, 피처리체에 형성되는 실리콘산화막의 성막속도를 더욱 높일 수 있다.
또한, 본 발명은, 실리콘층이 적어도 표면부에 형성된 피처리체를 수용가능한 반응실과, 상기 반응실을 소정의 온도로까지 가열가능한 반응실가열부와, 상기 반응실을 소정의 압력으로 조정가능한 반응실압력조정부와, 상기 반응실 내부로 실란계가스를 공급하는 제 1 공급수단과, 상기 반응실 내부로 일산화이질소를 공급하는 제 2 공급수단과, 상기 제 2 공급수단에 설치되어, 상기 일산화이질소를 소정의 온도로까지 예비가열가능한 가스가열부와, 상기 가스가열부로서, 상기 일산화이질소를 적어도 700℃까지 가열시키는 제어수단을 구비한 것을 특징으로 하는 실리콘산화막 형성장치이다.
이 특징에 의하면, 가스가열부에 의해 일산화이질소가 적어도 700℃로 가열된 후에 반응실에 공급된다. 이 때문에, 일산화이질소의 열분해가 촉진되어 많은 산소가 발생하여, 반응실 내부의 실란계가스의 산화가 촉진된다. 따라서 피처리체에 형성되는 실리콘산화막의 성막속도를 높일 수 있다.
바람직하게는, 상기 반응실은, 상기 피처리체를 수용하는 내관과, 이 내관을 덮도록 형성된 외관을 가지며, 상기 제 1 공급수단은, 상기 실란계가스를 상기 내관 내부로 공급하도록 구성되어 있고, 상기 제 2 공급수단은, 상기 일산화이질소를 상기 내관 내부로 공급하도록 구성되어 있다.
또한, 바람직하게는, 상기 제 2 공급수단은, 상기 반응실에 연이어 통하는 공급관을 가지고 있으며, 상기 공급관의 가스가열부에 대한 하류측에서, 해당 공급관의 구경이 축소된 좁은직경부가 형성되어 있다. 이 경우 가스가열부 내부를 통과하는 일산화이질소에 충분한 체류시간이 주어져, 가스가열부에 의한 가열효율이 향상한다.
또한, 바람직하게는, 상기 제어수단은, 상기 가스가열부로서, 상기 일산화이질소를 750∼950℃로 가열시키도록 되어 있다.
이하, 본 발명의 일 실시형태에 따른 산질화막 형성방법 및 산질화막 형성장치에 대하여, 도 1에 나타낸 뱃치식 종형 열처리장치를 사용하여 반도체웨이퍼(피처리체) 상에 실리콘산질화막을 형성하는 경우를 예로 들어 설명한다.
도 1에 나타낸 바와 같이, 열처리장치(1)는, 길이방향이 연직방향을 향한 대략 원통형의 반응관(2)을 구비하고 있다. 반응관(2)은, 내관(3)과, 내관(3)을 덮는 동시에 내관(3)과 일정한 간격을 가지도록 형성된 천정이 있는 외관(4)으로 구성된 2중관 구조로 구성되어 있다. 내관(3) 및 외관(4)은 내열재료, 예를 들면 석영으로 형성되어 있다.
외관(4)의 아래쪽에는, 통형상으로 형성된 스테인리스강(SUS)으로 이루어진 매니폴드(5)가 배치되어 있다. 매니폴드는, 외관(4)의 하단과 기밀하게 접속되어 있다. 또한 내관(3)은, 매니폴드(5)의 내벽에서 돌출하도록 매니폴드(5)와 일체로 형성된 지지링(6)에 의해 지지되어 있다.
매니폴드(5)의 아래쪽에는, 덮개체(7)가 배치되어 있다. 보트 엘레베이터 (8)에 의해, 덮개체(7)는 상하이동 가능하게 구성되어 있다. 보트 엘레베이터(8)에 의해 덮개체(7)가 상승하면, 매니폴드(5)의 아래쪽이 폐쇄된다.
덮개체(7)에는, 예를 들면 석영으로 이루어진 웨이퍼보트(9)가 얹어놓여져 있다. 웨이퍼보트(9)에는, 피처리체 예컨대 반도체웨이퍼(10)가, 연직방향으로 소정의 간격을 두고 복수매 수용되도록 되어 있다.
반응관(2)의 주위에는, 반응관(2)을 둘러싸도록 단열체(11)가 설치되어 있다. 단열체(11)의 내벽면에는, 예를 들면 저항발열체로 이루어진 승온용 히터(12)가 설치되어 있다.
매니폴드(5)의 측면에는, 가스도입관(13)이 끼워 넣어져 있다. 가스도입관 (13)은 내관(3) 내부와 연이어 통하도록, 지지링(6)보다 아래쪽의 매니폴드(5)의 측면에 끼워 넣어져 있다. 이에 따라 가스도입관(13)으로부터 도입되는 처리가스는, 반응관(2) 내부의 내관(3) 내부로 공급되도록 되어 있다.
또한, 매니폴드(5)의 측면에는, 배출구(14)가 설치되어 있다. 배출구(14)는, 지지링(6)보다 위쪽에 설치되어 있고, 반응관(2) 내의 내관(3)과 외관(4)의 사이에 형성된 공간으로 연이어 통하고 있다. 처리가스가 가스도입관(13)으로부터 내관(3) 내부로 공급되면, 성막처리가 행해지도록 되어 있다. 성막처리에 의해 발생된 반응생성물은, 내관(3)과 외관(4)의 사이에 형성된 공간 및 배출구(14)를 지나서, 열처리장치(1)의 외부로 배출되도록 되어 있다.
가스도입관(13)에는, 가열기(15)가 개설되어 있다. 가열기(15)는 예를 들면 저항발열체로 이루어지는 히터를 구비한다. 가열기(15)는 가열기(15) 내부로 공급된 일산화이질소(N2O)로 이루어진 처리가스를 소정의 온도로 가열하도록 되어 있다. 즉 가열된 처리가스가, 가스도입관(13)을 통해, 반응관(2) 내부로 공급되도록 되어 있다.
보트 엘리베이터(8), 승온용 히터(12), 가스도입관(13) 및 가열기(15)에는, 제어부(16)가 접속되어 있다. 제어부(16)는 마이크로프로세서, 프로세스컨트롤러 등으로 구성될 수 있다. 제어부(16)는 열처리장치(1)의 각 부의 온도, 압력 등을 측정하여, 이 측정데이터에 기초하여 상기 각 부에 제어신호 등을 출력하여, 상기 각부를 제어하도록 되어 있다.
다음에, 이상과 같이 구성된 열처리장치(1)를 사용한 산질화막 형성방법에 대하여, 반도체웨이퍼(10)에 실리콘산질화막을 형성하는 경우를 예로 들어 설명한다. 한편 이하의 설명에서, 열처리장치(1)를 구성하는 각 부의 동작은, 제어부 (16)에 의해 콘트롤된다.
먼저, 보트 엘레베이터(8)에 의해 덮개체(7)가 내려진 상태에서, 반도체웨이퍼(10)가 수용된 웨이퍼보트(9)가 덮개체(7) 상에 얹어 놓여진다. 다음에 보트 엘레베이터(8)에 의해 덮개체(7)가 상승되어, 웨이퍼보트(9) [반도체웨이퍼(10)]가 반응관(2) 내부로 로드된다. 이에 따라 반도체웨이퍼(10)가 반응관(2)의 내관(3) 내부에 수용됨과 동시에, 반응관(2)이 밀폐된다.
또한, 도시하지 않은 히터에 의해, 가열기(15)가 소정의 온도로 가열된다. 가열기(15)의 온도에 대하여 검토하기 위해서, 가열기(15)를 750도, 900도 및 1000도로 가열한 각 경우에 대하여, 가열기(15)에 처리가스를 공급하여 가열기(15)로부터 배출된 처리가스성분의 농도를 조사하였다. 도 2에, 각 경우의 처리가스의 성분농도를 몰비로 나타낸다.
도 2에 나타낸 바와 같이, 750도로 가열한 경우, 대략 반정도의 일산화이질소가 열분해되지 않았다. 또한 900도로 가열한 경우, 분해되지 않은 일산화이질소는 8%이며, 더욱 1000도로 가열한 경우, 분해되지 않은 일산화이질소는 1% 였다. 이와 같이 가열기(15)를 900도 이상으로 가열하면, 일산화이질소가 거의 열분해되는 것을 확인할 수 있었다. 한편 일산화이질소의 열분해에 의해, 질소, 산소, 일산화질소, 이산화질소 등이 발생하는 것도 확인할 수 있었다.
여기서, 750도에서 900도로 온도를 올리면, 질소량이 28%에서 40%로 늘어나고, 1000도로 온도를 올리면, 질소량이 47%로 크게 늘어났다. 즉 이들 경우, 많은 질소를 반도체웨이퍼(10) 상에 공급하는 것이 가능해진다. 한편 750도에서 900도 및 1000도로 온도를 올리더라도, 산소량은 질소량에 비해서 크게 증가하지 않는다. 이것은 산소와 질소가 일산화질소 및 이산화질소를 형성함으로써, 상대적으로 산소가 감소하는 비율이 크기 때문이다. 이와 같이 일산화이질소를 900도 이상으로 가열하면, 처리가스중의 질소량이 크게 증가함과 동시에, 산소량이 질소량의 증가에 비해서 크게 증가하지 않은 것을 확인할 수 있었다. 이 때문에 처리가스중의 질소량이 상대적으로 증가하여, 반도체웨이퍼(10) 상에 많은 질소를 공급하는 것이 가능해진다.
따라서, 가열기(15)의 온도는, 처리가스인 일산화이질소가 거의 열분해되는 온도인 900도 이상으로 하는 것이 바람직하다. 단 일산화이질소를 1000도로 가열한 경우에 분해되지 않은 일산화이질소는 1%이기 때문에, 예를 들어 1100도와 같이 높게 하더라도 더 이상의 열분해는 기대할 수 없다. 즉 가열기(15)의 온도를 1000도 부근으로 하는 것이 가장 바람직하다. 본 실시형태에서는 가열기(15)를 1000도로 가열하고 있다.
또한, 승온용 히터(12)에 의해, 반응관(2) 내부가, 처리가스가 가열되는 온도보다 낮은 소정의 온도, 예를 들면 800도로 가열된다. 반응관(2)의 온도는, 형성되는 실리콘산질화막의 두께에 의해 정해지고, 처리가스가 가열되는 온도보다 낮게 실리콘산질화막을 형성할 수 있는 정도의 온도이면 되는데, 예를 들면 750도에서 850도인 것이 바람직하다. 실리콘산질화막의 두께는, 반응관(2)의 온도와 처리가스를 공급하는 시간에 의해서 정해지는데, 반응관(2)의 온도가 750도보다 낮아지면, 소정의 질소농도를 갖는 실리콘산질화막을 원하는 두께로 제어할 수 없게 되기 때문이다. 한편 반응관(2)의 온도가 850도보다 높아지면, 산화막의 성장이 커져, 실리콘산질화막중의 상대적인 질소농도가 저하하여 버리기 때문이다. 또한 반응관 (2)의 온도를 750도 이하로 하여 장기간 처리가스를 공급하면, 막속에서 확산하는 질소가 포화하는 경우가 있다. 즉 반응관(2)의 온도는, 800도에서 850도인 것이 보다 바람직하다.
반응관(2)이 밀폐된 후, 반응관(2) 내부의 가스가 배출되어 감압이 시작된다. 반응관(2) 내부의 가스 배출은, 반응관(2) 내부의 압력이, 상압으로부터 소정 의 압력, 예컨대 95760Pa(720Torr)가 될 때까지 행해진다. 그 후 반응관(2) 내부의 압력이 95760Pa(720Torr)로 유지되면서, 가스도입관(13)에 일산화이질소가 소정의 유량, 예를 들면 5리터/분(5slm) 도입된다.
가스도입관(13)에 도입된 일산화이질소(처리가스)는, 가열기(15)에 공급되어 열분해된다. 열분해된 처리가스는, 가스도입관(13)으로부터, 내관(3) 내부의 반도체웨이퍼(10) 상에 공급된다.
반응관(2) 내부에서는, 열분해된 처리가스에 의해, 반도체웨이퍼(10)의 표면이 산질화처리된다. 그리고 처리가스가 소정시간, 예를 들어 15분간 공급되면, 반도체웨이퍼(10) 상에 실리콘산질화막이 형성된다. 도 3에 형성된 실리콘산질화막의 막두께와 Peak N량을 나타낸다. 여기서 Peak N량이란, 실리콘산질화막중의 가장 질소농도가 높은 위치에서의 질소농도를 나타내며, 실리콘산질화막에 포함되는 질소량의 판단기준이 되는 값이다. 또한 비교를 위해, 처리가스를 가열기(15)로 가열하지 않고 반응관(2)의 온도를 800도로 한 경우(비교예 1) 및 처리가스를 가열기(15)로 가열하지 않고서 반응관(2)의 온도를 900도로 한 경우(비교예 2)에 대해서도, 실리콘산질화막의 막두께와 Peak N량을 도 3에 나타낸다.
도 3에 나타낸 바와 같이, 본 실시형태에 의하면, 반응관(2)의 온도를 종래의 900도에서 800도로 내리더라도, Peak N량은 2.24(atomic%)이었다. 즉 비교예 1[반응관(2)의 온도를 900도]의 Peak N량인 2.33(atomic%)와 거의 같은 값을 얻을 수 있었다. 이것은 가열기(15)에 의해 일산화이질소가 거의 열분해되어 있기 때문에, 상대적으로 질소량이 증가했기 때문이다.
또한, 반응관(2)의 온도를 800도로 내린 것에 의해, 산화 레이트를 내릴 수 있어, 실리콘산질화막의 막두께를 2nm와 같이 얇게 할 수 있었다. 즉 비교예 2[반응관(2)의 온도가 800도]의 경우와 같이 형성된 실리콘산질화막에 포함되는 질소량을 감소시키지 않고, 실리콘산질화막의 막두께를 얇게 할 수 있다. 또한 가열기 (15)에 의해 일산화이질소가 거의 열분해되어 있기 때문에, 형성된 실리콘산질화막은 면내균일성에 뛰어난 것도 확인할 수 있었다.
반도체웨이퍼(10)의 표면에 실리콘산질화막이 형성되면, 가스도입관(13)으로부터의 처리가스의 공급이 정지된다. 그리고 반응관(2) 내부의 가스가 배기구(14)로부터 배출되어, 반응관(2) 내부가 상압으로 복귀된다. 그리고 보트 엘레베이터 (8)에 의해, 웨이퍼보트(9)[반도체웨이퍼(10)]가 반응관(2)으로부터 언로드된다.
또, 반응관(2)의 온도(800도)보다 높은 온도(1000도)의 처리가스를 반응관 (2) 내부로 도입하는 것이 내관(3) 내부의 온도로 영향을 미치는 지의 여부에 대하여 확인하기 위해서, 내관(3)의 온도를 측정하였다. 측정위치는 내관(3)의 내벽이며, 도 1에 나타낸 T1∼T4의 4점이다. 이 결과를 도 4에 나타낸다. 또한 비교를 위해, 처리가스를 가열하지 않는 경우(비교예 3)에 대해서도, 그 결과를 도 4에 나타낸다. 도 4에 나타낸 바와 같이, 처리가스의 온도의 차이에 관계없이, 내관(3) 내부의 온도는 거의 동일한 것을 알 수 있다. 즉 가열된 처리가스를 반응관(2) 내부로 도입하더라도, 반응관(2) 내부에서의 온도의 불균일이 생기지 않는 것을 확인할 수 있었다.
이상 설명한 바와 같이, 본 실시형태에 의하면, 처리가스는 가열기(15)에 의 해 1000도로 가열되어 거의 열분해된 상태로 반응관(2) 내부로 도입된다. 이 때문에 처리가스중의 질소량이 상대적으로 증가하여, 반도체웨이퍼(10) 상에 많은 질소를 공급할 수 있다. 따라서 반응관(2)의 온도를 900도로부터 800도로 내려도, 거의 같은 Peak N량의 실리콘산질화막을 형성할 수 있다. 또한 반응관(2)의 온도를 900도에서 800도로 내렸기 때문에, 형성되는 실리콘산질화막을 박막화할 수 있다. 이 결과 함유하는 질소량을 감소시키지 않고, 실리콘산질화막을 박막화할 수 있다.
또, 본 발명은 상기 실시형태에 한정되는 것이 아니라, 예를 들면 이하의 경우라 하더라도 좋다.
본 실시형태에서는, 반도체웨이퍼(10)에 직접 산질화처리를 실시하여, 반도체웨이퍼(10) 상에 실리콘산질화막을 형성하였다. 그러나 본 발명은 이에 한정되는 것이 아니라, 예를 들어 실리콘산화막이 형성된 반도체웨이퍼(10)에 산질화처리를 실시하여 실리콘산질화막을 형성하는 경우라도 좋다.
이 경우, 웨이퍼보트(9)에는, 미리 소정의 두께, 예를 들어 3nm의 실리콘산화막이 형성된 반도체웨이퍼(10)가 수용된다. 그리고 반응관(2) 내부의 압력을 상기 실시형태와 같은 95760Pa(720Torr), 가열기(15)의 온도를 900도 또는 1000도, 반응관(2)의 온도를 750도, 800도 또는 850도로 한 각 경우에 대하여, 가스도입관 (13)에 도입되는 일산화이질소의 유량을 상기 실시형태와 같은 5리터/분(5slm)으로 하여 15분간 공급하여, 반도체웨이퍼(10)의 실리콘산화막에 산질화처리를 실시하여 실리콘산질화막을 형성하였다. 또한 가열기(15)의 온도를 1000도로 하고, 처리가스의 공급시간을 30분으로 한 경우 및 가열기(15)에 의한 가열을 하지 않은 경우에 대해서도, 반도체웨이퍼(10)의 실리콘산화막에 산질화처리를 실시하여 실리콘산질화막을 형성하였다. 형성된 실리콘산질화막의 막두께증가량 및 Peak N량을 도 5에 나타낸다.
도 5에 나타낸 바와 같이, 처리가스를 가열기(15)에 의해 가열함으로써, Peak N량을 증가시킬 수 있다. 또한 반응관(2)의 온도가 같고, 또한 반응시간이 같은 경우에는, 막두께는 거의 동일해진다. 즉 상기 실시형태와 같이, 처리가스를 가열기(15)에 의해 가열함과 동시에, 반응관(2)의 온도를 내리는 것에 의해, 원하는 질소량을 포함한 실리콘산질화막을 박막화할 수 있다.
예를 들면, 처리가스를 가열기(15)에 의해 가열하지 않고, 반응관(2)의 온도가 850도의 경우의 실리콘산질화막[막두께증가량이 1.01nm, Peak N량이 0.52 (atomic%)]과 같은 Peak N량을 갖는 실리콘산질화막을 박막화하기 위해서는, 처리가스를 가열기(15)에 의해 900도로 하고, 반응관(2)의 온도를 750도로 가열하여 실리콘산질화막을 형성하면 좋다. 이 경우 형성된 실리콘산질화막의 막두께증가량은 0.29nm이 되어, 약 1/4의 막두께증가량으로 감소시킬 수 있다.
또, 가열기(15)의 온도를 1000도로 하고, 반응관(2)의 온도를 750도로 한 경우에, 반응시간을 15분에서 30분으로 늘리면, Peak N량이 1.13 (atomic%)에서 0.78 (atomic%)로 감소하였다. 이것은 반응관(2)의 온도를 비교적 저온으로 한 장시간의 처리에서는, 막중에서 확산하는 질소가 포화하는 것이 있고, 이 결과, 막두께만 증가하였기 때문이라고 생각된다. 이 때문에 반응시간을 30분과 같이 장시간으로 하는 경우에는, 반응관(2)의 온도를 800도 이상으로 하는 것이 바람직하다.
이상의 실시형태에서는, 산질화막 형성장치는, 반응관(2)이 내관(3)과 외관 (4)으로 구성된 2중관 구조의 뱃치식 종형 열처리타입이었다. 그러나 본 발명은 이에 한정되는 것이 아니라, 피처리체에 산질화막을 형성하는 각종의 처리장치에 적용하는 것이 가능하다. 또한 피처리체는 반도체웨이퍼에 한정되는 것이 아니라, 예컨대 LCD 용의 유리기판 등에도 적용할 수 있다.
다음에, 도 6은, 본 발명의 실리콘산화막 형성방법을 실시하기 위해서 사용되는 실리콘산화막 형성장치의 일례를 나타낸 도면이다. 이 실리콘산화막 형성장치는, 종형 열처리장치(101)와, 이 종형 열처리장치(101)에 처리가스를 도입하기 전에 해당 처리가스를 가열하기 위한 가열부(102)를 구비하고 있다. 종형 열처리장치(101)는, 도 6 및 도 7에 나타낸 바와 같이, 종형의 열처리화로(103)와, 유지구인 웨이퍼보트(104)와, 이 웨이퍼보트(104)를 승강시키는 보트 엘레베이터(140)와, 상기 열처리화로(103)에 접속된 가스공급관(105) 및 배기관(130)을 구비하고 있다.
종형의 열처리화로(103)는, 예를 들면 석영으로 이루어진 반응용기로서의 반응관(131)과, 이 반응관(131)을 둘러싸도록 설치된 저항발열체 등으로 이루어지는 가열수단인 히터(132)와, 상기 반응관(131)및 히터(132)의 사이에서 단열체(134)에 지지되어 설치된 균열용 용기(133)를 구비하고 있다. 상기 반응관(131)은, 하단이 개구하고 있어, 윗면(131a)에서 조금 아래쪽으로 다수의 가스구멍(131b)을 갖는 가스확산판(131c)이 설치되어 있다. 상기 가스공급관(105)은, 단열체(134)를 외부에서 관통하여 배관되고, 단열체(134)의 안쪽에서 L자형상으로 굴곡되어 반응관(131) 과 균열용 용기(133)의 사이에서 수직으로 일으켜 세워져, 또한 반응관(131)의 윗면(131a)과 가스확산판(131c) 사이의 공간으로 들어가 있다.
웨이퍼보트(104)는, 도 7에 나타낸 바와 같이, 천정판(141) 및 바닥판(142)의 사이에 복수개의 지지기둥(143)이 설치되어, 이 지지기둥(143)에 다수 형성된 수평방향의 홈에 웨이퍼(W)의 가장자리가 삽입되어 유지되도록 되어 있다. 웨이퍼보트(104)는, 반응관(131)의 하단의 개구부(135)(도 6참조)를 개폐하는 덮개체 (144)의 위에, 보온부인 예를 들면 보온통(145)을 통해 재치되어 있다. 보온통 (145)은, 턴테이블(146)(도 6참조) 상에 재치되고, 보트 엘레베이터(140)에 설치된 구동부(M)(도 6참조)에 의해 회전축(147)을 통해 회전되도록 되어 있다. 덮개체 (144)는, 보트 엘레베이터(140)에 설치되어 있고, 보트 엘레베이터(140)가 승강함으로써 열처리화로(103)에 대한 웨이퍼보트(104)의 반출입이 이루어지도록 되어 있다.
상기 가열부(102)는, 도 6에 나타낸 바와 같이, 종형열처리장치(101)의 외부에서 가스공급관(105)의 중간에 설치되어 있다. 가열부(102)는, 도 8에 나타낸 바와 같이, 가열실을 형성하는 예를 들면 투명석영으로 이루어진 가열관(121)과, 이 가열관(121)의 바깥쪽에 나선형상으로 형성된 히터부(122)와, 가열관(121) 및 히터부(122)를 덮는 통형상의 단열체(123)를 구비하고 있다. 단열체(123) 내부에는, 냉매 예컨대 냉각수를 흐르게 하기 위한 냉각수통로(124)가 형성되어 있다. 가열관(121) 속에는, 통기저항체로서, 예를 들면 다수의 투명 석영글래스비드(120)가 충전되어 있다. 통기저항체를 설치함으로써, 가스의 체류시간이 길어진다. 또한 통기저항체가 가열되어 가스가 이것에 접촉하면서 흐르는 것에 의해, 가스가 효율적으로 가열된다.
상기 히터부(122)는, 예를 들면 금속불순물이 적은 고순도의 카본으로 이루어진 파이버의 다발을 복수 다발로 짜올린 끈형상체로 이루어진다. 히터부(122)는, 전력공급선(125)에 의해 전류가 통하여 발열한다. 또 도시된 바와 같이, 열전대로 이루어진 온도센서(126)가 설치되어 있는 것이 바람직하다.
도 6에 나타낸 바와 같이, 상기 가스공급관(105)의 가열부(102)의 상류측은, 밸브(V0)를 통해 분기관(151 및 152)으로 분기되어 있다. 분기관(151,152)의 각각에는, 산소가스원(153)및 염화수소가스원(154)이 접속되어 있다. 도 6에 있어서, (V1,V2)는 밸브, (MF1,MF2)는 가스유량제어부인 매스플로우 컨트롤러이다. 또 가열부(102)는 가열된 가스가 열처리화로(103)의 내부에 들어가기 전에 냉각되지 않도록 하기 위해서, 되도록 열처리화로(103)에 접근하여 설치하는 것이 바람직하다.
다음에, 상술한 실시형태의 작용에 대하여 설명한다.
우선, 실리콘층이 표면부에 형성된 다수매의 예를 들면 60매의 피처리체인 웨이퍼(W)가, 웨이퍼보트(104)에 선반형상으로 유지된다. 다음에 히터(132)에 의해 미리 소정의 온도로 가열된 반응관(131) 내부에, 보트 엘레베이터(140)에 의해 웨이퍼보트(104)가 반입되고, 화로구인 개구부(135)가 덮개체(144)에 의해 기밀로 닫혀진다(도 6의 상태). 계속해서 소정의 처리온도 예를 들면 800℃까지, 반응관 (131) 내부가 승온된다. 웨이퍼(W)를 반입하는 공정 및 반응관(131) 내부를 승온하는 공정에서는, 반응관(131) 내부에 도시하지 않은 질소가스공급관으로부터 예를 들면 약간 산소가스를 혼입시킨 질소가스를 공급해 둔다. 그리고 반응관(131) 내부가 처리온도가 되면, 해당 가스의 공급을 멈추고, 도시하지 않은 배기수단에 의해 배기관(130)을 통해 반응관(131) 내부를 배기함으로써, 반응관(131) 내부를 미세한 감압상태로 한다. 이 상태에서 웨이퍼(W)의 온도를 안정시키고 나서, 산화처리를 한다.
한편, 종형열처리장치(101)의 외부에 설치된 가열부(102)에 있어서, 가열관 (121) 내부를 예를 들면 1000℃의 가열분위기로 하여 둔다. 그리고 밸브(V0)를 개방하여, 산소가스 및 염화수소가스를 처리가스로서 가열관(121) 내부로 흐르게 한다. 처리가스는 가열관(121) 내부의 투명 석영글래스비드(120)에 접촉하면서 그들 빈틈을 통해 유출되고, 여기를 지나는 동안에 100O℃ 가까이로 가열된다. 이에 따라 산소가스 및 염화수소가스가 하기식과 같이 반응하여, 미량인 예를 들면 수백 ppm오더의 수증기가 생성된다고 생각된다.
2HCl→H2+Cl2
H2+1/2O2+H2O
이렇게 해서 가열된 처리가스는, 열처리화로(103) 내부로 들어가고, 균열관 (133)의 안쪽을 지나 상승하여, 반응관(131)의 상부로 유입한다. 그리고 처리가스는, 가스구멍(131b)에서 반응관(131) 내부의 처리영역에 공급되어, 하부의 배기관 (130)으로부터 배기된다. 이 때 처리가스는, 선반형상으로 적층된 웨이퍼(W) 사이로 들어가, 산소가스분에 의해 웨이퍼(W) 표면부의 실리콘층을 산화하여 실리콘산 화막을 생성시킨다. 또한 처리가스 중에는 기술한 바와 같이 미량인 수증기가 포함되어 있기 때문에, 이 수증기에 의해 산화막이 증막된다.
이상과 같은 실시형태에 의하면, 후술의 실시예의 결과로부터도 알 수 있듯이, 웨이퍼(W)의 면내에서의 막두께의 균일성이 높아지고, 또한 웨이퍼(W) 사이에 있어서의 막두께의 균일성도 높아진다. 이 이유에 대해서는, 다음과 같이 생각된다.
처리가스(산소가스 및 염화수소가스의 혼합가스)는, 가열부(102)로써 예컨대 1000℃ 가까이로 가열되어, 수증기가 생성된다. 그 후 가스공급관(105)을 흐르는 동안에 다소 차가워지지만, 일단 생성된 수증기는 온도가 낮아지더라도 양이 감소하지는 않는다. 즉 상기의 화학식에 있어서, 산소와 수소로부터 수증기가 생성되는 반응의 평형이 생성물측에는 이동하지 않는다. 이 때문에, 반응관(131) 내부의 처리온도보다 높은 온도로 수증기를 생성시켜 놓으면, 처리가스는 반응관(131) 내부에서 더욱 수증기를 생성하는 일은 없다.
즉, 웨이퍼보트(104)에 적층되어 있는 웨이퍼(W)의 사이에 처리가스가 들어갈 때에는, 수증기가 말하자면 다 생성되어 버린 것이다. 따라서, 웨이퍼(W)의 가장자리로부터 중앙을 향하여 흐르는 처리가스에 포함되는 수증기의 양은, 어떤 위치에서도 거의 동일하다. 따라서 웨이퍼보트(104)의 상단에 위치하는 웨이퍼(W)에서도, 면내에서의 수증기에 의한 증막작용의 정도는 거의 동일하다. 이 결과 막두께의 면내균일성이 높아진다.
종래에는, 웨이퍼보트(104)의 하단측을 향함에 따라 수증기의 생성이 진행하 기 때문에, 상단측에서는 막두께의 균일성이 나쁘고, 하단측으로 갈수록 막두께의 균일성이 높았다. 그러나 본 실시형태에서는, 종래의 하단측의 가스의 분위기를 상단측에서도 실현하고 있기 때문에, 웨이퍼(W)의 사이에서의 막두께분포의 불균일도 작다, 즉 면사이의 막두께의 균일성이 높다.
또, 엄밀하게는, 수증기가 증막에 기여하기 때문에, 웨이퍼(W)의 중앙을 향함에 따라 수증기의 양은 다소 적어진다고 생각된다. 그러나 상술한 바와 같이, 웨이퍼(W)의 가장자리보다 중앙 쪽이 온도가 높아, 원래 중앙의 막두께가 커지는 경향이 있다. 따라서 가장자리에 있어서의 수증기증막의 정도가 비교적 큰 것은, 가장자리의 막두께를 부풀게 하는 작용이 있어, 결과적으로 막두께의 균일성을 더 한층 높이고 있는 것이라고도 말할 수 있다.
반응관(103) 내부에서 수증기의 생성이 진행되는 현상은, 저온일수록, 막두께의 면내균일성 및 면간균일성에 미치는 영향이 크다. 따라서 본 실시형태에 의하면, 처리의 저온화에 크게 기여할 수가 있다.
이상으로, 본 발명에서 사용하는 수소와 염소를 포함하는 화합물의 가스로서는, 염화수소가스에 한정되지 않고, 예를 들면 디클로로실란(SiH2Cl2)가스 등이라도 좋다. 또한 처리가스에 에너지를 주어 수분을 생성하는 공정은, 가열부(102)로 가열하는 공정에 한정되는 것이 아니라, 예를 들면 마이크로파 등의 전력이나 레이져광 등의 에너지를 주어 가스를 활성화시켜 행하는 공정 등이라도 좋다. 이들 경우에 있어서도, 반응관내에 처리가스를 도입했을 때에 그 이상 수증기가 생성되지 않 을 정도로, 수증기를 미리 생성해 두는 것이 바람직하다.
또한, 반응용기 내부에서 웨이퍼에 대하여 산화처리를 하는 장치로서는, 뱃치처리를 하는 장치에 한정되지 않고, 예를 들면 낱장식의 열처리장치하여도 좋다.
기술한 실시형태에 따른 장치를 사용하여 행한 시험결과에 대하여 서술한다.
(실시예 1)
이하의 처리조건에 의해, 20cm 크기의 웨이퍼의 표면에 실리콘산화막을 형성하였다.
반응관 내부의 온도 : 800℃
가스유량 : O2/HC1=10/0.5(slm)
처리시간 : 90분
가열부의 온도 : 100O℃
웨이퍼의 탑재매수 : 100매
반응관 내부의 압력 : -49Pa(-5mmH2O)
웨이퍼보트의 상단, 중단, 하단에 위치하는 웨이퍼의 실리콘산화막의 막두께를 측정하여, 각 웨이퍼의 면내균일성에 대하여 조사하였다. 또한 가열부의 히터를 오프로 한 상태에서 같은 측정을 하였다. 도 9에 그 결과를 나타낸다. 한편 면내균일성이란, 막두께에 대해서{(최대치-최소치)/2×면내평균치}×100(%)로 나타내어지는 값이다.
이 결과로부터, 처리가스를 가열부에서 가열하고 나서 반응관 내부로 공급함 으로써, 상단측에서 중단측에 이르기까지의 면내막두께의 균일성이 개선되고 있는 것을 알 수 있다. 또한 웨이퍼 사이에 있어서도 막두께가 고르게 되어 있는 것을 알 수 있다.
(실시예 2)
이하의 처리조건에 의해, 20cm 크기의 웨이퍼의 표면에 실리콘산화막을 형성하였다.
반응관 내부의 온도 : 800℃
가스유량 : O2/HC1=10/0.3(slm)
가열부의 온도 : 100O℃
웨이퍼의 탑재매수 : 100매
반응관내의 압력 : -49Pa(-5mmH2O)
산화처리시간의 길이 : 2분, 15분, 30분, 60분의 4가지
웨이퍼보트의 중단에 위치하는 웨이퍼의 면내막두께의 균일성에 대하여 조사하였다. 또한 면사이의 막두께의 균일성에 대해서도 조사하였다. 도 10에 그 결과를 나타낸다. 여기서 면간균일성이란, 보트상에 있어서의 각 웨이퍼(실제로는 소정매수의 모니터웨이퍼)의 막두께의 평균치를 구하여, 그들 평균치의 최대값과 최소값의 차를 A로 하고, 각 웨이퍼의 막두께 평균치의 평균값을 B로 하여, (A/2 ×B)×100(%)로 나타내지는 값이다.
이 결과로부터, 산화처리시간이 길수록, 즉 두꺼운 막이 될수록, 면내 및 면 사이의 균일성의 개선효과가 커지고 있는 것을 알 수 있다. 특히 막두께가 3nm 정도의 박막영역이더라도 균일성의 개선효과가 있다.
(실시예 3)
웨이퍼를 탑재하지 않은 웨이퍼보트를 반응관 내부로 반입하는 동시에, 반응관내의 온도를 800℃로 설정하고, 가스유량을 O2/HCl=10/1(slm)으로 하고, 가열부의 온도를 1000℃로 한 경우와 오프로 한 경우의 각각에 관해서, 배기관으로부터 배기되는 가스중의 수소농도를 조사하였다.
결과는 도 11에 나타내는 바와 같다. 한편 분석개시시간이란, 가스를 흘리기 시작하고 나서부터의 경과시간이다. 이 결과로부터, 가열부를 온으로 했을 때에는 수소농도가 적은 것을 알 수 있다. 이것은 H2+1/2O2+H2O의 반응이 진행하고 있기 때문이라고 추측된다. 가열부를 오프로 한 경우, 이 반응이 가열부가 온인 경우에 비해서 진행되고 있지 않기 때문에 H2농도가 높다고 생각된다.
다음에, 본 발명의 일 실시형태에 따른 실리콘질화막의 형성방법 및 형성장치를, 도 12에 나타낸 뱃치식 종형 열처리장치를 사용하여 반도체웨이퍼에 실리콘질화막을 형성하는 경우를 예로 들어 설명한다.
도 12에 나타낸 바와 같이, 열처리장치(201)는, 길이방향이 연직방향으로 향한 대략 원통형의 반응관(202)을 구비하고 있다. 반응관(202)은 내부에 성막영역을 구성하는 내관(203)과, 내관(203)을 덮는 동시에 내관(203)과 일정한 간격을 갖도록 형성된 천정이 있는 외관(204)으로 구성된 2중관 구조를 갖는다. 내관(203) 및 외관(204)은 내열재료, 예를 들면 석영으로 형성되어 있다.
외관(204)의 아래쪽에는, 통형상으로 형성된 스테인리스강(SUS)으로 이루어진 매니폴드(205)가 배치되어 있다. 매니폴드(205)는, 외관(204)의 하단과 기밀하게 접속되어 있다. 또한 내관(203)은, 매니폴드(205)의 내벽으로부터 돌출하도록 매니폴드(205)와 일체로 형성된 지지링(206)에 의해 지지되어 있다.
매니폴드(205)의 아래쪽에는, 덮개체(207)가 배치되어 있다. 보트 엘레베이터(208)에 의해, 덮개체(207)는 상하이동가능하도록 구성되어 있다. 보트 엘레베이터(208)에 의해 덮개체(207)가 상승하면, 매니폴드(205)의 아래쪽이 폐쇄된다.
덮개체(207)에는, 예를 들면 석영으로 이루어지는 웨이퍼보트(209)가 재치되어 있다. 웨이퍼보트(209)에는, 피처리체 예컨대 반도체웨이퍼(210)가, 연직방향으로 소정의 간격, 예를 들면 10.4mm의 간격을 두고 복수매 수용되도록 되어 있다.
반응관(202)의 주위에는, 반응관(202)을 둘러싸도록 단열체(211)가 설치되어 있다. 단열체(211)의 내벽면에는, 예를 들면 저항발열체로 이루어지는 승온용히터 (212)가 설치되어 있다.
매니폴드(205)의 측면에는, 복수의 가스도입관이 끼워 넣어지고 있다. 본 실시형태에서는, 제 1 가스도입관(213)과 제 2 가스도입관(214)의 2개의 가스도입관이, 매니폴드(205)의 측면에 끼워 넣어져 있다.
제 1 가스도입관(213)은, 내관(203) 내부와 연이어 통하도록 배설되어 있다. 예를 들면 도 12에 나타낸 바와 같이, 지지링(206)보다 아래쪽[내관(203)의 아래쪽]의 매니폴드(205)의 측면으로부터 제 1 가스도입관(213)이 끼워 넣어지고 있다. 이에 따라 제 1 가스도입관(213)으로부터, 예를 들면 디실란(Si2H6)과 같은 실란계의 가스가 내관(203) 내부로 도입되도록 되어 있다.
제 2 가스도입관(214)은, 내관(203) 내부와 연이어 통하도록 배설되고, 제 1 가스도입관(213)과 마찬가지로, 지지링(206)보다 아래쪽[내관(203)의 아래쪽]의 매니폴드(205)의 측면으로부터 끼워 넣어져 있다. 이에 따라 제 2 가스도입관(214)으로부터, 질소의 공급원으로서의 트리메틸아민(TMA)이 내관(203) 내부로 도입되도록 되어 있다.
제 2 가스도입관(214)에는, 가열기(215)가 설치되어 있다. 가열기(215)는 예를 들면 저항발열체로 이루어지는 히터를 구비한다. 가열기(215)는 가열기(215) 내부로 공급된 트리메틸아민을 소정의 온도로 가열하도록 되어 있다. 즉 가열된 트리메틸아민이, 제 2 가스도입관(214)을 통해, 반응관(202) 내부로 공급되도록 되어 있다.
또한, 제 2 가스도입관(214)의 가열기(215)의 하류측에는, 좁은직경부(216)가 형성되어 있다. 도 13에 좁은직경부(216) 근방의 확대도를 나타낸다. 도 13에 나타낸 바와 같이, 좁은직경부(216)는, 돌기부(216a)와 오리피스(216b)로 구성되어 있다. 돌기부(216a)는, 제 2 가스도입관(214)의 내경을 축소시키도록, 제 2 가스도입관(214)의 안둘레면에 돌출형성되어 있다. 본 실시형태의 돌기부(216a)는, 제 2 가스도입관(214)의 안둘레면에서 그 연직방향으로 돌출하고, 전체적으로 링형상으로 되어 있다. 그리고 돌기부(216a)의 안둘레쪽의 공간이, 오리피스(216b)를 형 성하고 있다. 본 실시형태에서는, 제 2 가스도입관(214)의 내경이 20mm이고, 오리피스(216b)의 지름이 약 0.6mm이다.
매니폴드(205)의 측면에는, 배출구(217)가 설치되어 있다. 배출구(217)는, 지지링(206)보다 위쪽에 설치되고 있고, 반응관(202) 내부의 내관(203)과 외관 (204)의 사이에 형성된 공간과 연이어 통하고 있다. 처리가스가 제 1 가스도입관 (213) 및 제 2 가스도입관(214)으로부터 내관(203) 내부로 공급되면, 성막처리가 행해지도록 되어 있다. 성막처리에 의해서 발생한 반응생성물은, 내관(203)과 외관(204)의 사이를 지나, 배출구(217)로 배출되도록 되어 있다.
배출구(217)에는, 배기관(218)이 기밀로 접속되어 있다. 배기관(218)에는, 밸브(219)와, 진공펌프(220)가 설치되어 있다. 밸브(219)는, 배기관(218)의 개방도를 조정하여, 반응관(202) 내부 및 배기관(218) 내부의 압력을 소정의 압력으로 제어하도록 기능한다. 진공펌프(220)는, 배기관(218)을 통해 반응관(202) 내부의 가스를 배기하는 동시에, 반응관(202) 내부 및 배기관(218) 내부의 압력을 조정하도록 기능한다.
보트 엘리베이터(208), 승온용 히터(212), 제 1 가스도입관(213), 제 2 가스도입관(214), 가열기(215), 밸브(219) 및 진공펌프(220)에는, 제어부(221)가 접속되어 있다. 제어부(221)는 마이크로프로세서, 프로세스컨트롤러 등으로 구성될 수 있다. 제어부(221)는 열처리장치(201)의 각 부의 온도, 압력 등을 측정하여, 해당 측정데이터에 기초하여 상기 각부에 제어신호 등을 출력하여 상기 각부를 제어하도록 되어 있다.
다음에, 이상과 같이 구성된 열처리장치(201)를 사용한 실리콘질화막의 형성방법에 대하여, 반도체웨이퍼(210)에 실리콘질화막을 형성하는 경우를 예로 들어 설명한다. 한편 이하의 설명에 있어서, 열처리장치(201)를 구성하는 각 부의 동작은 제어부(221)에 의해 콘트롤된다.
우선, 보트 엘레베이터(208)에 의해 덮개체(207)가 내려진 상태에서, 반도체웨이퍼(210)가 수용된 웨이퍼보트(209)가 덮개체(207) 상에 얹어 놓여진다. 다음에 보트 엘레베이터(208)에 의해 덮개체(207)가 상승되어, 웨이퍼보트(209)[반도체웨이퍼(210)]가 반응관(202) 내부로 로드된다. 이에 따라 반도체웨이퍼(210)가 반응관(202)의 내관(203) 내부에 수용됨과 동시에, 반응관(202)이 밀폐된다.
또한, 승온용히터(212)에 의해, 반응관(202) 내부가 소정의 온도로 가열된다. 반응관(202) 내부의 온도는, 종래의 반응관의 온도(650도∼700도)보다 낮고, 실리콘질화막이 형성할 수 있는 정도의 온도인 것이 바람직하다. 구체적으로는, 예컨대 400도∼650도인 것이 바람직하다. 본 실시형태에서 반응관(202) 내부는 550도로 가열되어 있다.
또한, 도시하지 않은 히터에 의해, 가열기(215)가 소정의 온도로 가열된다. 가열기(215)의 온도는, 열용량이 크게 가열하더라도 따뜻해지기 어려운 특성이 있는 트리메틸아민이 반응관(202) 내부에서의 가열에 의해 열분해되어 질소를 공급할 수 있도록, 트리메틸아민을 충분히 예비 가열할 수 있는 온도이면 된다. 구체적으로는, 500도∼700도인 것이 바람직하다. 가열기(215)가 500도보다 낮으면, 트리메틸아민은 충분히 가열되지 않는다. 한편, 가열기(215)가 700도가 되면, 가열기 (215)에서의 가열에 의해서 트리메틸아민이 거의 완전히 열분해되어 버린다. 본 실시형태에서는, 가열기(215)는 550도로 가열되고 있다.
반응관(202)이 밀폐된 후, 밸브(219)의 개방도를 제어하면서 진공펌프(220)를 구동시켜, 반응관(202) 내부의 가스를 배출하여 감압을 시작한다. 반응관(202) 내부의 가스 배출은, 반응관(202) 내부의 압력이, 상압으로부터 소정의 압력, 예컨대 127Pa(0.95Torr)가 될 때까지 행한다.
또한, 가열기(215) 내부의 압력을, 예컨대 20kPa∼90kPa(150Torr∼677Torr)로 감압하는 것이 바람직하다. 본 실시형태에서는, 84kPa(630Torr)로 감압하고 있다. 일반적으로 감압하에서서는 열분해효율(가열효율)이 나빠지기 쉽지만, 가열기 (215) 내부를 반응관(202) 내부의 압력보다 높은 압력으로 하고 있기 때문에, 가열기(215) 내부에서의 가열효율은 향상되고 있다.
반응관(202) 내부의 압력이 127Pa(0.95Torr)으로 유지되면, 제 1 가스도입관 (213)으로부터 소정의 유량, 예를 들면 0.025리터/분(25sccm)의 디실란이 내관 (203) 내부로 도입된다.
또한, 제 2 가스도입관(214)으로부터, 소정의 유량, 예컨대 1리터/분(1000 sccm)의 트리메틸아민이 가열기(215)에 공급된다. 가열기(215)에 공급된 트리메틸아민은, 가열기(215) 내부에서 예비가열된 후, 제 2 가스도입관(214)을 통해 내관 (203) 내부로 도입된다.
여기서, 제 2 가스도입관(214)의 가열기(215)의 하류측에는 좁은직경부(216) [오리피스(216b)]가 형성되어 있기 때문에, 가열기(215) 내부를 통과하는 트리메틸 아민에 충분한 체류시간이 부여된다. 이 때문에 가열기(215)에 의한 가열효율이 향상한다.
내관(203) 내부로 도입된 디실란 및 트리메틸아민은, 내관(203) 내부에서 가열되어 열분해되면서 반도체웨이퍼(210) 상에 공급된다. 그리고 열분해된 처리가스에 의해, 반도체웨이퍼(210)의 표면이 질화처리된다. 처리가스가 소정시간, 예를 들면 120분간 공급되면, 반도체웨이퍼(210) 상에 실리콘질화막이 형성된다.
도 14에, 형성된 실리콘질화막의 처리속도(D/R : Deposition Rate)와 굴절율 (RI : Refractive Index)을 나타낸다. 여기서 굴절율(RI)은, 형성된 실리콘질화막의 조성(실리콘질화막에 포함되는 질소량)의 판단기준이 되는 값이다. RI= 2.0으로, 거의 화학량론비의 조성을 갖는 실리콘질화막이라고 판단할 수 있다. 또한, 비교를 위해, 트리메틸아민을 가열기(215)로 예비가열하지 않은 경우(비교예)의 실리콘질화막의 처리속도와 굴절율도, 도 14에 나타낸다.
도 14에 나타낸 바와 같이, 본 실시형태에 의하면, 반응관(202)의 온도를 종래의 650도에서 550도로 내리더라도, RI=2.0의 거의 화학량론비의 조성을 갖는 실리콘질화막을 형성할 수 있다. 또한, 처리속도는, 트리메틸아민을 가열기(215)로 가열하지 않은 경우(비교예)의 0.27nm/분에서, 0.70nm/분으로 약 2.6배나 빠르게 할 수 있다.
이와 같이, 반응관(202)의 온도를 종래의 650도에서 550도로 내리더라도 거의 화학량론비의 조성을 갖는 실리콘질화막을 형성할 수 있고, 그 처리속도를 빠르게 할 수 있는 것은, 가열기(215)로 트리메틸아민에 예비가열을 한 것에 의해, 트 리메틸아민이 반응관(202) 내부에서의 가열에 의해 열분해되기 쉽게 되어 있어, 보다 많은 질소가 실리콘질화막 형성을 위해 공급되기 때문이다.
또한, 가열기(215) 내부의 압력을 84kPa(630Torr)로 하고 있으므로, 가열기 (215) 내부에서의 가열효율이 향상되고 있다. 이 때문에 트리메틸아민이 반응관 (202) 내부에서의 가열에 의해 열분해되기 쉽게 되어, 많은 질소가 공급되어, 거의 화학량론비의 조성을 갖는 실리콘질화막을 형성할 수 있는 동시에, 그 처리속도를 높일 수 있다.
또한, 제 2 가스도입관(214)의 가열기(215)의 하류측에 좁은직경부(216)[오리피스(216b)가 형성되어 있기 때문에, 가열기(215) 내부를 통과하는 트리메틸아민에 충분한 체류시간이 부여되고, 가열기(215)에 의한 가열효율이 향상되고 있다. 이 때문에 트리메틸아민이 반응관(202) 내부에서의 가열에 의해 열분해되기 쉬워져, 많은 질소가 공급되어, 거의 화학량론비의 조성을 갖는 실리콘질화막을 형성할 수 있는 동시에, 그 처리속도를 높일 수 있다.
반도체웨이퍼(210)의 표면에 실리콘질화막이 형성되면, 제 1 가스도입관 (213) 및 제 2 가스도입관(214)으로부터의 처리가스의 공급이 정지된다. 그리고 반응관(202) 내부의 가스가 배기구(217)로부터 배출되어, 반응관(202) 내부가 상압으로 복귀된다. 그리고 보트 엘레베이터(208)에 의해, 웨이퍼보트(209)[반도체웨이퍼(210)]가 반응관(202)으로부터 언로드된다.
이상 설명한 바와 같이, 본 실시형태에 의하면, 가열효율을 향상시킨 가열기 (215)로 트리메틸아민에 예비가열을 하여, 예비가열된 이 트리메틸아민을 반응관 (202) 내부로 도입하여 질화처리를 하고 있기 때문에, 반응관(202) 내부의 온도를 내리더라도, 거의 화학량론비의 조성을 갖는 실리콘질화막을 형성할 수 있는 동시에, 그 처리속도를 빠르게 할 수 있다.
한편, 본 발명은 상기 실시형태에 한정되는 것이 아니라, 예를 들면 이하의 경우이더라도 좋다.
본 실시형태에서는, 가열기(215) 내부의 압력[84kPa(630Torr)]을 반응관 (202) 내부의 압력[127Pa(0.95Torr)]보다 높게 하고 있지만, 본 발명은 이에 한정되는 것이 아니라, 예를 들면 가열기(215) 내부의 압력을 반응관(202) 내부의 압력과 거의 같게 하여도 좋다.
또한, 본 실시형태에서는, 제 2 가스도입관(214)의 가열기(215)의 하류측에, 좁은직경부(216)[오리피스(216b)]를 형성하고 있지만, 가열기(215) 내부를 통과하는 트리메틸아민에 충분한 체류시간을 부여하는 다른 구조를 채용하여도 좋다. 예를 들면, 가열기(215) 내부를 통과하는 시간이 길어지도록, 가열기(215) 내부의 트리메틸아민이 흐르는 유로를 길게 한 구조를 채용하여도 좋다. 이 경우에도 가열기(215)의 가열효율을 향상시킬 수 있다.
본 실시형태에서는, 오리피스(216b)의 지름을 약 0.6mm로 형성하고 있지만, 본 발명은 이에 한정되는 것이 아니라, 가열기(215) 내부를 통과하는 트리메틸아민에 충분한 체류시간이 부여되는 크기이면 된다.
본 실시형태에서는, 실란계가스로서 디실란을 사용하고 있지만, 본 발명에 사용되는 실란계가스는 디실란에 한정되는 것이 아니라, 예를 들면 모노실란(SiH4), 디클로로실란(SiH2C12)이더라도 좋다.
본 실시형태에서는, 질화막 형성장치는, 반응관(202)이 내관(203)과 외관 (204)으로 구성된 2중관 구조의 뱃치식 종형 열처리타입이었다. 그러나 본 발명은 이에 한정되는 것이 아니라, 피처리체에 질화막을 형성하는 각종 처리장치에 적용하는 것이 가능하다. 또한 피처리체는 반도체웨이퍼에 한정되는 것이 아니라, 예를 들면 LCD용의 유리기판 등에도 적용할 수 있다.
다음에, 본 발명의 일 실시형태에 관한 실리콘산화막의 형성방법 및 형성장치를, 도 15에 나타내는 뱃치식 종형 열처리장치를 사용하여 반도체웨이퍼에 실리콘산화막을 형성하는 경우를 예로 들어 설명한다.
도 15에 나타낸 바와 같이, 열처리장치(301)는, 길이 방향이 연직방향을 향한 대략 원통형의 반응관(302)을 구비하고 있다. 반응관(302)은, 내부에 성막영역을 구성하는 내관(303)과, 내관(303)을 덮는 동시에 내관(303)과 일정한 간격을 갖도록 형성된 천정이 있는 외관(304)으로 구성된 2중관 구조를 갖는다. 내관(303) 및 외관(304)은, 내열재료, 예를 들면 석영으로 형성되어 있다.
외관(304)의 아래쪽에는, 통형상으로 형성된 스테인리스강(SUS)으로 이루어진 매니폴드(305)가 배치되어 있다. 매니폴드(305)는, 외관(304)의 하단과 기밀로 접속되어 있다. 또한 내관(303)은, 매니폴드(305)의 내벽으로부터 돌출하도록 매니폴드(305)와 일체로 형성된 지지링(306)에 의해 지지되어 있다.
매니폴드(305)의 아래쪽에는, 덮개체(307)가 배치되어 있다. 보트 엘리베이터(308)에 의해, 덮개체(307)는 상하이동 가능하도록 구성되어 있다. 보트 엘레베이터(308)에 의해 덮개체(307)가 상승하면, 매니폴드(305)의 아래쪽이 폐쇄된다.
덮개체(307)에는, 예를 들면 석영으로 이루어지는 웨이퍼보트(309)가 재치되어 있다. 웨이퍼보트(309)에는, 피처리체 예컨대 반도체웨이퍼(310)가, 연직방향으로 소정의 간격, 예를 들면 5.2mm의 간격을 두고 복수매 수용되도록 되어 있다.
반응관(302)의 주위에는, 반응관(302)을 둘러싸도록, 단열체(311)가 설치된다. 단열체(311)의 내벽면에는, 예를 들면 저항발열체로 이루어지는 승온용 히터 (312)가 설치되어 있다. 그리고 승온용 히터(312)의 가열에 의해, 반응관(302) 내부가 소정의 온도로 설정되도록 되어 있다.
매니폴드(305)의 측면에는, 복수의 가스도입관이 끼워 넣어지고 있다. 본 실시형태에서는, 제 1 가스도입관(313)과 제 2 가스도입관(314)의 2개의 가스도입관이, 매니폴드(305)의 측면에 끼워 넣어지고 있다.
제 1 가스도입관(313)은, 내관(303) 내부와 연이어 통하도록 배설되어 있다. 예를 들면 도 15에 나타낸 바와 같이, 지지링(306)보다 아래쪽[내관(303)의 아래쪽]의 매니폴드(305)의 측면으로부터 제 1 가스도입관(313)이 끼워 넣어져 있다. 그리고 제 1 가스도입관(313)으로부터, 예를 들면 디클로로실란(SiH2Cl2)과 같은 실란계의 가스가 내관(303) 내부로 도입되도록 되어 있다.
제 2 가스도입관(314)은, 내관(303) 내부와 연이어 통하도록 배설되고, 제 1 가스도입관(313)과 같이, 지지링(306)보다 아래쪽[내관(303)의 아래 쪽]의 매니폴드(305)의 측면으로부터 끼워 넣어지고 있다. 이에 따라 제 2 가스도입관(314)으로부터, 일산화이질소(N2O)가 내관(303) 내부로 도입되도록 되어 있다.
제 2 가스도입관(314)에는, 가열기(315)가 개설되어 있다. 가열기(315)는 예를 들면 저항발열체로 이루어지는 히터를 구비한다. 가열기(315)는 가열기(315) 내부로 공급된 일산화이질소를 소정의 온도로 가열하도록 되어 있다. 즉 가열된 일산화이질소가, 제 2 가스도입관(314)을 통해, 반응관(302) 내부로 공급되도록 되어 있다.
또한, 제 2 가스도입관(314)의 가열기(315)의 하류측에는, 좁은직경부(316)가 형성되어 있다. 도 16에 좁은직경부(316) 근방의 확대도를 나타낸다. 도 16에 나타낸 바와 같이, 좁은직경부(316)는, 돌기부(316a)와 오리피스(316b)로 구성되어 있다. 돌기부(316a)는, 제 2 가스도입관(314)의 내경을 축소 시키도록, 제 2 가스도입관(314)의 안둘레면에 돌출형성되어 있다. 본 실시형태의 돌기부(316a)는, 제 2 가스도입관(314)의 안둘레면에서 그 연직방향으로 돌출하여, 전체적으로 링형상으로 되어 있다. 그리고 돌기부(316a)의 안둘레쪽의 공간이, 오리피스(316b)를 형성하고 있다. 본 실시형태에서는, 제 2 가스도입관(314)의 내경이 20mm이고, 오리피스(316b)의 지름이 약 0.6mm이다.
매니폴드(305)의 측면에는, 배출구(317)가 설치되어 있다. 배출구(317)는 지지링(306)보다 위쪽에 설치되어 있으며, 반응관(302) 내부의 내관(303)과 외관 (304)의 사이에 형성된 공간으로 연이어 통하고 있다. 처리가스가 제 1 가스도입관(313) 및 제 2 가스도입관(314)으로부터 내관(303) 내부로 공급되면, 성막처리가 행해지도록 되어 있다. 성막처리에 의해 발생한 반응생성물은, 내관(303)과 외관 (304)의 사이를 지나, 배출구(317)로 배출되도록 되어 있다.
배출구(317)에는, 배기관(318)이 기밀로 접속되어 있다. 배기관(318)에는, 밸브(319)와, 진공펌프(320)가 개설되어 있다. 밸브(319)는 배기관(318)의 개방도를 조정하여, 반응관(302) 내부 및 배기관(318) 내부의 압력을 소정의 압력으로 제어하도록 기능한다. 진공펌프(320)는 배기관(318)을 통해 반응관(302) 내부의 가스를 배기하는 동시에, 반응관(302) 내부 및 배기관(318) 내부의 압력을 조정하도록 기능한다.
보트 엘리베이터(308), 승온용 히터(312), 제 1 가스도입관(313), 제 2 가스도입관(314), 가열기(315), 밸브(319) 및 진공펌프(320)에는, 제어부(321)가 접속되어 있다. 제어부(321)는 마이크로프로세서, 프로세스컨트롤러 등으로 구성될 수 있다. 제어부(321)는 열처리장치(301)의 각 부의 온도, 압력 등을 측정하여, 이 측정데이터에 기초하여 상기 각 부에 제어신호등을 출력하여 상기 각 부를 제어하도록 되어 있다.
다음에, 이상과 같이 구성된 열처리장치(301)를 사용한 실리콘산화막의 형성방법에 대하여, 반도체웨이퍼(310)에 실리콘산화막을 형성하는 경우를 예로 들어 설명한다. 한편 이하의 설명에 있어서, 열처리장치(301)를 구성하는 각 부의 동작은, 제어부(321)에 의해 콘트롤된다.
우선, 보트 엘레베이터(308)에 의해 덮개체(307)가 내려진 상태에서, 반도체웨이퍼(310)가 수용된 웨이퍼보트(309)가 덮개체(307) 상에 재치된다. 다음에 보트 엘레베이터(308)에 의해 덮개체(307)가 상승되고, 웨이퍼보트(309)[반도체웨이퍼{310)]가 반응관(302) 내부로 로드된다. 이에 따라 반도체웨이퍼(310)가 반응관 (302)의 내관(303) 내부에 수용됨과 동시에, 반응관(302)이 밀폐된다.
또한, 승온용 히터(312)에 의해, 반응관(302) 내부가 실리콘산화막의 형성에 알맞은 소정의 온도, 예를 들면 700℃∼900℃로 가열된다.
더욱, 도시하지 않은 히터에 의해, 가열기(315)가 소정의 온도로 가열된다. 가열기(315)의 온도에 대하여 검토하기 위해서, 가열기(315)의 온도와 일산화이질소의 열분해에 의해 발생하는 산소량의 관계를 조사하였다. 도 17에 각 온도에 있어서의 산소량을 나타낸다. 도 17에 나타낸 바와 같이, 일산화이질소를 700℃ 이상으로 가열하면, 열분해에 의해 발생하는 산소량이 증가하는 것을 확인할 수 있었다. 이렇게 열분해에 의해 발생하는 산소량이 증가하면, 제 1 가스도입관(313)으로부터 공급되는 디클로로실란의 산화를 촉진시킬 수 있어, 반도체웨이퍼(310)에 형성되는 실리콘산화막의 성막속도를 높일 수 있다. 이 때문에 가열기(315)의 온도를 700℃ 이상으로 설정하는 것이 바람직한 것을 알 수 있다.
특히, 가열기(315)의 온도를 750℃ 이상으로 하면, 열분해에 의해 발생하는 산소량이 대폭 증가한다. 따라서 가열기(315)의 온도는 750℃ 이상인 것이 특히 바람직하다. 단 일산화이질소는 950℃에서 거의 완전히 열분해되기 때문에, 가열기(315)를 950℃보다 높은 온도로 가열하더라도 산소량은 그 이상 증가하지 않는 다. 이 때문에 가열기(315)의 온도는, 750℃∼950℃인 것이 특히 바람직하다.
반응관(302)이 밀폐된 후, 밸브(319)의 개방도를 제어하면서 진공펌프(320)를 구동시켜, 반응관(302) 내부의 가스를 배출하여 감압을 시작한다. 반응관(302) 내부의 가스 배출은, 반응관(302) 내의 압력이, 상압으로부터 소정의 압력, 예를 들어 47Pa(0.35Torr)가 될 때까지 행해진다.
또한, 가열기(315) 내부의 압력을, 예를 들어 0.1kPa∼90kPa(0.75Torr ∼ 677 Torr)로 감압한다. 본 실시형태에서는 85kPa(640Torr)로 감압하고 있다. 일반적으로 감압하에서서는 열분해효율(가열효율)이 나빠지기 쉬우나, 가열기(315) 내부를 반응관(302) 내부의 압력보다 높은 압력으로 하고 있기 때문에, 가열기 (315) 내부에서의 가열효율은 향상되고 있다.
반응관(302) 내부의 압력이 47Pa(0.35Torr)로 유지되면, 제 l 가스도입관 (313)으로부터 소정의 유량, 예컨대 0.15리터/분(150sccm)의 디클로로실란이 내관 (303) 내부로 도입된다.
또한, 제 2 가스도입관(314)으로부터 소정의 유량, 예를 들어 0.3리터/분 (300sccm)의 일산화이질소가 가열기(315)에 공급된다. 가열기(315)에 공급된 일산화이질소는, 가열기(315) 내부에서 가열되어 열분해를 일으켜 산소를 발생하여, 이 산소가 발생한 상태에서, 제 2 가스도입관(314)을 통해 내관(303) 내부로 도입된다.
여기서, 제 2 가스도입관(314)의 가열기(315)의 하류측에는 좁은직경부(316) [오리피스(316b)]가 형성되어 있기 때문에, 가열기(315) 내부를 통과하는 일산화이 질소에 충분한 체류시간이 부여된다. 이 때문에 가열기(315)에 의한 가열효율이 향상하여, 일산화이질소의 열분해가 촉진된다.
내관(303) 내부로 도입된 산소는, 내관(303) 내부로 공급된 디클로로실란을 산화시켜 이산화규소(SiO2)를 생성한다. 또한 내관(303) 내부로 도입된 일산화이질소는 700℃ 이상으로 가열되고 있기 때문에, 내관(303) 내부에서의 가열시에, 일산화이질소의 열분해를 촉진한다. 이 때문에 내관(303) 내부의 산소량이 증가하여, 내관(303) 내부로 공급된 디클로로실란의 산화를 촉진시킬 수 있어, 이산화규소의 생성량을 증가시킬 수 있다.
생성된 이산화규소는, 반도체웨이퍼(310) 상으로 공급되어 퇴적한다. 그리고 디클로로실란 및 일산화이질소를 소정시간, 예를 들어 60분간 공급하면, 반도체웨이퍼(310) 상에 실리콘산화막이 형성된다. 이 때 이산화규소의 생성량을 증가시킬 수 있기 때문에, 반도체웨이퍼(310)에 형성되는 실리콘산화막의 성막속도를 높일 수 있다.
본 발명의 효과를 확인하기 위해서, 가열기(315)의 가열온도를 변화시킨 각 경우에 대하여, 형성되는 실리콘산화막의 성막속도(D/R:Deposition Rate)를 도 18에 나타낸다. 또한 비교를 위해, 일산화이질소를 가열기(315)로 가열하지 않은 경우에 대해서도 마찬가지로 실리콘산화막의 성막속도를 도 18에 나타낸다.
도 18에 나타낸 바와 같이, 일산화이질소를 가열기(315)로 700℃ 이상으로 가열하면 실리콘산화막의 성막속도가 빨라지는 것을 확인할 수 있었다. 이 결과는 도 18에 나타내는 열분해에 의해 발생하는 산소량에 대응하고 있으며, 열분해에 의해 발생하는 산소량의 증가에 의해, 디클로로실란의 산화를 촉진시킬 수 있어, 반도체웨이퍼(310)에 형성되는 실리콘산화막의 성막속도가 빨라지는 것을 확인할 수 있었다.
또한, 가열기(315)로의 가열에 의해 열분해하지 않은 일산화이질소도 예비가열되어 있기 때문에, 내관(303)에서의 가열에 의해 열분해되기 쉽고, 결과적으로 일산화이질소의 열분해를 촉진할 수 있다. 이 때문에 디클로로실란의 산화를 촉진시킬 수 있어, 반도체웨이퍼(310)에 형성되는 실리콘산화막의 성막속도를 높일 수 있다.
또한, 일산화이질소를 가열기(315)로 750℃ 이상으로 가열하면 실리콘산화막의 성막속도가 대폭 빨라져, 일산화이질소를 가열기(315)로 950℃보다 높은 온도로 가열하더라도 실리콘산화막의 성막속도가 그 이상 빨라지지 않는 것도, 도 17에 나타내는 열분해에 의해 발생하는 산소량에 대응하고 있다. 이로부터, 실리콘산화막의 성막속도를 높이기 위해서, 일산화이질소를 가열기(315)로 750℃∼950℃로 가열하는 것이 특히 바람직한 것을 알 수 있다.
또한, 가열기(315) 내부의 압력을 84kPa(630Torr)로 하고 있기 때문에, 가열기(315) 내부에서의 가열효율이 향상되고 있다. 이 때문에 일산화이질소의 열분해가 촉진되어, 실리콘산화막의 성막속도를 높일 수 있다.
또한, 제 2 가스도입관(314)의 가열기(315)의 하류측에 좁은직경부(316) [오리피스(316b)]가 형성되어 있기 때문에, 가열기(315) 내부를 통과하는 일산화이 질소에 충분한 체류시간이 부여되고, 가열기(315)에 의한 가열효율이 향상되고 있다. 이 때문에 일산화이질소의 열분해가 촉진되어, 실리콘산화막의 성막속도를 높일 수 있다.
반도체웨이퍼(310)의 표면에 실리콘산화막이 형성되면, 제 1 가스도입관 (313) 및 제 2 가스도입관(314)으로부터의 처리가스의 공급이 정지된다. 그리고 반응관(302) 내부의 가스가 배기구(317)로부터 배출되어, 반응관(302) 내부가 상압으로 복귀된다. 그리고 보트 엘레베이터(308)에 의해, 웨이퍼보트(309)[반도체웨이퍼(310)]가 반응관(302)으로부터 언로드된다.
이상 설명한 바와 같이, 본 실시형태에 의하면, 일산화이질소를 가열기(315)에 의해 700℃ 이상으로 가열한 후에 내관(303) 내부로 도입하고 있기 때문에, 일산화이질소의 열분해가 촉진되어, 실리콘산화막의 성막속도를 높일 수 있다.
또, 본 발명은 상기 실시형태에 한정되는 것이 아니라, 예컨대 이하의 경우이더라도 좋다.
본 실시형태에서는, 실란계가스로서 디클로로실란을 사용하고 있지만, 본 발명에 사용되는 실란계가스는 디클로로실란에 한정되는 것이 아니라, 예를 들면 모노실란(SiH4), 디실란(Si2H6)이더라도 좋다.
또한, 본 실시형태에서는, 가열기(315) 내부의 압력[85kPa(640Torr)]을 반응관(302) 내부의 압력[47Pa(0.35Torr)]보다 높게 하고 있지만, 본 발명은 이에 한정되는 것이 아니라, 예컨대 가열기(315) 내부의 압력을 반응관(302) 내부의 압력과 거의 같게 하여도 좋다.
본 실시형태에서는, 오리피스(316b)의 지름을 약 0.6mm로 형성하고 있지만, 본 발명은 이에 한정되는 것이 아니라, 가열기(315) 내부를 통과하는 일산화이질소에 충분한 체류시간이 부여되는 크기이면 된다. 또한 본 실시형태에서는, 제 2 가스도입관(314)의 가열기(315)의 하류측에, 좁은직경부(316)[오리피스(316b)]를 형성하고 있지만, 가열기(315) 내부를 통과하는 일산화이질소에 충분한 체류시간을 부여하는 다른 구조를 채용하여도 좋다. 예컨대 가열기(315) 내부를 통과하는 시간이 길어지도록, 가열기(315) 내부의 일산화이질소가 흐르는 유로를 길게 한 구조를 채용하여도 좋다. 이 경우에도, 가열기(315)의 가열효율을 향상시킬 수 있다.
본 실시형태에서는, 실리콘산화막의 형성장치는, 반응관(302)이 내관(303)과 외관(304)으로 구성된 2중관 구조의 뱃치식 종형 열처리타입이었다. 그러나 본 발명은 이에 한정되는 것이 아니라, 피처리체에 산화막을 형성하는 각종 처리장치에 적용하는 것이 가능하다. 또한 피처리체는 반도체웨이퍼에 한정되는 것이 아니라, 예컨대 LCD용의 유리기판 등에도 적용할 수 있다.
이상 설명한 바와 같이, 본 발명에 의하면, 원하는 질소량을 포함한 산질화막을 박막화할 수 있다.
또한, 피처리체에 대하여 소위 드라이산화를 함에 있어서 산화막의 막두께에 대하여 높은 균일성을 얻을 수 있으며, 프로세스온도의 저온화에 기여할 수 있다.
그리고, 본 발명에 의하면, 거의 화학량론비의 조성을 가진 실리콘질화막을 저온으로 형성할 수가 있는 동시에, 그 처리속도를 향상시킬 수 있다.
또한, 본 발명에 의하면, 피처리체에 형성하는 실리콘산화막의 성막속도를 높일 수 있다.

Claims (10)

  1. 실리콘층이 적어도 표면부에 형성된 피처리체가 수용된 반응실을 소정의 온도로 가열하는 반응실가열공정과,
    수소원자 및 염소원자를 포함하는 화합물로 이루어지는 가스와, 산소가스를 포함하는 처리가스에 에너지를 부여하여 수분을 생성시키는 가스전처리공정과,
    상기 가열된 반응실 내부에 수분생성을 위해 에너지가 부여된 처리가스를 공급하고, 상기 피처리체의 실리콘층을 산화하여 실리콘산화막을 형성하는 성막공정을 구비한 것을 특징으로 하는 실리콘산화막 형성방법.
  2. 제 1 항에 있어서, 상기 가스전처리공정에서는, 상기 반응실의 가열온도에서 처리가스로부터 그 이상의 수분이 생성되지 않는 정도로까지, 수분의 생성이 이루어지는 것을 특징으로 하는 실리콘산화막 형성방법.
  3. 제 1 항에 있어서, 상기 가스전처리공정에서는, 상기 처리가스를 가열함으로써, 상기 처리가스에 에너지를 부여하여 수분을 생성시키도록 되어 있는 것을 특징으로 하는 실리콘산화막 형성방법.
  4. 제 3 항에 있어서, 상기 처리가스의 가열온도는, 상기 반응실가열공정에서의 상기 반응실의 가열온도보다 높은 것을 특징으로 하는 실리콘산화막 형성방법.
  5. 제 1 항에 있어서, 수소 및 염소를 포함하는 화합물로 이루어지는 가스는, 염화수소가스인 것을 특징으로 하는 실리콘산화막 형성방법.
  6. 실리콘층이 적어도 표면부에 형성된 피처리체를 수용가능한 반응실과,
    상기 반응실을 소정의 온도로까지 가열가능한 반응실가열부와,
    상기 반응실 내부에, 수소원자 및 염소원자를 포함하는 화합물로 이루어지는 가스와, 산소가스를 포함하는 처리가스를 공급하는 공급수단과,
    상기 공급수단에 설치되고, 상기 처리가스가 상기 반응실 내부로 공급되기 전에 해당 처리가스를 가열하여 수분을 생성가능한 가스가열부를 구비한 것을 특징으로 하는 실리콘산화막 형성장치.
  7. 제 6 항에 있어서, 상기 반응실은, 다수의 피처리체를 선반형상으로 수용가능하도록 되어 있으며,
    상기 반응실가열부는, 상기 반응실을 둘러싸는 히터에 의해 구성되어 있는 것을 특징으로 하는 실리콘산화막 형성장치.
  8. 제 6 항에 있어서, 상기 가스가열부는,
    통기저항체가 설치된 가열실과,
    상기 가열실을 둘러싸도록 설치된 히터부를 가지며,
    상기 히터부는, 저항발열체를 세라믹스속에 봉입하여 구성되어 있는 것을 특징으로 하는 실리콘산화막 형성장치.
  9. 제 8 항에 있어서, 상기 저항발열체는, 고순도의 탄소소재로 이루어지는 것을 특징으로 하는 실리콘산화막 형성장치.
  10. 제 8 항에 있어서, 상기 세라믹스는, 석영인 것을 특징으로 하는 실리콘산화막 형성장치.
KR1020060104499A 2000-05-29 2006-10-26 실리콘산화막을 형성하는 방법 및 장치 KR100720777B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JPJP-P-2000-00157879 2000-05-29
JP2000157879A JP2001338923A (ja) 2000-05-29 2000-05-29 酸窒化膜形成方法及び酸窒化膜形成装置
JP2000162950A JP3794243B2 (ja) 2000-05-31 2000-05-31 酸化処理方法及びその装置
JPJP-P-2000-00162950 2000-05-31
JP2000189434A JP2002009072A (ja) 2000-06-23 2000-06-23 シリコン窒化膜の形成方法及び形成装置
JPJP-P-2000-00189434 2000-06-23
JP2000223235A JP3552037B2 (ja) 2000-07-25 2000-07-25 シリコン酸化膜の形成方法及び形成装置
JPJP-P-2000-00223235 2000-07-25

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020010029396A Division KR100809759B1 (ko) 2000-05-29 2001-05-28 산질화막을 형성하는 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20060113878A KR20060113878A (ko) 2006-11-03
KR100720777B1 true KR100720777B1 (ko) 2007-05-23

Family

ID=27481322

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020010029396A KR100809759B1 (ko) 2000-05-29 2001-05-28 산질화막을 형성하는 방법 및 장치
KR1020060104509A KR100687948B1 (ko) 2000-05-29 2006-10-26 실리콘산화막을 형성하는 방법 및 장치
KR1020060104505A KR100720778B1 (ko) 2000-05-29 2006-10-26 실리콘질화막을 형성하는 방법 및 장치
KR1020060104499A KR100720777B1 (ko) 2000-05-29 2006-10-26 실리콘산화막을 형성하는 방법 및 장치

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020010029396A KR100809759B1 (ko) 2000-05-29 2001-05-28 산질화막을 형성하는 방법 및 장치
KR1020060104509A KR100687948B1 (ko) 2000-05-29 2006-10-26 실리콘산화막을 형성하는 방법 및 장치
KR1020060104505A KR100720778B1 (ko) 2000-05-29 2006-10-26 실리콘질화막을 형성하는 방법 및 장치

Country Status (4)

Country Link
US (3) US6884295B2 (ko)
EP (1) EP1160847A3 (ko)
KR (4) KR100809759B1 (ko)
TW (1) TW578214B (ko)

Families Citing this family (332)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW578214B (en) 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
KR100783841B1 (ko) * 2000-05-31 2007-12-10 동경 엘렉트론 주식회사 열처리 시스템
KR100458140B1 (ko) * 2001-06-18 2004-11-20 주성엔지니어링(주) 반도체 소자의 박막증착장치 및 그 방법
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6849562B2 (en) * 2002-03-04 2005-02-01 Applied Materials, Inc. Method of depositing a low k dielectric barrier film for copper damascene application
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP2004014543A (ja) * 2002-06-03 2004-01-15 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
CN1577796A (zh) * 2003-07-10 2005-02-09 精工爱普生株式会社 电子器件的制造方法和半导体器件的制造方法
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
TWI389204B (zh) * 2003-09-19 2013-03-11 Hitachi Int Electric Inc 半導體裝置之製造方法、基板處理裝置及基板處理方法
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
US7282158B2 (en) * 2004-03-26 2007-10-16 Aviza Technology Limited Method of processing a workpiece
DE102004019635B4 (de) * 2004-04-22 2007-12-27 Rehm Anlagenbau Gmbh Dampfbetriebene Lötanlage und Dampferzeugungssystem für eine Lötanlage
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
JP4426518B2 (ja) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
US7531464B2 (en) * 2005-12-20 2009-05-12 Texas Instruments Incorporated Semiconductive device fabricated using a substantially disassociated chlorohydrocarbon
EP2038456B1 (en) * 2006-06-09 2014-03-05 Soitec System and process for high volume deposition of gallium nitride
JP5211464B2 (ja) * 2006-10-20 2013-06-12 東京エレクトロン株式会社 被処理体の酸化装置
US9481943B2 (en) * 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
US8951632B2 (en) 2007-01-03 2015-02-10 Applied Nanostructured Solutions, Llc CNT-infused carbon fiber materials and process therefor
US8951631B2 (en) 2007-01-03 2015-02-10 Applied Nanostructured Solutions, Llc CNT-infused metal fiber materials and process therefor
US9005755B2 (en) 2007-01-03 2015-04-14 Applied Nanostructured Solutions, Llc CNS-infused carbon nanomaterials and process therefor
US20100022070A1 (en) * 2008-07-22 2010-01-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
BRPI1008131A2 (pt) * 2009-02-27 2016-03-08 Applied Nanostructured Sols "crescimento de nanotubo de carbono de baixa temperatura usando método de preaquecimento de gás".
US20100224129A1 (en) 2009-03-03 2010-09-09 Lockheed Martin Corporation System and method for surface treatment and barrier coating of fibers for in situ cnt growth
KR101308523B1 (ko) * 2009-03-03 2013-09-17 소이텍 Cvd 시스템을 위한 가스 인젝터들
JP5501807B2 (ja) * 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102470546B (zh) 2009-08-03 2014-08-13 应用纳米结构方案公司 纳米颗粒在复合材料纤维中的结合
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US7994070B1 (en) * 2010-09-30 2011-08-09 Tokyo Electron Limited Low-temperature dielectric film formation by chemical vapor deposition
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
JP5686487B2 (ja) 2011-06-03 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5753450B2 (ja) * 2011-06-30 2015-07-22 東京エレクトロン株式会社 成膜装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103377906A (zh) * 2012-04-17 2013-10-30 上海华虹Nec电子有限公司 氮氧化硅薄膜的制造方法
JP2014022594A (ja) * 2012-07-19 2014-02-03 Tokyo Electron Ltd 膜割れ検出装置及び成膜装置
JP5792390B2 (ja) * 2012-07-30 2015-10-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160348274A1 (en) * 2015-05-28 2016-12-01 Sumitomo Electric Industries, Ltd. Method and apparatus for manufacturing silicon carbide substrate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10337101B2 (en) * 2016-12-13 2019-07-02 The Boeing Company System and process for chemical vapor deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) * 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
CN110579105B (zh) * 2018-06-08 2021-06-08 北京北方华创微电子装备有限公司 氧化炉
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102018318B1 (ko) * 2018-09-11 2019-09-04 주식회사 유진테크 박막 형성 방법
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6887688B2 (ja) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 蒸発原料用容器、及びその蒸発原料用容器を用いた固体気化供給システム
JP6901153B2 (ja) 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0798769A2 (en) * 1996-03-25 1997-10-01 Hewlett-Packard Company Dielectric layers for semiconductors

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2315268C3 (de) * 1973-03-27 1978-08-17 Hermann J. Prof. 8000 Muenchen Schladitz Elektrische Heizvorrichtung
JPS5922380B2 (ja) * 1975-12-03 1984-05-26 株式会社東芝 ハンドウタイソシノ セイゾウホウホウ
JPS5922381B2 (ja) * 1975-12-03 1984-05-26 株式会社東芝 ハンドウタイソシノ セイゾウホウホウ
US4231809A (en) * 1979-05-25 1980-11-04 Bell Telephone Laboratories, Incorporated Method of removing impurity metals from semiconductor devices
US4349408A (en) * 1981-03-26 1982-09-14 Rca Corporation Method of depositing a refractory metal on a semiconductor substrate
DE3122382A1 (de) * 1981-06-05 1982-12-23 Ibm Deutschland Verfahren zum herstellen einer gateisolations-schichtstruktur und die verwendung einer solchen struktur
US4420497A (en) * 1981-08-24 1983-12-13 Fairchild Camera And Instrument Corporation Method of detecting and repairing latent defects in a semiconductor dielectric layer
DE3206376A1 (de) * 1982-02-22 1983-09-01 Siemens AG, 1000 Berlin und 8000 München Verfahren zur herstellung von siliziumoxidschichten
US4544416A (en) * 1983-08-26 1985-10-01 Texas Instruments Incorporated Passivation of silicon oxide during photoresist burnoff
US4693925A (en) * 1984-03-01 1987-09-15 Advanced Micro Devices, Inc. Integrated circuit structure having intermediate metal silicide layer
JPS6289364A (ja) 1985-10-16 1987-04-23 Seiko Instr & Electronics Ltd 不揮発性半導体記憶装置
JP2518617B2 (ja) 1986-07-10 1996-07-24 セイコー電子工業株式会社 不揮発性メモリおよびその製造方法
US4784975A (en) * 1986-10-23 1988-11-15 International Business Machines Corporation Post-oxidation anneal of silicon dioxide
US5234501A (en) * 1987-09-01 1993-08-10 Tokyo Electron Sagami Limited Oxidation metod
JPS6469056A (en) 1987-09-10 1989-03-15 Seiko Instr & Electronics Manufacture of mos semiconductor device
US4826709A (en) * 1988-02-29 1989-05-02 American Telephone And Telegraph Company At&T Bell Laboratories Devices involving silicon glasses
US5443998A (en) * 1989-08-01 1995-08-22 Cypress Semiconductor Corp. Method of forming a chlorinated silicon nitride barrier layer
DE3933423C2 (de) * 1989-10-06 1994-12-22 Nokia Deutschland Gmbh Vorrichtung zur Wärmebehandlung, insbesondere für LCD-Substratplatten
JPH0427136A (ja) * 1990-04-11 1992-01-30 Mitsubishi Electric Corp 有機金属ガス利用薄膜形成装置
US5225378A (en) * 1990-11-16 1993-07-06 Tokyo Electron Limited Method of forming a phosphorus doped silicon film
JP2761685B2 (ja) * 1991-10-17 1998-06-04 三菱電機株式会社 半導体装置の製造方法
JPH05326112A (ja) * 1992-05-21 1993-12-10 Shin Etsu Chem Co Ltd 複層セラミックスヒーター
JPH05335250A (ja) * 1992-05-29 1993-12-17 Hitachi Ltd Cvd装置
JPH0710935U (ja) * 1993-07-24 1995-02-14 ヤマハ株式会社 縦型熱処理炉
JPH0786271A (ja) * 1993-09-17 1995-03-31 Fujitsu Ltd シリコン酸化膜の作製方法
US5777300A (en) * 1993-11-19 1998-07-07 Tokyo Electron Kabushiki Kaisha Processing furnace for oxidizing objects
KR100187674B1 (ko) * 1994-07-07 1999-06-01 김주용 반도체 소자 제조용 반응로 및 그를 이용한 게이트 산화막 형성방법
JPH0883786A (ja) * 1994-09-12 1996-03-26 Fujitsu Ltd 半導体装置の製造方法
JPH08167605A (ja) * 1994-12-15 1996-06-25 Mitsubishi Electric Corp シリコン窒化膜の製造方法
JP3406104B2 (ja) 1994-12-28 2003-05-12 東京エレクトロン株式会社 熱処理装置
JP3242281B2 (ja) * 1995-03-13 2001-12-25 東京エレクトロン株式会社 熱処理装置
US5669768A (en) * 1996-03-15 1997-09-23 United Microelectronics Corp. Apparatus for adjusting a gas injector of furnace
JPH1060649A (ja) 1996-08-22 1998-03-03 Showa Denko Kk シリカ系被膜の形成方法
US6797323B1 (en) * 1996-11-29 2004-09-28 Sony Corporation Method of forming silicon oxide layer
US6037273A (en) * 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
JP2003522826A (ja) * 1997-12-02 2003-07-29 ゲレスト インコーポレーテツド ヨードシラン前駆体から形成したけい素ベースフィルムおよびその製作方法
JPH11186248A (ja) 1997-12-22 1999-07-09 Sony Corp シリコン酸化膜の形成方法及びシリコン酸化膜形成装置
JPH11204511A (ja) 1998-01-08 1999-07-30 Kokusai Electric Co Ltd シリコン熱酸化膜の形成装置
JPH11354516A (ja) * 1998-06-08 1999-12-24 Sony Corp シリコン酸化膜形成装置及びシリコン酸化膜形成方法
US6271153B1 (en) * 1998-07-22 2001-08-07 Micron Technology, Inc. Semiconductor processing method and trench isolation method
JP2000058532A (ja) 1998-08-07 2000-02-25 Sony Corp 半導体処理装置、そのクリーニング方法および半導体処理方法
JP3156925B2 (ja) 1999-01-11 2001-04-16 日本電気株式会社 コールドウォール型枚葉式ランプ加熱炉
US6335295B1 (en) * 1999-01-15 2002-01-01 Lsi Logic Corporation Flame-free wet oxidation
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6281141B1 (en) * 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6221791B1 (en) * 1999-06-02 2001-04-24 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for oxidizing silicon substrates
US6144802A (en) * 1999-06-29 2000-11-07 Hyundai Electronics Industries Co., Ltd. Fluid heater for semiconductor device
JP2001023978A (ja) * 1999-07-05 2001-01-26 Mitsubishi Electric Corp 半導体装置の製造装置および製造方法
US6440382B1 (en) * 1999-08-31 2002-08-27 Micron Technology, Inc. Method for producing water for use in manufacturing semiconductors
JP3479020B2 (ja) * 2000-01-28 2003-12-15 東京エレクトロン株式会社 熱処理装置
JP3587249B2 (ja) * 2000-03-30 2004-11-10 東芝セラミックス株式会社 流体加熱装置
KR100560867B1 (ko) * 2000-05-02 2006-03-13 동경 엘렉트론 주식회사 산화방법 및 산화시스템
TW578214B (en) * 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
KR100783841B1 (ko) * 2000-05-31 2007-12-10 동경 엘렉트론 주식회사 열처리 시스템
JP3516635B2 (ja) * 2000-05-31 2004-04-05 東京エレクトロン株式会社 熱処理装置
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
JP3421660B2 (ja) * 2001-05-09 2003-06-30 東京エレクトロン株式会社 熱処理装置及びその方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0798769A2 (en) * 1996-03-25 1997-10-01 Hewlett-Packard Company Dielectric layers for semiconductors

Also Published As

Publication number Publication date
US6884295B2 (en) 2005-04-26
US20010046792A1 (en) 2001-11-29
TW578214B (en) 2004-03-01
EP1160847A3 (en) 2004-12-22
KR20060113878A (ko) 2006-11-03
KR20060113880A (ko) 2006-11-03
US20040194707A1 (en) 2004-10-07
KR20060113879A (ko) 2006-11-03
KR100687948B1 (ko) 2007-02-27
KR100720778B1 (ko) 2007-05-23
US7211295B2 (en) 2007-05-01
KR20010107782A (ko) 2001-12-07
EP1160847A2 (en) 2001-12-05
KR100809759B1 (ko) 2008-03-04
US20040209482A1 (en) 2004-10-21

Similar Documents

Publication Publication Date Title
KR100720777B1 (ko) 실리콘산화막을 형성하는 방법 및 장치
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers
US9384972B2 (en) Method of manufacturing semiconductor device by forming a film on a substrate
KR101983437B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US9039838B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US20060199357A1 (en) High stress nitride film and method for formation thereof
CN109671611B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
JP4613587B2 (ja) 酸化膜形成方法とその装置
US20190080913A1 (en) Method and Apparatus for Forming Silicon Oxide Film, and Storage Medium
JP7371281B2 (ja) 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
KR102309339B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US20220301851A1 (en) Method of manufacturing semiconductor device, substrate processing method, recording medium, and substrate processing apparatus
KR20200105797A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
WO2019180805A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130502

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140418

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150416

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160418

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170421

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180502

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190429

Year of fee payment: 13