TWI389204B - 半導體裝置之製造方法、基板處理裝置及基板處理方法 - Google Patents

半導體裝置之製造方法、基板處理裝置及基板處理方法 Download PDF

Info

Publication number
TWI389204B
TWI389204B TW100123298A TW100123298A TWI389204B TW I389204 B TWI389204 B TW I389204B TW 100123298 A TW100123298 A TW 100123298A TW 100123298 A TW100123298 A TW 100123298A TW I389204 B TWI389204 B TW I389204B
Authority
TW
Taiwan
Prior art keywords
reaction furnace
reactor
substrate
gas
air
Prior art date
Application number
TW100123298A
Other languages
English (en)
Other versions
TW201145390A (en
Inventor
Kenichi Suzaki
Jie Wang
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201145390A publication Critical patent/TW201145390A/zh
Application granted granted Critical
Publication of TWI389204B publication Critical patent/TWI389204B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Description

半導體裝置之製造方法、基板處理裝置及基板處理方法
本發明係關於一種半導體裝置之製造方法及基板處理裝置,尤其係關於利用CVD(化學氣相沉積)處理的半導體的裝置之製造方法及基板處理裝置,其係在製造過程中可使產生的微粒被消除為目的之半導體裝置之製造方法及基板處理裝置。
在半導體裝置之製造工程之中,在晶圓等之被處理體上係以化學氣相沉積法(CVD)進行成膜處理。
該成膜處理係以如下的方式進行。即,將預定片數之晶圓被裝填到晶圓舟(boat)中。被裝填到晶圓舟中的晶圓,被裝入(承載)到反應爐內。反應爐內部被真空排氣,將反應氣體導入反應爐內,因而在晶圓上進行成膜處理。
成膜處理完成之後,使反應爐內復歸到大氣壓,並將晶圓舟卸載。從爐內將晶圓舟完全拉出的狀態下將晶圓舟冷卻。與此同時,將反應爐內的溫度降低,而進行氣體沖洗(減壓氮氣沖洗(purge))。因而使附著於反應爐內壁的堆積膜的應力增大,因而堆積膜產生龜裂,龜裂發生時產生的微粒以氣體沖洗而排出(參照日本國公開公報-特開2000-306904號)。
在此情況,從反應爐內將處理完成的基板卸載的狀態而使爐內溫度降低之時,例如以自然空冷之降溫速率(≒3℃/min)將爐內溫度從成膜溫度以數十分鐘、例如50分鐘左右而降低到150℃左右。然而,在3℃/min左右之降溫速率之時,在堆積膜上產生強制的龜裂(堆積膜與石英反應管之間的熱膨脹率不同所引起的熱應力,超越容許界限值(堆積膜的機械的破壞強度)之時產生的膜龜裂),因而使微粒之排出効果很低,尤其在Φ300mm晶圓之處理之中,累積膜厚超過1.2μm之處產生多數的微粒,尤其已判明在Φ300mm晶圓之處理之中微粒減低効果極低。並且,自然空冷之溫度下降(≒3℃/min)之中,需要50分鐘左右的時間,因此有基板處理裝置(半導體製造裝置)之運轉率降低,生產性惡化之問題。
本發明之主要目的在提供一種半導體裝置之製造方法及基板處理裝置,其微粒減低効果優異,並且可使生產性提高。
依照本發明之一個實施形態,提供一種半導體裝置之製造方法,其特徵為:其具有:將基板載置到反應爐內之工程、在上述反應爐內將上述基板進行成膜的工程、將成膜後的上述基板從上述反應爐內卸載之工程、及將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,將上述反應爐內強制冷卻之工程。
依照本發明之另一個實施形態,提供一種半導體裝置之製造方法,其特徵為:其具有:將基板載置到反應爐內之工程、在上述反應爐內將上述基板進行成膜的工程、將成膜後的上述基板從上述反應爐內卸載之工程、及將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,將爐內溫度降低到比成膜溫度更低之溫度,同時將上述爐內在大氣壓狀態下,進行氣體沖洗之工程。
依照本發明之更另一個實施形態,提供一種半導體裝置之製造方法,其特徵為:其具有:將基板載置到反應爐內之工程、在上述反應爐內將上述基板進行成膜的工程、將成膜後的上述基板從上述反應爐內卸載之工程、及將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,將爐內溫度降低到比成膜溫度更低之溫度,同時將氣體供給到上述爐內,使用與上述成膜工程中所用之排氣管線不同的排氣管線進行排氣的工程。
依照本發明之更另一個實施形態,提供一種半導體裝置之製造方法,其特徵為:其具有:將基板載置到反應爐內之工程、在上述反應爐內將上述基板進行成膜的工程、將成膜後的上述基板從上述反應爐內卸載之工程、及將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,將爐內溫度上昇到比一次成膜溫度更高之溫度為止,其後將爐內溫度降低到比上述成膜溫度更低的溫度為止之工程。
依照本發明之更另一個實施形態,提供一種基板處理裝置,其特徵為:其具有:對基板進行成膜之反應爐、將成膜氣體供給到上述反應爐內之成膜氣體供給管線、將沖洗氣體供給到上述反應爐內之沖洗氣體供給管線、將上述反應爐內進行排氣之排氣管線、將上述基板對上述反應爐內載置/卸載之輸送手段、將上述反應爐內強制冷卻之強制冷卻手段、從上述反應爐將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,將上述反應爐內強制冷卻的方式,而控制強制冷卻手段的控制手段。
本發明之較佳實施形態,係從反應爐內將基板取出的狀態下,以具備急冷機構的加熱器,將反應爐內以10℃/min以上、較佳為20℃/min以上之降溫速率而進行急速冷卻,因而在半導體製造過程中,在反應爐內形成的堆積膜上強制地產生龜裂,龜裂發生時產生的微粒利用大氣壓氣體沖洗而強制地排出,而使微粒對晶圓之附著減低,因而使反應爐之洗淨頻度減少,並且生產性提高。
以下,將參照附圖而說明本發明之較佳實施形態。首先,參照第1圖、第2圖而說明本發明之較佳實施形態之做為進行CVD成膜處理基板處理裝置的半導體製造裝置。第1圖、第2圖所示之半導體製造裝置係熱壁式之批處理式之縱型半導體製造裝置。
第1圖係顯示,將承載有晶圓10之晶圓舟9載置到反應爐1內,並將爐口凸緣2之下側開口部以爐口密封蓋12封閉的狀態,第2圖係顯示,將承載有晶圓10之晶圓舟9,從反應爐1卸載到移載室11中,並將爐口凸緣2之下側開口部以爐口閘閥13封閉的狀態。
反應爐1係由金屬製之爐口凸緣2、在爐口凸緣2上氣密地立設之石英外管3、在石英外管3內同心地立設之石英內管4、在石英外管3之外側將石英外管3圍繞的方式而設置之加熱器5等所構成,而做為熱壁式之反應爐。
設置有將石英外管3及加熱器5覆蓋的強制冷卻機構40。強制冷卻機構40具備有:將石英外管3及加熱器5覆蓋而設置的隔熱蓋41、連通到隔熱蓋41之內部空間而設置之供給管線42、介由隔熱蓋41之天花板部的排氣孔44而連通到隔熱蓋41之內部空間而設置之排氣管線43。在供給管線42中設置有導入鼓風機45及開閉器46。在排氣管線43設置有開閉器47及散熱器48及排氣鼓風機49。
導入反應氣體的氣體導入管線6,7被連通到反應爐1的內部,同時排氣管線30亦連通。氣體導入管線6,7連接到比石英內管4的下端更下方的部分。排氣管線30亦連接到比爐口凸緣2之石英外管3下端更下方、比石英內管4下端更上方的部分。排氣管線30具有:連通到真空泵等之排氣裝置8的主排氣管線31、從主排氣管線31分歧而設置之高流動通氣(HFV:High Flow Vent)管線32、從主排氣管線31分歧而設置之慢排氣管線(未圖示)、從主排氣管線31分歧而設置之過加壓防止管線33、及氮氣導入管線34。在比主排氣管線31之高流動通氣管線32的分歧點更下游側上,設置有做為主閥之APC閥。慢排氣管線係旁通於該APC閥的方式而設置。
高流動通氣管線32係連通到建屋附帶設備之排氣設備中。高流動通氣管線32係設定成排氣流量比主排氣管線31、慢排氣管線(未圖示)、過加壓防止管線33更大,在大氣壓下可使大流量氣體流動。高流動通氣管線32之內徑係比主排氣管線31之內徑更小,而比慢排氣管線(未圖示)、過加壓防止管線33之內徑更大。高流動通氣管線32具有閥35,該閥35可與APC閥進行切換,而可將排氣通路在主排氣管線31及高流動通氣管線32之間切換。
過加壓防止管線33具備有閥36及逆止閥37,主排氣管線31內、即反應爐1內為大氣壓以上之時,逆止閥37打開,而介由逆止閥37將主排氣管線31內的氣體排氣,因此可防止主排氣管線31內、即反應爐1內成為大氣壓以上之過加壓。
反應爐1下方之基板移載室11中設置有做為晶圓舟輸送(昇降)手段之晶圓舟昇降機15,其可使晶圓舟9昇降,而使晶圓舟9在反應爐1內進行載置‧卸載。為被處理基板之晶圓10在晶圓舟9上以水平姿勢且互相之間有間隙而多段地被裝填。晶圓舟9例如可為石英製者。
如第1圖所示,將晶圓舟9載置於反應爐1內,將爐口凸緣2之下側開口部以爐口密封蓋12關閉的狀態之時,爐口閘閥13退避到退避位置14中。如第2圖所示,將晶圓舟9從反應爐1卸載到移載室11之時,爐口凸緣2之下側開口部被爐口閘閥13關閉。
利用控制裝置20,而控制:由加熱器5之加熱、由強制冷卻機構40之冷卻、由氣體導入管線6,7之氣體導入、由閥之切換而進行排氣管線之選擇、由排氣管線進行排氣等。
以下,將參照第1圖至第3圖而說明,使用上述裝置而利用半導體裝置之製造工程之一個工程的CVD法在半導體矽晶圓上實施成膜處理的方法。而,在以下之說明中,構成本裝置之各部的動作係以控制裝置20進行控制。
在如上述反應爐1之下方,存在有基板移載室11,在晶圓舟9下降到基板移載室11內之狀態時,利用未圖示之基板移載機將預定片數之晶圓10裝填(Wafer Charge)到晶圓舟9中。在此狀態下,反應爐1內之氣體係被保持在大氣壓,將非活性氣體、例如氮氣導入反應爐1內,係與晶圓10對晶圓舟9之裝填並行。而,此時反應爐1內之溫度被設定為600℃。
其次,利用晶圓舟昇降機15使晶圓舟9上昇,晶圓舟9被載置到被設定為600℃溫度的反應爐1內(Boat Load)。將晶圓舟9載置到反應爐1內之後,利用排氣裝置8介由慢排氣管線而緩和地將反應爐1之內部進行真空排氣(Slow Pump)。反應爐1內之壓力降低到預定壓力之時,將APC閥打開,利用排氣裝置8介由主排氣管線31將反應爐1之內部進行真空排氣而到達預定之壓力。將反應爐1內之溫度從600℃昇溫(Ramp Up)到730℃~800℃,例如760℃之成膜溫度,晶圓溫度到達成膜溫度而穩定化之時(Pre Heat),反應氣體經由氣體導入管線6,7導入反應爐1內,而在晶圓10上進行成膜處理(Depo)。例如,在晶圓10上形成Si3 N4 膜(氮化矽膜,以下稱為SiN)之情況,係使用DCS(二氯化矽烷(SiH2 Cl2 ))、NH3 等之氣體。在此情況,反應爐1內係保持於730℃~800℃之成膜溫度。
成膜處理完成之後,將非活性氣體(例如氮氣)逐漸導入反應爐1內而排氣,而將反應爐1內進行沖洗,因而將殘留氣體除去(Purge)。其後,將主閥關閉,而維持非活性氣體導入之時,可使反應爐1內復歸到大氣壓(Back Fill)。其後,利用晶圓舟昇降機將由晶圓舟9所支持的成膜後之晶圓10從反應爐1內降下,而卸載到基板移載室11內(Boat Down)。
而,在晶圓舟9之卸載之前,雖然爐內溫度從760℃降溫到700℃,但是這乃是晶圓舟卸載速度提高之故。即,在晶圓舟卸載時,將反應爐1內溫度做成比成膜溫度(760℃)更低的溫度(700℃)之時,可使晶圓舟卸載時晶圓面內之溫度差變小,因此晶圓之撓曲量亦變小。如此狀態的話,對晶圓毫無壞的影響,某種程度可使晶圓舟卸載加快進行。並且,為了緩和晶圓舟卸載時對周邊構件之熱影響,溫度亦降低若干。
卸載後,將反應爐之開口(晶圓舟出入口)、即將爐口凸緣2之開口以爐口閘閥13氣密地閉塞(參照第2圖)。其後,在基板移載室11內,將成膜處理後之晶圓10冷卻(Wafer Cool)。基板移載室11內之晶圓10冷卻完成之時,利用未圖示的基板移載機將晶圓10從晶圓舟9取出(W/F Discharge)。
使用非活性氣體將氣密閉塞的反應爐1內在大氣壓狀態下進行的氣體沖洗,係和該晶圓10之冷卻(Wafer Cool)、取出(W/F Discharge)並行。例如進行氮氣沖洗。進行沖洗之時,由氣體導入管線6,7將20L/min以上之大流量的氮氣連續地供給到反應爐1內。介由從主排氣管線31分歧而設置的高流動通氣管線32,而進行排氣較佳。此情況時,將閥35打開,而主閥則關閉。
和該大氣壓狀態下之爐內沖洗之同時。以強制冷卻機構40將反應爐1內之溫度在比自然空冷時之降溫速率(≒3℃/min)更大的降溫速率下而降低,而使爐內溫度急遽地變動。因而,附著於反應爐1內的堆積膜之應力比自然空冷時更增大,而積極地產生熱應力,故在堆積膜上產生自然空冷時以上之強制的龜裂。由龜裂之產生而飛散的微粒介由大氣壓狀態下之爐內沖洗,而強制、且有効率地排到反應爐外。以強制冷卻機構40將爐內溫度降低之時,開閉器46,47被打開,由排氣鼓風機49將隔熱蓋41內之高溫的環境氣體進行排氣,同時利用導入鼓風機45將空氣或氮氣等之冷卻媒體導入隔熱蓋41內。
降溫速率至少做成在10℃/min以上、較佳為20℃/min以上較佳。爐內溫度降低方面,反應爐1內之溫度被設定為降低到至少成膜溫度之1/2(50%)左右以下之溫度。即,將溫度降低幅度(量)做成至少成膜溫度之1/2(50%)左右以上。例如,成膜溫度為730℃~800℃左右之情形,將反應爐1內之溫度設定為從800℃降低到400℃。
而,在反應爐1內之溫度降低之前,亦可將反應爐1內之溫度上昇到比一次成膜溫度更高之溫度,其後降低到比成膜溫度更低之溫度。第3圖之情況,在晶圓舟卸載之後,將反應爐1內之溫度以40℃/min之昇溫速率上昇到比一次晶圓舟卸載之時的爐內溫度(700℃)更高,並且比成膜溫度(760℃)更高的溫度之800℃,其後以20℃/min之降溫速率降低到比成膜溫度更低的400℃之溫度為止。因而,在爐內溫度降低之前,做成一次上昇之時,降溫終點溫度不會降得很低,可將下降溫度幅度(溫度差)做成大之故,因此可使溫度降低後之昇溫時間被縮短。
因而,爐內溫度降低之前的上昇,係為了使降溫終點溫度不會降得很低,而將溫度差(下降溫度幅度)做成大而進行者。雖然亦可省略,在此情況,溫度差(下降溫度幅度)變小,微粒減少効果亦減低。為了不使微粒減少効果減低而將溫度差(下降溫度幅度)做成大之故,必須將降溫終點溫度做成更低,如此之時,降溫後之昇溫時間會變長,使產出惡化。
而,爐內溫度下降前之上昇時,爐內溫度急遽地變動之故,推測附著於爐內之堆積膜上會產生某種程度的龜裂。但是,依照理論計算時,爐內溫度下降時在石英(爐壁)與堆積膜之間的應力差變大,因而應產生更多的龜裂。
而,不進行強制冷卻(急速冷卻),而使爐內溫度從800℃慢慢地下降到400℃而進行沖洗試驗的結果,附著於爐內之堆積膜上不太產生龜裂,効果不充分。即,已了解到,僅將溫度差(下降溫度幅度)做成大時,無法獲得充分的効果。為了獲得充分的効果起見,必須將(1)溫度差(下降溫度幅度),及(2)溫度下降速度之兩方做成大。
與爐內之強制冷卻同時進行之使用反應爐1內的非活性氣體之氣體沖洗,與在減壓狀態下進行者比較,大氣壓狀態進行之情況,具有微粒除去効果大之優點。此乃,與減壓狀態比較,可說係大氣壓狀態時運送異物的分子、原子較多,運送異物的能量較大之原因。
並且,以渦輪分子泵等之真空泵在減壓下將氮氣分子排出之時,氮氣分子在氣體流中係以粗大形式存在,氮氣分子之平均自由行程很大,因此即使氮氣分子之流動很快時,欲將微粒做為分子流而排出係很困難。由於熱而做布朗運動的微粒不會碰撞氮氣分子,這是因為重力落下的或然率高之故。
相對於此,在大氣壓排氣之時,氣體流速例如為10cm/分左右之慢速之時,氮氣分子在氣體流中係以緻密形式存在,而與微粒衝突之故,因此微粒容易排出。剛好氮氣分子之流動從導入側向排氣側吹,故微粒與該流動一起向爐外吹而容易飛散。
實際上,將爐內的氣體沖洗在減壓狀態、大氣壓狀態進行比較試驗之結果顯示,微粒之除去効果在大氣壓下進行之情況,比在減壓下進行之情形遠大得多。
並且,在減壓沖洗之情況,在沖洗後將爐內回復到大氣壓的工程所需要的時間係一種損失,在大氣壓沖洗之情況,則不需要該工程,因而有達成時間之短縮的優點。
並且,在減壓沖洗之情況,附著在排氣系統或其周邊的副生成物會有昇華而產生逆流之情形,而在大氣壓沖洗之情況,亦不會產生該問題。
而,僅將爐內強制冷卻而不沖洗之情況時,產生的微粒會落下到爐口閘閥13上。落下到爐口閘閥13上的微粒,在進行下一個成膜之時,會原樣地被保持於爐口閘閥13上而退避到退避位置14。即,進行下一個成膜之時,可在爐內做成不存在微粒之狀態,因而對下一個處理不會有影響。而,在爐口閘閥13的上面設置有溝(凹部)。該溝可收容落下的粒子,因此將爐口閘閥13朝向退避位置14移動之時,可防止粒子之落下。在退避位置14上設置有粒子除去機構(吸引手段等),亦可在將爐口閘閥13退避之時,將爐口閘閥上之微粒除去。
如以上所述,從反應爐1將晶圓10卸載,在將反應爐1氣密地閉塞之狀態下,將反應爐1內的溫度以10℃/min以上、較佳為20℃/min以上的降溫速率而連續地降低到成膜溫度的1/2左右以上,在反應爐1內以大氣壓狀態進行非活性氣體沖洗之一連串的動作,利用控制手段20而進行對加熱器5、強制冷卻機構40、氣體供給系統、排氣系統等之控制。因而將進行的爐內沖洗稱為低溫沖洗或LTP(Low Temperature Purge)。
在LTP中,爐內溫度下降前之昇溫時較佳的昇溫速率為3℃/min以上,更佳為10~100℃/min,又更佳為30~100℃/min。並且,爐內溫度下降時之較佳的降溫速率為3℃/min以上,更佳為10~100℃/min,又更佳為20~100℃/min。
於基板移載室11內的晶圓10從晶圓舟9取出之作業完成之時,下一批的晶圓10,以預定片數而由基板移載機裝填到晶圓舟9中(Wafer Charge)。與此並行地,將爐內溫度昇溫到待機溫度,例如600℃。將晶圓10裝填到晶圓舟9中之時,利用晶圓舟昇降機15使晶圓舟9上昇,使晶圓舟9載置於反應爐1內(Boat Load),而繼續次批量之處理。
在LTP後,在晶圓舟載置之前,將爐內溫度從400℃昇溫到600℃,係為了將次一成膜中晶圓舟載置後之爐內昇溫時間縮短,使總體之成膜時間縮短之故。假如,在LTP之後,將爐內溫度保持在LTP之下降終點溫度之400℃之情況時,次一個成膜係在400℃進行晶圓舟載置,其後必須將爐內溫度從400℃昇溫到760℃而提昇了360℃,使昇溫時間變長。在LTP後預先將爐內溫度昇溫而保持於600℃的話,次一個成膜係在600℃進行晶圓舟載置,其後必須將爐內溫度從600℃昇溫到760℃而提昇160℃即可,因此可使昇溫時間縮短。而,晶圓舟或置時之爐內溫度過高之時,晶圓有跳動的問題,考慮此點而將爐內溫度保持於600℃。
在上述晶圓處理中,晶圓舟卸載後在反應爐1氣密地閉塞之狀態下(反應爐1內沒有晶圓10之狀態),使反應爐1內於大氣壓氮氣沖洗的狀態下,進行大氣壓排氣。與此並行地,將爐內溫度以強制冷卻機構40在20℃/min以上之降溫速率下,從800℃降低到400℃。進行該溫度下降處理之時,附著於反應爐1內面之反應副生成物堆積膜的應力,會比自然空冷(降溫速率≒3℃/min)時更增大,而積極地產生熱應力,因而在堆積膜上產生自然空冷時以上的強制之龜裂。又,在反應爐1內進行大氣壓氣體沖洗之時,可將由產生龜裂而飛散的微粒強制且有効率地排出到反應爐1外。
成膜時之爐內溫度,係比LTP中降溫終點溫度(400℃)更高數百度,一次降溫處理(400℃)後之堆積膜,已做應力緩和之故,因此次一批處理之SiN成膜時可避免產生新的龜裂。又,已了解到在溫度變高之時,上述堆積膜之應力減少,在成膜處理時堆積膜的應力變成降低的狀態,因此可使成膜處理時產生新的龜裂之可能性變成更低。
然而,堆積膜之龜裂在事前產生,隨著龜裂之產生,使微粒在晶圓舟載置前強制地排出到反應爐1外,因此可在沒有微粒之狀態下進行晶圓處理。並且,可使由堆積膜龜裂產生的微粒有効率地除去,因此反應爐1之洗淨可在堆積膜剝離狀態前進行。並且,利用本發明使堆積膜變成剝離狀態為止所需時間可大幅地延長,因此可使反應爐1之洗淨時間的間隔大幅地(可堆積膜之膜厚變成25μm為止)延長。
而,SiC與SiN在熱膨脹率方面很近似,因此在SiC與SiN之間不會產生多少應力差。因而,外管3或內管4等之反應管以SiC製成之情形,無法期待其有多大的LTP之効果。相對於此,SiO2 (石英)與SiN在熱膨脹率方面相差很大,因此SiO2 與SiN之間的應力差變大。即,LTP使用石英製之反應管,對進行SiN膜之成膜之情形特別有効。
[實施例1]
其次,在第1實施例中,將說明為了探究LTP降溫幅度與產生之微粒之關係而進行的試驗。
在上述實施形態中,由晶圓處理方法在Φ300mm之矽晶圓上生成SiN膜,尤其是在一次成膜的膜厚為1500以上之Si3 N4 膜。使用二氯化矽烷、氨氣做為反應氣體,將成膜處理溫度在730℃~800℃進行。在LTP中將降溫速率固定於20℃/min。將降溫幅度以300℃、400℃、800℃三種方式變化,而分別進行處理,而後在個別之情況中測定處理後之微粒數。
將其測定結果(LTP中之降溫幅度與產生之微粒之關係)顯示於第4圖中。橫軸顯示LTP中之降溫幅度(℃),縱軸顯示附著的0.13μm以上之微粒數(個/晶圓)。圖中顯示,T係頂部(TOP)之晶圓,B係底部(BOTTOM)之晶圓。由第4圖可知,將降溫幅度做為300℃之時,微粒數為60~70個左右,相對於此,將降溫幅度做為400℃以下之時,微粒數為40個以下。即,相對於成膜溫度730~800℃,將降溫幅度做為400℃(成膜溫度之50%左右)以上的話,可使微粒數大幅地降低(至少40個以下)。
[實施例2]
其次,在第2實施例中,將說明為了探究LTP降溫速率與產生之微粒之關係而進行的試驗。
在上述實施形態中,由晶圓處理方法在Φ300mm之矽晶圓上生成SiN膜,尤其是在一次成膜的膜厚為1500以上之Si3 N4 膜。使用二氯化矽烷、氨氣做為反應氣體,將成膜處理溫度在730℃~800℃進行。在LTP中將降溫幅度固定為400℃。將降溫速率以0℃/min、4℃/min、20℃/min三種方式變化,而分別進行處理,而後在個別之情況中測定處理後之微粒數。
將其測定結果(LTP中之降溫速率與產生之微粒之關係)顯示於第5圖中。橫軸顯示LTP中之降溫速率(℃/min),縱軸顯示附著的0.13μm以上之微粒數(個/晶圓)。圖中顯示,T係頂部(TOP)之晶圓,B係底部(BOTTOM)之晶圓。由第5圖可知,將降溫速率做為0℃/min之時(即未降溫之時),微粒數在頂部為460個左右,在底部為60個左右。將降溫速率做成4℃/min之時,微粒數在頂部為100個左右,在底部為70個左右。相對於此,將降溫速率做成20℃/min之時,微粒數在頂部、在底部均為30個以下。即,在LTP中將降溫速率為20℃/min以上之時,可使微粒數大幅地降低(至少30個以下)。而,在另外的試驗中,將降溫速率做為至少10℃/min以上之時,確認可使微粒數做成比自然空冷之情形更大幅地降低。
[實施例3]
其次,在第3實施例中,將說明為了探究LTP實施時之累積厚度與微粒之關係而進行的連續成膜之試驗。
在上述實施形態中,由晶圓處理方法在Φ300mm之矽晶圓上生成SiN膜,尤其是在一次成膜的膜厚為1500(150奈米)以上之Si3 N4 膜。使用二氯化矽烷、氨氣做為反應氣體,將成膜處理溫度在730℃~800℃進行。在LTP中將降溫幅度固定為400℃,將降溫速率固定於20℃/min。晶圓冷卻時間為15分,晶圓回收時間為15分,因而以不降低產出的方式,在LTP之該總計時間(30分)內,將該等事件並行地進行。在本實施例中,將LTP總時間做成30分(降溫前之昇溫時間10分、降溫時間20分)。以此條件下,對晶圓進行連續批處理,在個別之批處理後測定附著於晶圓上之微粒數。
將其測定結果(累積膜厚與微粒之關係)顯示於第6圖中。橫軸顯示連續批處理次數(Run No.),左側之縱軸顯示附著於晶圓上的0.13μm以上之微粒數(個/晶圓),右側之縱軸顯示累積膜厚(nm)。圖中顯示,TOP係頂部之晶圓,BOTTOM係底部之晶圓。並且,直方圖係微粒數,曲線係累積膜厚。由第5圖可知,(Run No.)119(第119次之批處理)為止,即累積膜厚為23μm(23000nm)之時,微粒數約為50個以下。而,本發明人等更進一步進行試驗,即使在累積膜厚超過25μm(25000nm)之狀態時,亦確認微粒數約為50個以下。
未實施本發明之時,累積(堆積)膜厚超過1μm(1000nm)之時,微粒數急遽地增加,而成為遠超過200個之值。但是,實施本發明之時,一次之批處理所堆積的膜厚為0.15μm(150nm),從而,將微粒數抑制在50個以下而成膜之可能的連續批處理次數,在先前技術例中為7次左右,而實施本發明時則為167次左右。即,利用本發明時,可使反應爐之洗淨(cleaning)時間的間隔大幅地延長,因而可使反應爐之洗淨頻度大幅地減少。
雖然已將種種之典型的實施形態顯示且說明,但是本發明並不限定於這些實施形態而已。從而,本發明的範圍係僅以下面之申請專利範圍而限定。
如以上所說明者,依照本發明之較佳實施形態之時,在成膜處理前強制地使龜裂產生於反應爐內之生成堆積膜上,隨著龜裂之產生使微粒被排出,因此在成膜處理時可抑制微粒之產生,而進行高品質之成膜處理,又亦可在堆積膜剝離之前實施反應爐之洗淨,因此可發揮使洗淨時間的間隔變長,維修性提高,同時運轉率提高,又與先前技術比較,處理時間亦不變長等之優異効果。
1...反應爐(室)
2...爐口凸緣
3...石英外管
4...石英內管
5...加熱器
6...氣體導入管線
7...氣體導入管線
8...排氣裝置
9...晶圓舟
10...晶圓(處理基板)
11...移載室
12...爐口密封蓋
13...爐口閘閥
14...退避位置
20...控制手段
30...排氣管線
31...主排氣管線
32...高流動通氣管線
33...過加壓防止管線
34...氮氣導入管線
35...閥
36...閥
37...逆止閥
40...強制冷卻機構
41...隔熱蓋
42...供給管線
43...排氣管線
44...排氣孔
45...導入鼓風機
46...開閉器
47...開閉器
48...散熱器
49...排氣鼓風機
第1圖係顯示說明本發明之較佳實施形態的基板處理裝置的概略縱剖面圖;
第2圖係顯示說明本發明之較佳實施形態的基板處理裝置的概略縱剖面圖;
第3圖係顯示說明本發明之較佳實施形態的晶圓處理流程圖;
第4圖係顯示本發明之第1實施例之LTP實施時之溫度降低幅度與微粒的關係圖;
第5圖係顯示本發明之第2實施例之LTP實施時之溫度下降速率與微粒的關係圖;
第6圖係顯示本發明之第3實施例之LTP實施時之累積膜厚與微粒的關係圖。
1...反應爐(室)
2...爐口凸緣
3...石英外管
4...石英內管
5...加熱器
6...氣體導入管線
7...氣體導入管線
8...排氣裝置
9...晶圓舟
10...晶圓(處理基板)
11...移載室
12...爐口密封蓋
13...爐口閘閥
14...退避位置
20...控制手段
30...排氣管線
31...主排氣管線
32...高流動通氣管線
33...過加壓防止管線
34...氮氣導入管線
35...閥
36...閥
37...逆止閥
40...強制冷卻機構
41...隔熱蓋
42...供給管線
43...排氣管線
44...排氣孔
45...導入鼓風機
46...開閉器
47...開閉器
48...散熱器
49...排氣鼓風機

Claims (14)

  1. 一種半導體裝置之製造方法,其特徵為具有:將基板載置到反應爐內之工程;在上述反應爐內於上述基板進行成膜之工程;將成膜後的上述基板從上述反應爐卸載之工程;及將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻之工程;在將上述反應爐內進行強制冷卻之工程中,一邊將上述反應爐外部的環境氣體排氣,一邊將作為冷卻媒體之空氣或N2 流入上述反應爐外部。
  2. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中在將上述反應爐內進行強制冷卻之工程中,係藉由在上述反應爐外側設置成覆蓋上述反應爐的強制冷卻機構,來將作為冷卻媒體之空氣或N2 流入上述反應爐外部。
  3. 一種半導體裝置之製造方法,其特徵為具有:將基板載置到反應爐內之工程;在上述反應爐內於上述基板進行成膜之工程;將成膜後的上述基板從上述反應爐卸載之工程;及 將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,藉由利用在上述反應爐外側設置成覆蓋上述反應爐的強制冷卻機構,使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻之工程;在將上述反應爐內進行強制冷卻之工程中,一邊將上述反應爐外部的環境氣體排氣,一邊將作為冷卻媒體之空氣或N2 流入上述反應爐外部。
  4. 如申請專利範圍第3項所記載之半導體裝置之製造方法,其中在將上述反應爐內進行強制冷卻之工程中,係藉由利用上述強制冷卻機構,使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻,同時將前述反應爐內部進行氣體沖洗。
  5. 一種半導體裝置之製造方法,其特徵為:具有:將基板載置到反應爐內之工程;在上述反應爐內於上述基板進行成膜之工程;將成膜後的上述基板從上述反應爐卸載之工程;及將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,藉由利用在上述反應爐外側設置成覆蓋上述反應爐的強制冷卻機構,使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻之工程; 在將上述反應爐內進行強制冷卻之工程中,係藉由利用上述強制冷卻機構使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面而將上述反應爐內強制冷卻,來強制地使形成在上述反應爐內的堆積膜發生龜裂,此時,藉由將上述反應爐內部進行氣體沖洗來將當上述龜裂發生時產生的微粒排出上述反應爐外。
  6. 一種半導體裝置之製造方法,其特徵為具有:將基板載置到反應爐內之工程;在上述反應爐內於上述基板進行成膜之工程;將成膜後的上述基板從上述反應爐卸載之工程;及將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻,同時藉由將沖洗氣體流入上述反應爐內部來將上述反應爐內進行氣體沖洗之工程;在藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻,同時藉由將沖洗氣體流入上述反應爐內部來將上述反應爐內進行氣體沖洗之工程中,一邊將上述反應爐外部的環境氣體排氣,一邊將作為冷卻媒體之空氣或N2 流入上述反應爐外部。
  7. 一種半導體裝置之製造方法,其特徵為:具有: 將基板載置到反應爐內之工程;在上述反應爐內於上述基板進行成膜之工程;將成膜後的上述基板從上述反應爐卸載之工程;及將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻,同時藉由將沖洗氣體流入上述反應爐內部來將上述反應爐內進行氣體沖洗之工程;在藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻,同時藉由將沖洗氣體流入上述反應爐內部來將上述反應爐內進行氣體沖洗之工程中,係藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面而將上述反應爐內強制冷卻,來強制地使形成在上述反應爐內的堆積膜發生龜裂,藉由將沖洗氣體流入上述反應爐內部而將上述反應爐內部進行氣體沖洗,來將當上述龜裂發生時產生的微粒排出上述反應爐外。
  8. 一種半導體裝置之製造方法,其特徵為具有:將基板載置到反應爐內之第1工程;在上述反應爐內於上述基板進行成膜之第2工程;將成膜後的上述基板從上述反應爐卸載之第3工程;將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,藉由使作為冷卻媒體之空氣或N2 直接接觸 上述反應爐的外部表面來將上述反應爐內強制冷卻,同時藉由將氣體流入上述反應爐內部來將上述反應爐內部進行氣體沖洗之第4工程;及在重複進行上述第1工程至第4工程後,洗淨上述反應爐內之工程;在上述第4工程中,一邊將上述反應爐外部的環境氣體排氣,一邊將作為冷卻媒體之空氣或N2 流入上述反應爐外部。
  9. 一種基板處理方法,其特徵為具有:將基板載置到反應爐內之工程;在上述反應爐內於上述基板進行成膜之工程;將成膜後的上述基板從上述反應爐卸載之工程;及將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻之工程;在將上述反應爐內進行強制冷卻之工程中,一邊將上述反應爐外部的環境氣體排氣,一邊將作為冷卻媒體之空氣或N2 流入上述反應爐外部。
  10. 一種基板處理方法,其特徵為具有:將基板載置到反應爐內之工程;在上述反應爐內於上述基板進行成膜之工程;將成膜後的上述基板從上述反應爐卸載之工程;及 將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,藉由利用在上述反應爐外側設置成覆蓋上述反應爐的強制冷卻機構使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面,來將上述反應爐內強制冷卻之工程;在將上述反應爐內進行強制冷卻之工程中,一邊將上述反應爐外部的環境氣體排氣,一邊將作為冷卻媒體之空氣或N2 流入上述反應爐外部。
  11. 一種基板處理方法,其特徵為具有:將基板載置到反應爐內之工程;在上述反應爐內於上述基板進行成膜之工程;將成膜後的上述基板從上述反應爐卸載之工程;及將上述基板卸載之後,在上述反應爐內沒有上述基板的狀態下,藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻,同時藉由將沖洗氣體流入上述反應爐內部來將上述反應爐內進行氣體沖洗之工程;在藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻,同時藉由將沖洗氣體流入上述反應爐內部來將上述反應爐內進行氣體沖洗之工程中,一邊將上述反應爐外部的環境氣體排氣,一邊將作為冷卻媒體之空氣或N2 流入上述反應爐外部。
  12. 一種基板處理裝置,其特徵為具有:反應爐,係對基板進行成膜;成膜氣體供給管線,係將成膜氣體供給到上述反應爐內;沖洗氣體供給管線,係將沖洗氣體供給到上述反應爐內;排氣管線,係將上述反應爐內進行排氣;輸送手段,係將上述基板對上述反應爐內作載置/卸載;強制冷卻手段,係將上述反應爐內強制冷卻;及控制手段,係於使上述基板從上述反應爐卸載後,在上述反應爐內沒有上述基板的狀態下,以一邊將上述反應爐外部的環境氣體排氣,一邊將作為冷卻媒體之空氣或N2 流入上述反應爐外部,藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻的方式,控制上述強制冷卻手段。
  13. 一種基板處理裝置,其特徵為具有:反應爐,係對基板進行成膜;成膜氣體供給管線,係將成膜氣體供給到上述反應爐內;沖洗氣體供給管線,係將沖洗氣體供給到上述反應爐內;排氣管線,係將上述反應爐內進行排氣;輸送手段,係將上述基板對上述反應爐內作載置/卸 載;強制冷卻手段,係在上述反應爐外側設置成覆蓋上述反應爐且強制冷卻上述反應爐內;及控制手段,係於使上述基板從上述反應爐卸載後,在上述反應爐內沒有上述基板的狀態下,以一邊將上述反應爐外部的環境氣體排氣,一邊將作為冷卻媒體之空氣或N2 流入上述反應爐外部,藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻的方式,控制上述強制冷卻手段。
  14. 一種基板處理裝置,其特徵為具有:反應爐,係對基板進行成膜;成膜氣體供給管線,係將成膜氣體供給到上述反應爐內;沖洗氣體供給管線,係將沖洗氣體供給到上述反應爐內;排氣管線,係將上述反應爐內進行排氣;輸送手段,係將上述基板對上述反應爐內作載置/卸載;強制冷卻手段,係將上述反應爐內強制冷卻;及控制手段,係於使上述基板從上述反應爐卸載後,在上述反應爐內沒有上述基板的狀態下,以一邊將上述反應爐外部的環境氣體排氣,一邊將作為冷卻媒體之空氣或N2 流入上述反應爐外部,藉由使作為冷卻媒體之空氣或N2 直接接觸上述反應爐的外部表面來將上述反應爐內強制冷卻,同時藉由將沖洗氣體流入上述反應爐內部 來將上述反應爐內進行氣體沖洗的方式,控制上述強制冷卻手段及上述沖洗氣體供給管線。
TW100123298A 2003-09-19 2004-09-17 半導體裝置之製造方法、基板處理裝置及基板處理方法 TWI389204B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003327358 2003-09-19

Publications (2)

Publication Number Publication Date
TW201145390A TW201145390A (en) 2011-12-16
TWI389204B true TWI389204B (zh) 2013-03-11

Family

ID=34372869

Family Applications (3)

Application Number Title Priority Date Filing Date
TW101140713A TWI449104B (zh) 2003-09-19 2004-09-17 半導體裝置之製造方法、基板處理裝置及基板處理方法
TW093128418A TWI360179B (en) 2003-09-19 2004-09-17 Method for manufacturing a semiconductor device, a
TW100123298A TWI389204B (zh) 2003-09-19 2004-09-17 半導體裝置之製造方法、基板處理裝置及基板處理方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW101140713A TWI449104B (zh) 2003-09-19 2004-09-17 半導體裝置之製造方法、基板處理裝置及基板處理方法
TW093128418A TWI360179B (en) 2003-09-19 2004-09-17 Method for manufacturing a semiconductor device, a

Country Status (6)

Country Link
US (3) US7955991B2 (zh)
JP (4) JPWO2005029566A1 (zh)
KR (3) KR100938534B1 (zh)
CN (3) CN101429649B (zh)
TW (3) TWI449104B (zh)
WO (1) WO2005029566A1 (zh)

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005029566A1 (ja) * 2003-09-19 2005-03-31 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
JP5028957B2 (ja) * 2005-12-28 2012-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
JP4844261B2 (ja) * 2006-06-29 2011-12-28 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2008100917A1 (en) * 2007-02-16 2008-08-21 Caracal, Inc. Epitaxial growth system for fast heating and cooling
JP2009272367A (ja) * 2008-05-01 2009-11-19 Hitachi Kokusai Electric Inc 基板処理装置
JP2010093023A (ja) * 2008-10-07 2010-04-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010140947A (ja) * 2008-12-09 2010-06-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8115235B2 (en) * 2009-02-20 2012-02-14 Intel Corporation Modulation-doped halo in quantum well field-effect transistors, apparatus made therewith, and methods of using same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011066106A (ja) * 2009-09-16 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5724713B2 (ja) * 2011-07-22 2015-05-27 東京エレクトロン株式会社 熱処理装置
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5546654B2 (ja) * 2013-02-01 2014-07-09 株式会社日立国際電気 基板処理装置、半導体製造方法、基板処理方法、及び異物除去方法
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2014199856A (ja) 2013-03-29 2014-10-23 東京エレクトロン株式会社 縦型熱処理装置の運転方法及び記憶媒体並びに縦型熱処理装置
KR101552496B1 (ko) * 2013-04-19 2015-09-11 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5801374B2 (ja) * 2013-12-27 2015-10-28 株式会社日立国際電気 半導体装置の製造方法、プログラム、及び基板処理装置
JP5848788B2 (ja) * 2014-02-12 2016-01-27 株式会社日立国際電気 基板処理装置、半導体製造方法、基板処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN104296529B (zh) * 2014-06-27 2016-06-15 长沙矿冶研究院有限责任公司 可用于ito靶材烧结的气氛钟罩炉
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
GB201421151D0 (en) * 2014-11-28 2015-01-14 Spts Technologies Ltd Method of degassing
US10375901B2 (en) 2014-12-09 2019-08-13 Mtd Products Inc Blower/vacuum
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
SG11202008066PA (en) * 2018-02-23 2020-09-29 Kokusai Electric Corp Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and program
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102349037B1 (ko) * 2018-09-17 2022-01-10 주식회사 원익아이피에스 웨이퍼 공정용 리액터의 가스 제어 장치
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN110310909B (zh) * 2019-07-15 2021-12-17 北京北方华创微电子装备有限公司 冷却装置及热处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
FI4013905T3 (fi) 2019-08-12 2023-05-19 Kurt J Lesker Company Erittäin puhtaat olosuhteet atomimittakaavan prosessointiin
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110643961B (zh) * 2019-09-20 2024-02-06 深圳市晶相技术有限公司 一种半导体设备的使用方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3973762A (en) * 1974-05-17 1976-08-10 Dravo Corporation Sintering process and apparatus
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4706011A (en) 1986-07-07 1987-11-10 Texas Instruments Incorporated High voltage pulse detector with controllable current consumption
JPS63181313A (ja) 1987-01-22 1988-07-26 Mitsubishi Electric Corp 気相結晶成長装置
US4874464A (en) * 1988-03-14 1989-10-17 Epsilon Limited Partnership Process for epitaxial deposition of silicon
JPH01243515A (ja) 1988-03-25 1989-09-28 Hitachi Ltd 熱処理装置
JPH03111552A (ja) * 1989-09-26 1991-05-13 Osaka Oxygen Ind Ltd 金属管酸化処理装置
CH676500A5 (zh) * 1990-05-18 1991-01-31 Werner Kunz
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
KR0155572B1 (ko) * 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
JPH0547681A (ja) 1991-08-14 1993-02-26 Nikko Kyodo Co Ltd 気相成長方法
JP3098093B2 (ja) 1992-02-20 2000-10-10 三菱電機株式会社 化学気相成長装置
KR100251873B1 (ko) * 1993-01-21 2000-04-15 마쓰바 구니유키 종형 열처리 장치
US5637153A (en) * 1993-04-30 1997-06-10 Tokyo Electron Limited Method of cleaning reaction tube and exhaustion piping system in heat processing apparatus
US5484484A (en) * 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor
JPH07263370A (ja) 1994-03-17 1995-10-13 Tokyo Electron Ltd 熱処理装置
JP3583467B2 (ja) * 1994-05-30 2004-11-04 株式会社東芝 半導体装置の製造装置及び製造方法
US5783046A (en) * 1994-11-28 1998-07-21 Gentech, Inc. Process and apparatus for the destructive distillation of rubber
JPH0982720A (ja) 1995-09-14 1997-03-28 Tokyo Electron Ltd 縦型熱処理装置
US6159300A (en) * 1996-12-17 2000-12-12 Canon Kabushiki Kaisha Apparatus for forming non-single-crystal semiconductor thin film, method for forming non-single-crystal semiconductor thin film, and method for producing photovoltaic device
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US5872017A (en) * 1997-01-24 1999-02-16 Seh America, Inc. In-situ epitaxial passivation for resistivity measurement
JPH10280153A (ja) 1997-04-11 1998-10-20 Toshiba Mach Co Ltd プラズマcvd装置
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US20030164225A1 (en) * 1998-04-20 2003-09-04 Tadashi Sawayama Processing apparatus, exhaust processing process and plasma processing
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
KR20000003915A (ko) * 1998-06-30 2000-01-25 김영환 반도체 소자의 게이트 절연막 형성방법
JP3396431B2 (ja) * 1998-08-10 2003-04-14 東京エレクトロン株式会社 酸化処理方法および酸化処理装置
JP2000138168A (ja) 1998-10-29 2000-05-16 Shin Etsu Handotai Co Ltd 半導体ウェーハ及び気相成長装置
JP3159187B2 (ja) 1998-11-04 2001-04-23 日本電気株式会社 薄膜成膜方法
KR100480904B1 (ko) 1998-12-24 2005-08-30 주식회사 하이닉스반도체 반응로및이를이용한단결정실리콘층형성방법
JP3818480B2 (ja) * 1999-04-21 2006-09-06 株式会社日立国際電気 半導体素子の製造方法及びその装置
US6235651B1 (en) * 1999-09-14 2001-05-22 Infineon Technologies North America Process for improving the thickness uniformity of a thin layer in semiconductor wafer fabrication
JP4437851B2 (ja) 1999-10-28 2010-03-24 東京エレクトロン株式会社 処理装置
US20010005553A1 (en) * 1999-11-10 2001-06-28 Witzman Matthew R. Linear aperture deposition apparatus and coating process
JP2001140054A (ja) 1999-11-15 2001-05-22 Nec Kagoshima Ltd 真空成膜装置のクリーニング方法及び真空成膜装置
US6547922B2 (en) * 2000-01-31 2003-04-15 Canon Kabushiki Kaisha Vacuum-processing apparatus using a movable cooling plate during processing
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP2001257172A (ja) 2000-03-09 2001-09-21 Hitachi Kokusai Electric Inc 半導体製造装置
TW578214B (en) * 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
JP3644880B2 (ja) * 2000-06-20 2005-05-11 東京エレクトロン株式会社 縦型熱処理装置
JP4079582B2 (ja) 2000-09-28 2008-04-23 株式会社日立国際電気 熱処理装置および熱処理方法
KR100345304B1 (ko) * 2000-10-12 2002-07-25 한국전자통신연구원 수직형 초고진공 화학증착장치
US6413844B1 (en) * 2001-01-10 2002-07-02 Asm International N.V. Safe arsenic gas phase doping
JP4470325B2 (ja) 2001-01-12 2010-06-02 株式会社島津製作所 成膜装置
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6844273B2 (en) * 2001-02-07 2005-01-18 Tokyo Electron Limited Precleaning method of precleaning a silicon nitride film forming system
US7053459B2 (en) * 2001-03-12 2006-05-30 Renesas Technology Corp. Semiconductor integrated circuit device and process for producing the same
JP2002280374A (ja) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2002299269A (ja) 2001-03-29 2002-10-11 Tokyo Electron Ltd 熱処理装置、および熱処理方法
JP4610771B2 (ja) 2001-04-05 2011-01-12 東京エレクトロン株式会社 縦型熱処理装置およびその強制空冷方法
JP2002317269A (ja) * 2001-04-18 2002-10-31 Hitachi Ltd 半導体装置の製造方法
JP2002334868A (ja) * 2001-05-10 2002-11-22 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP3421329B2 (ja) * 2001-06-08 2003-06-30 東京エレクトロン株式会社 薄膜形成装置の洗浄方法
JP4149687B2 (ja) * 2001-07-19 2008-09-10 シャープ株式会社 熱処理方法
JP3660897B2 (ja) * 2001-09-03 2005-06-15 株式会社ルネサステクノロジ 半導体装置の製造方法
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
KR100438946B1 (ko) 2001-10-12 2004-07-03 주식회사 엘지이아이 플라즈마 증착장비의 가열된 냉각수를 이용한 가스주입관응축방지장치
KR100499211B1 (ko) * 2001-11-13 2005-07-07 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP2003158080A (ja) 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
JP2003188115A (ja) * 2001-12-17 2003-07-04 Shin Meiwa Ind Co Ltd 半導体配線形成方法及び装置、半導体デバイス製造方法及び装置、並びにウエハ
JP2003203868A (ja) 2002-01-07 2003-07-18 Hitachi Kokusai Electric Inc 半導体製造装置
JP4086146B2 (ja) * 2002-03-26 2008-05-14 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
WO2004003995A1 (ja) * 2002-06-27 2004-01-08 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP4699675B2 (ja) * 2002-10-08 2011-06-15 信越半導体株式会社 アニールウェーハの製造方法
JP4382750B2 (ja) * 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
WO2004079804A1 (ja) * 2003-03-03 2004-09-16 Hitachi Kokusai Electric Inc. 基板処理装置および半導体装置の製造方法
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
KR100527047B1 (ko) * 2003-07-01 2005-11-09 주식회사 아이피에스 박막증착방법
WO2005029566A1 (ja) * 2003-09-19 2005-03-31 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
JP4300216B2 (ja) * 2003-09-25 2009-07-22 株式会社日立国際電気 基板処理装置、半導体デバイスの製造方法及び基板の移載方法
CN1868042A (zh) * 2003-11-20 2006-11-22 株式会社日立国际电气 半导体器件的制造方法和衬底处理装置
US7556839B2 (en) * 2004-03-29 2009-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and apparatus for processing substrate
US7731797B2 (en) * 2004-11-01 2010-06-08 Hitachi Kokusai Electric Inc. Substrate treating apparatus and semiconductor device manufacturing method
JP4225998B2 (ja) * 2004-12-09 2009-02-18 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
KR100974134B1 (ko) * 2006-03-28 2010-08-04 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
US7910494B2 (en) * 2006-03-29 2011-03-22 Tokyo Electron Limited Thermal processing furnace, gas delivery system therefor, and methods for delivering a process gas thereto
JP4809175B2 (ja) * 2006-09-28 2011-11-09 株式会社日立国際電気 半導体装置の製造方法
JP2008218984A (ja) * 2007-02-06 2008-09-18 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2009123795A (ja) * 2007-11-13 2009-06-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP4531833B2 (ja) * 2007-12-05 2010-08-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びクリーニング方法
JP5697849B2 (ja) * 2009-01-28 2015-04-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置

Also Published As

Publication number Publication date
US8231731B2 (en) 2012-07-31
JP5190077B2 (ja) 2013-04-24
CN1823404A (zh) 2006-08-23
CN101429649A (zh) 2009-05-13
CN101429649B (zh) 2012-06-13
TWI449104B (zh) 2014-08-11
US8636882B2 (en) 2014-01-28
JP2010109387A (ja) 2010-05-13
US7955991B2 (en) 2011-06-07
CN101914760B (zh) 2012-08-29
JP2009135541A (ja) 2009-06-18
TW200514162A (en) 2005-04-16
TW201310530A (zh) 2013-03-01
JPWO2005029566A1 (ja) 2007-11-15
JP2010098331A (ja) 2010-04-30
JP5452043B2 (ja) 2014-03-26
US20070259532A1 (en) 2007-11-08
KR20090055650A (ko) 2009-06-02
TW201145390A (en) 2011-12-16
KR100938534B1 (ko) 2010-01-25
KR100765681B1 (ko) 2007-10-12
KR20060066168A (ko) 2006-06-15
US20090239386A1 (en) 2009-09-24
JP5199286B2 (ja) 2013-05-15
TWI360179B (en) 2012-03-11
US20110239936A1 (en) 2011-10-06
KR100943588B1 (ko) 2010-02-23
CN1823404B (zh) 2012-08-29
KR20070091229A (ko) 2007-09-07
WO2005029566A1 (ja) 2005-03-31
CN101914760A (zh) 2010-12-15

Similar Documents

Publication Publication Date Title
TWI389204B (zh) 半導體裝置之製造方法、基板處理裝置及基板處理方法
KR100802990B1 (ko) 반도체장치의 제조 방법 및 기판처리장치
JP3818480B2 (ja) 半導体素子の製造方法及びその装置
KR101291957B1 (ko) 성막 장치, 그 운전 방법 및 상기 방법의 실행을 위한 기억 매체
JP2011066106A (ja) 半導体装置の製造方法及び基板処理装置
WO2016013401A1 (ja) 半導体製造装置及び半導体の製造方法
JP2010093023A (ja) 半導体装置の製造方法
JP6729352B2 (ja) エピタキシャルシリコンウェーハの製造方法
JP2010140947A (ja) 半導体装置の製造方法及び基板処理装置
JP2009302353A (ja) 半導体製造装置
JP4157508B2 (ja) Cvd成膜方法
WO2004057656A1 (ja) 基板処理装置および半導体装置の製造方法