JP5190077B2 - 半導体装置の製造方法、基板処理方法および基板処理装置 - Google Patents

半導体装置の製造方法、基板処理方法および基板処理装置 Download PDF

Info

Publication number
JP5190077B2
JP5190077B2 JP2010010961A JP2010010961A JP5190077B2 JP 5190077 B2 JP5190077 B2 JP 5190077B2 JP 2010010961 A JP2010010961 A JP 2010010961A JP 2010010961 A JP2010010961 A JP 2010010961A JP 5190077 B2 JP5190077 B2 JP 5190077B2
Authority
JP
Japan
Prior art keywords
temperature
reaction furnace
substrate
reactor
furnace
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010010961A
Other languages
English (en)
Other versions
JP2010109387A (ja
Inventor
健一 寿崎
杰 王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2010010961A priority Critical patent/JP5190077B2/ja
Publication of JP2010109387A publication Critical patent/JP2010109387A/ja
Application granted granted Critical
Publication of JP5190077B2 publication Critical patent/JP5190077B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、半導体装置の製造方法、基板処理方法および基板処理装置に関し、特に、CVD(Chemical Vapor Deposition)処理による半導体装置の製造方法、基板処理方法及び基板処理装置であって、製造過程で発生する微細パーティクルの低減を目的とする半導体装置の製造方法、基板処理方法及び基板処理装置に関するものである。
半導体装置を製造する工程に於いて、ウェハ等の被処理基板に化学気相成長(CVD)法により成膜処理をすることが行われている。
この成膜処理は例えば次の様になされる。すなわち、所定枚数のウェハがボートに装填される。ボートに装填されたウェハは反応炉内に装入(ロード)される。反応炉内部が真空排気され、反応炉内に反応ガスが導入され、ウェハに成膜処理がなされる。
成膜処理完了後、反応炉内を大気圧に復帰させ、ボートをアンロードする。炉内からボートを完全に引き出した状態でボートを冷却する。それと同時に反応炉内の温度を降下させ、ガスパージ(減圧Nパージ)を行う。これにより反応炉内壁に付着した堆積膜の応力を増大させて堆積膜に亀裂を発生させ、亀裂発生時に生じる微細パーティクルをガスパージにより排出する(日本国公開公報−特開2000−306904号参照)。
この場合、反応炉内から処理済基板をアンロードした状態で炉内温度を降下させる際、例えば自然空冷の降温レート(≒3℃/min)にて炉内温度を成膜温度から150℃程度、数十分、例えば50分程度かけて降下させていた。しかしながら、3℃/min程度の降温レートでは、堆積膜に強制的に亀裂(堆積膜と石英反応管の間の熱膨張率の相違による熱応力が、許容限界値(堆積膜の機械的破壊強度)を越えることで発生する膜亀裂)を発生させることによる、パーティクル排出効果は低く、特にφ300mmウェハの処理においては、累積膜厚が1.2μmを超えたところでパーティクルが多数発生し、特にφ300mmウェハの処理ではパーティクル低減効果が極めて低いことが判明した。また、自然空冷の温度降下(≒3℃/min)においては50分程度の時間が必要となることから基板処理装置(半導体製造装置)の稼働率が低下し、生産性が悪化するという問題があった。
本発明の主な目的は、パーティクル低減効果に優れ、生産性を向上させることができる半導体装置の製造方法、基板処理方法および基板処理装置を提供することにある。
本発明の一態様によれば、
基板を反応炉内にロードする工程と、
前記反応炉内で前記基板に成膜を行う工程と、
前記成膜後の前記基板を前記反応炉よりアンロードする工程と、
前記基板を前記反応炉内よりアンロードした後、前記反応炉内に前記基板がない状態で、前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内温度を自然空冷時の降温レートよりも大きな降温レートで降させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする工程と、
を有し、
前記基板を前記反応炉内にロードする工程では、前記反応炉内の温度を前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記基板を前記反応炉内よりアンロードする工程では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも高く前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記反応炉内をガスパージする工程では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも低い温度まで降温させる半導体装置の製造方法が提供される。
本発明の他の態様によれば、
第1の温度に設定された反応炉内に基板をロードする工程と、
前記反応炉内の温度を前記第1の温度よりも高い第2の温度まで昇温させる工程と、
前記第2の温度に設定された前記反応炉内で前記基板に成膜を行う工程と、
前記反応炉内の温度を前記第1の温度よりも高く前記第2の温度よりも低い第3の温度まで降温させる工程と、
前記成膜後の前記基板を前記第3の温度に設定された前記反応炉内よりアンロードする工程と、
前記基板を前記反応炉内よりアンロードした後、前記反応炉内に前記基板がない状態で、前記反応炉内の温度を一旦前記第2の温度よりも高い第4の温度まで昇温させ、その後、前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内の温度を自然空冷時の降温レートよりも大きな降温レートで前記第1の温度よりも低い第5の温度まで降温させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする工程と、
を有する半導体装置の製造方法が提供される。
また、本発明の更に他の態様によれば、
基板を反応炉内にロードする工程と、
前記反応炉内で前記基板に成膜を行う工程と、
前記成膜後の前記基板を前記反応炉内よりアンロードする工程と、
前記基板を前記反応炉内よりアンロードした後、前記反応炉内に前記基板がない状態で、前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内の温度を自然空冷時の降温レートよりも大きな降温レートで降温させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする工程と、
を有し、
前記基板を前記反応炉内にロードする工程では、前記反応炉内の温度を前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記基板を前記反応炉内よりアンロードする工程では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも高く前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記反応炉内をガスパージする工程では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも低い温度まで降温させる基板処理方法が提供される。
また、本発明の更に他の態様によれば、
第1の温度に設定された反応炉内に基板をロードする工程と、
前記反応炉内の温度を前記第1の温度よりも高い第2の温度まで昇温させる工程と、
前記第2の温度に設定された前記反応炉内で前記基板に成膜を行う工程と、
前記反応炉内の温度を前記第1の温度よりも高く前記第2の温度よりも低い第3の温度まで降温させる工程と、
前記成膜後の前記基板を前記第3の温度に設定された前記反応炉内よりアンロードする工程と、
前記基板を前記反応炉内よりアンロードした後、前記反応炉内に前記基板がない状態で、前記反応炉内の温度を一旦前記第2の温度よりも高い第4の温度まで昇温させ、その後、前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内の温度を自然空冷時の降温レートよりも大きな降温レートで前記第1の温度よりも低い第5の温度まで降温させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする工程と、
を有する基板処理方法が提供される。
また、本発明の更に他の態様によれば、
基板に対して成膜を行う反応炉と、
前記反応炉内に成膜ガスを供給する成膜ガス供給ラインと、
前記反応炉内にパージガスを供給するパージガス供給ラインと、
前記反応炉内を排気する排気ラインと、
前記反応炉内に対して前記基板をロード/アンロードする搬送手段と、
前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流すことで前記反応炉内を強制冷却する強制冷却手段と、
前記反応炉内に前記基板をロードする処理と、前記反応炉内に成膜ガスを供給して前記基板に成膜を行う処理と、前記成膜後の前記基板を前記反応炉内よりアンロードする処理と、前記反応炉より前記基板をアンロードさせた後、前記反応炉内に前記基板がない状態で、前記断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内温度を自然空冷時の降温レートよりも大きな降温レートで降温させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする処理を行い、前記基板を前記反応炉内にロードする処理では、前記反応炉内の温度を前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記基板を前記反応炉内よりアンロードする処理では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも高く前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記反応炉内をガスパージする処理では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも低い温度まで降温させるよう前記各部の動作を制御する制御手段と、
を有する基板処理装置が提供される。
また、本発明の更に他の態様によれば、
基板に対して成膜を行う反応炉と、
前記反応炉内に成膜ガスを供給する成膜ガス供給ラインと、
前記反応炉内にパージガスを供給するパージガス供給ラインと、
前記反応炉内を排気する排気ラインと、
前記反応炉内に対して前記基板をロード/アンロードする搬送手段と、
前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流すことで前記反応炉内を強制冷却する強制冷却手段と、
第1の温度に設定された前記反応炉内に前記基板をロードする処理と、前記反応炉内の温度を前記第1の温度よりも高い第2の温度まで昇温させる処理と、前記第2の温度に設定された前記反応炉内に成膜ガスを供給して前記基板に成膜を行う処理と、前記反応炉内の温度を前記第1の温度よりも高く前記第2の温度よりも低い第3の温度まで降温させる処理と、前記成膜後の前記基板を前記第3の温度に設定された前記反応炉内よりアンロードする処理と、前記基板を前記反応炉内よりアンロードした後、前記反応炉内に前記基板がない状態で、前記反応炉内の温度を一旦前記第2の温度よりも高い第4の温度まで昇温させ、その後、前記断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内の温度を自然空冷時の降温レートよりも大きな降温レートで前記第1の温度よりも低い第5の温度まで降温させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする処理と、を行うよう前記各部の動作を制御する制御手段と、
を有する基板処理装置が提供される。
本発明の好ましい実施形態に係る基板処理装置を説明するための示す概略縦断面図である。 本発明の好ましい実施形態に係る基板処理装置を説明するための示す概略縦断面図である。 本発明の好ましい実施形態に係るウェハ処理フローを示す図である。 本発明の第1の実施例に係るLTP実施時の温度降下幅とパーティクルとの関係を示す図である。 本発明の第2の実施例に係るLTP実施時の温度降下レートとパーティクルとの関係を示す図である。 本発明の第3の実施例に係るLTP実施時の累積膜厚とパーティクルとの関係を示す図である。
本発明の好ましい実施形態は、反応炉内より基板を取り出した状態で急冷機構を具備したヒータにて反応炉内を10℃/min以上、好ましくは20℃/min以上の降温レートにて急速急冷することにより半導体製造過程で反応炉内に形成された堆積膜に亀裂を強制的に発生させ、亀裂発生時に生じる微細パーティクルを大気圧ガスパージにより強制的に排出し、ウェハへの微細パーティクルの付着を低減させることで、反応炉の洗浄の頻度を少なくして生産性を向上させようとするものである。
以下、図面を参照しつつ本発明の好ましい実施の形態を説明する。まず図1、図2を参照して本発明の好ましい実施の形態に係るCVD成膜処理を行う基板処理装置としての半導体製造装置を説明する。図1、図2に示す半導体製造装置はホットウォールタイプのバッチ処理式の縦型半導体製造装置である。
図1は、ウェハ10を搭載したボート9を反応炉1内にロードし、炉口フランジ2の下側開口部を炉口シールキャプ12で閉じた状態を示し、図2は、ウェハ10を搭載したボート9を反応炉1から基板移載室11にアンロードし、炉口フランジ2の下側開口部を炉口ゲートバルブ13で閉じた状態を示している。
反応炉1は、金属製の炉口フランジ2、炉口フランジ2に気密に立設された石英アウタチューブ3、石英アウタチューブ3内に同心に立設された石英インナチューブ4、石英アウタチューブ3の外側に石英アウタチューブ3を囲繞する様に設けられたヒータ5等によりホットウォールタイプの反応炉として構成されている。
石英アウタチューブ3およびヒータ5を覆って強制冷却機構40が設けられている。強制冷却機構40は、石英アウタチューブ3およびヒータ5を覆って設けられた断熱カバー41と、断熱カバー41の内部空間に連通して設けられた供給ライン42と、断熱カバー41の天井部の排気孔44を介して断熱カバー41の内部空間に連通して設けられた排気ライン43とを備えている。供給ライン42には導入ブロア45とシャッタ46が設けられている。排気ライン43にはシャッタ47とラジエータ48と排気ブロア49とが設けられている。
反応炉1の内部には反応ガスを導入するガス導入ライン6、7が連通すると共に、排気ライン30が連通している。ガス導入ライン6、7は炉口フランジ2の石英インナチューブ4下端よりも下方の部分に接続している。排気ライン30は炉口フランジ2の石英アウタチューブ3下端よりも下方であって石英インナチューブ4下端よりも上方の部分に接続している。排気ライン30は、真空ポンプ等の排気装置8に連通するメイン排気ライン31、メイン排気ライン31から分岐して設けられるハイフローベント(HFV:High Flow Vent)ライン32、メイン排気ライン31から分岐して設けられるスロー排気ライン(図示せず)、メイン排気ライン31から分岐して設けられる過加圧防止ライン33および窒素ガス導入ライン34を有している。メイン排気ライン31のハイフローベントライン32との分岐点よりも下流側には、メインバルブとしてのAPCバルブが設けられている。スロー排気ラインはこのAPCバルブをバイパスするように設けられている。
ハイフローベントライン32は建屋付帯設備の排気設備に連通している。ハイフローベントライン32は、メイン排気ライン31、スロー排気ライン(図示せず)、過加圧防止ライン33よりも排気流量が大きくなるよう設定されており、大気圧で大流量のガスを流すことができる。ハイフローベントライン32の内径は、メイン排気ライン31の内径よりも小さく、スロー排気ライン(図示せず)、過加圧防止ライン33の内径よりも大きい。ハイフローベントライン32はバルブ35を備えておりこのバルブ35とAPCバルブとを切り換えることにより排気ルートをメイン排気ライン31とハイフローベントライン32とで切り換えることができるようになっている。
過加圧防止ライン33はバルブ36およびチェックバルブ37を備え、メイン排気ライン31内、すなわち反応炉1内が大気圧以上となると、チェックバルブ37が開き、チェックバルブ37を介してメイン排気ライン31内の雰囲気が排気されるので、メイン排気ライン31内、すなわち反応炉1内が大気圧以上の過加圧となるのを防止する。
反応炉1下方の基板移載室11にはボート搬送(昇降)手段としてのボートエレベータ15が設けられ、ボート9を昇降させ反応炉1内にボート9をロード・アンロードする様になっている。被処理基板であるウェハ10はボート9に水平姿勢で互いに隙間をもって多段に装填される。ボート9は、例えば石英製とすることができる。
図1に示すように、ボート9を反応炉1内にロードし、炉口フランジ2の下側開口部を炉口シールキャプ12で閉じた状態の時は、炉口ゲートバルブ13が退避位置14に退避している。図2に示すように、ボート9を反応炉1から基板移載室11にアンロードした時には、炉口フランジ2の下側開口部を炉口ゲートバルブ13で閉じる。
制御装置20によって、ヒータ5による加熱、強制冷却機構40による冷却、ガス導入ライン6、7によるガス導入、バルブ切り換えによる排気ラインの選択、排気ラインによる排気等が制御される。
以下、上記装置を用いて半導体装置の製造工程の一工程として半導体シリコンウェハにCVD法により成膜処理を施す方法について図1乃至3を参照して説明する。なお、以下の説明において、本装置を構成する各部の動作は制御装置20によりコントロールされる。
上述のように反応炉1の下方には、基板移載室11が存在し、ボート9が基板移載室11内に降下した状態で、図示しない基板移載機によりボート9に所定枚数のウェハ10が装填される(Wafer Charge)。この状態では、反応炉1内の雰囲気は大気圧に保持されており、ボート9へのウェハ10の装填と並行して反応炉1内への不活性ガス、例えばN導入がなされている。なお、このとき反応炉1内の温度は600℃に設定されている。
次に、ボートエレベータ15によりボート9が上昇され、ボート9が600℃の温度に設定された反応炉1内にロードされる(Boat Load)。ボート9を反応炉1内にロードした後、スロー排気ラインを介して排気装置8により緩やかに反応炉1の内部が真空排気される(Slow Pump)。反応炉1内の圧力が所定の圧力まで低下すると、APCバルブを開いてメイン排気ライン31を介して排気装置8により反応炉1の内部が真空排気され所定の圧力に達する。
反応炉1内の温度を600℃から730℃〜800℃、例えば、760℃の成膜温度まで昇温させ(Ramp Up)、ウェハ温度が成膜温度に達し安定化したところ(Pre Heat)で反応ガスがガス導入ライン6、7より反応炉1内に導入され、ウェハ10に成膜処理がなされる(Depo)。例えば、ウェハ10上にSi膜(窒化シリコン膜、以下、SiNという。)を成膜する場合には、DCS(ジクロルシラン(SiHCl))、NH等のガスが用いられる。この場合、反応炉1内は、730℃〜800℃の成膜温度に保たれることとなる。
成膜処理完了後、反応炉1内に不活性ガス(例えばN)を導入しつつ排気することにより反応炉1内をガスパージし、残留ガスを除去する(Purge)。その後、メインバルブを閉じ、不活性ガスの導入を維持することにより反応炉1内を大気圧に復帰させる(Back Fill)。その後、ボートエレベータによりボート9により支持された成膜後のウェハ10を反応炉1内より降下させ基板移載室11内にアンロードする(Boat Down)。
なお、ボート9のアンロード前に炉内温度を760℃から700℃に降温しているが、これは、ボートアンロード速度を上げるためである。すなわち、ボートアンロード時における反応炉1内温度を成膜温度(760℃)よりも低い温度(700℃)とする方が、ボートアンロード時におけるウェハ面内の温度差を小さくすることができ、ウェハのたわみ量も小さくなる。そのような状態であれば、ウェハに悪影響を及ぼすことなく、ある程度速くボートダウンできる。また、ボートアンロード時の周辺部材への熱影響を緩和するためにも温度を若干下げている。
アンロード後、反応炉の開口(ボート出し入れ口)、すなわち炉口フランジ2の開口を、炉口ゲートバルブ13により気密に閉塞する(図2参照)。その後、基板移載室11内で、成膜処理後のウェハ10を冷却する(Wafer Cool)。基板移載室11内でのウェハ10冷却が完了すると、図示しない基板移載機により、ウェハ10をボート9から払出す(W/F Discharge)。
このウェハ10の冷却(Wafer Cool)、払出し(W/F Discharge)と並行して、気密に閉塞した反応炉1内を大気圧状態にて不活性ガスを用いてガスパージする。例えばNパージを行う。パージを行う際は、ガス導入ライン6、7より反応炉1内に20L/min以上の大流量のNを供給しつつ、メイン排気ライン31より分岐して設けられたハイフローベントライン32を介して排気するようにするのが好ましい。この場合、バルブ35を開け、メインバルブを閉じることとなる。
この大気圧状態での炉内パージと同時に、反応炉1内の温度を、強制冷却機構40にて、自然空冷時の降温レート(≒3℃/min)よりも大きな降温レートにて降下(低下)させ、炉内温度を急激に変動させる。これにより反応炉1内に付着した堆積膜の応力を自然空冷時よりも増大させて積極的に熱応力を発生させ、堆積膜に自然空冷時以上の強制的な亀裂を発生させる。亀裂の発生により飛散した微細パーティクルは大気圧状態での炉内パージにより強制的に、また効率的に反応炉外に排出されることとなる。強制冷却機構40にて、炉内温度を降下させる際には、シャッタ46、47を開放し、排気ブロア49で断熱カバー41内の高温の雰囲気ガスを排気すると共に、導入ブロア45により空気やN等の冷却媒体を断熱カバー41内に導入する。
降温レートは少なくとも10℃/min以上、好ましくは、20℃/min以上とするのがよい。炉内温度降下については、反応炉1内の温度を少なくとも成膜温度の1/2(50%)程度以下の温度まで降下させる設定とする。すなわち、温度降下幅(量)を、少なくとも成膜温度の1/2(50%)程度以上とする。例えば、成膜温度が730〜800℃程度である場合、800℃から400℃まで、反応炉1内の温度を降下させる設定とする。
なお、反応炉1内の温度を降下させる前に、反応炉1内温度を一旦成膜温度よりも高い温度まで上昇させ、その後成膜温度よりも低い温度まで降下させるようにしてもよい。図3の場合、ボートダウン後、反応炉1内温度を一旦ボートダウン時の炉内温度(700℃)よりも高く、また成膜温度(760℃)よりも高い温度である800℃まで40℃/minの昇温レートで上昇させ、その後成膜温度よりも低い温度である400℃まで20℃/minの降温レートで降下させるようにしている。このように、炉内温度を降下させる前に、一旦上昇させるようにすると、降温終点温度をそれ程低くすること無く、降下温度幅(温度差)を大きくすることができるので、温度降下後の昇温時間を短くすることができる。
このように、炉内温度降下前の上昇は、降温終点温度をそれ程低くすることなく温度差(降下温度幅)を大きくするために行っている。省略することもできるが、その場合、温度差(降下温度幅)が小さくなり、パーティクル低減効果が落ちる。パーティクル低減効果を落とさないためには温度差(降下温度幅)を大きくするために降温終点温度をより低くする必要があるが、そうすると降温後の昇温時間が長くなり、スループットが悪くなる。
なお、炉内温度降下前の上昇時も、炉内温度を急激に変動させていることから、炉内に付着した堆積膜にはある程度亀裂が発生していると考えられる。ただし、理論計算によると、炉内温度降下時の方が、石英(炉壁)と堆積膜との間のストレス差が大きくなり、より亀裂が発生しているものと考えられる。
なお、強制冷却(急速急冷)を行うことなく炉内温度を800℃からゆっくりと400℃まで降下させつつパージする実験を行ったところ、炉内に付着した堆積膜には亀裂はあまり発生せず、効果は不十分だった。すなわち温度差(降下温度幅)を大きくするだけでは十分な効果は得られないことが分かった。十分な効果を得るには、(1)温度差(降下温度幅)と、(2)温度降下速度の両方を大きくする必要がある。
炉内の強制冷却と同時に行う反応炉1内の不活性ガスを用いてのガスパージは、減圧状態で行う場合に比べ、大気圧状態で行う場合の方が、パーティクル除去効果が大きいというメリットがある。これは、減圧状態に比べ、大気圧状態の方が、異物を運ぶ分子、原子が多く、異物を運ぶエネルギーが大きいからと言える。
また、ターボ分子ポンプ等の真空ポンプにより減圧下でN分子を排気すると、N分子はガス流中に粗に存在し、N分子の平均自由行程が大きいため、たとえNガスの流れを速くしても、パーティクルを分子流として排出することは困難である。熱によりブラウン運動しているパーティクルは、N分子に当たらずに、重力落下してしまう確率が高いからである。
これに対して、大気圧排気であると、ガス流速は、例えば、10cm/分程度と遅くなるものの、N分子は緻密にガス流中に存在し、パーティクルと衝突するため、パーティクルを排出することは容易である。ちょうど、炉内に導入側から排気側に向かうNガスの風が吹いて、その風と共にパーティクルが炉外へ吹き飛ばされるようになるからである。
実際に炉内のバスパージを減圧状態、大気圧状態で行う比較実験を行ったところ、大気圧で行う場合の方が、減圧で行う場合よりもパーティクル除去効果は遥かに大きいことが判明した。
また、減圧パージの場合、パージ後に炉内を大気圧に戻す工程が必要となり時間のロスとなるが、大気圧パージの場合、その工程が不要となり、時間の短縮が図れるというメリットもある。
また、減圧パージの場合、排気系やその周辺に付着した副生成物が昇華して炉内に逆流することもあるが、大気圧パージの場合、そのような問題も生じない。
なお、炉内を強制冷却するだけでパージしない場合、発生したパーティクルは炉口ゲートバルブ13上に落下することとなる。炉口ゲートバルブ13上に落下したパーティクルは、次の成膜を行う際には、炉口ゲートバルブ13上に保持されたまま炉外の退避位置14へ退避することとなる。すなわち次の成膜を行う際には、炉内にはパーティクルが存在しない状態とすることができ、次の処理に影響を与えることはない。なお、炉口ゲートバルブ13の上面には溝(凹部)が設けられており、この溝により落下したパーティクルを収容できるので炉口ゲートバルブ13を退避位置14へ移動させる際、パーティクルの落下を防止することができる。なお、退避位置14にパーティクル除去機構(吸引手段等)を設け、炉口ゲートバルブ13を退避させている間に炉口ゲートバルブ上のパーティクルを除去するようにしてもよい。
以上のような、反応炉1からウェハ10をアンロードさせ、反応炉1を気密に閉塞した状態で、反応炉1内の温度を少なくとも10℃/min以上、好ましくは20℃/min以上の降温レートで成膜温度の1/2程度以上降下させつつ、反応炉1内を大気圧状態にて不活性ガスパージする一連の動作は、制御装置20により、ヒータ5や強制冷却機構40、ガス供給系、排気系等を制御することにより行う。このようにして行う炉内パージを、低温パージまたはLTP(Low Temperature Purge)と呼ぶこととする。
LTPにおける炉内温度降下前の上昇時における好ましい昇温レートは、3℃/min以上、より好ましくは10〜100℃/min、更に好ましくは30〜100℃/minである。また、炉内温度降下時の好ましい降温レートは、3℃/min以上、より好ましくは10〜100℃/min、更に好ましくは20〜100℃/minである。
基板移載室11内でのウェハ10のボート9からの払出しが完了すると、次のバッチのウェハ10が、基板移載機によりボート9に所定枚数装填される(Wafer charge)。これと並行して、炉内温度をスタンバイ温度、例えば600℃まで昇温する。ボート9にウェハ10が装填されると、ボートエレベータ15によりボート9が上昇され、ボート9が反応炉1内にロードされ(Boat Load)、次バッチの処理が続行される。
LTP後、ボートロード前に炉内温度を400℃から600℃に昇温するのは、次の成膜におけるボートロード後の炉内昇温時間を短縮し、トータルでの成膜時間を短縮するためである。仮に、LTP後に炉内温度をLTPの降下終点温度である400℃に保持した場合、次の成膜では400℃でボートロードし、その後炉内温度を400℃から760℃まで360℃昇温させる必要があり、昇温時間が長くなる。LTP後に炉内温度を600℃まで昇温し保持しておけば、次の成膜では600℃でボートロードし、その後炉内温度を600℃から760℃まで160℃だけ昇温させればよく、昇温時間を短くすることができる。なお、ボートロード時の炉内温度を高くし過ぎると、ウェハが跳ねる問題があり、それも考慮し炉内温度を600℃に保持している。
上記ウェハ処理に於いて、ボートアンロード後反応炉1を気密に閉塞した状態で(反応炉1内にウェハ10がない状態で)、反応炉1内を大気圧Nパージの状態にて、大気圧排気する。並行して炉内温度を800℃から400℃まで強制冷却機構40にて20℃/min以上の降温レートで降下(低下)させる。斯かる温度降下処理をすることで、反応炉1内面に付着した反応副生成物堆積膜の応力を自然空冷(降温レート≒3℃/min)時よりも増大させて積極的に熱応力を発生させ、堆積膜に自然空冷時以上の強制的な亀裂を発生させる。更に、反応炉1内を大気圧ガスパージすることで、亀裂発生により飛散した微細パーティクルを強制的に、また効率的に反応炉1外に排出させる。
成膜時の炉内温度は、LTPにおける降温終点温度(400℃)よりも、数百度高く、一度降温処理(400℃)した堆積膜は、応力緩和がなされているため、次バッチ処理のSiN成膜時に新たな亀裂が発生することが避けられる。更に又、温度が高くなると前記堆積膜の応力は減少することが分かっており、成膜処理時には堆積膜の応力が低減する状態となるので、成膜処理時には新たな亀裂の発生の可能性は更に低くなる。
而して、堆積膜の亀裂を事前に発生させ、亀裂発生に伴う、微細パーティクルをボートロード前に反応炉1外へ強制的に排出するので、微細パーティクルのない状態でウェハ処理が行われる。また、堆積膜亀裂により発生するパーティクルを効率的に除去することができるので、反応炉1の洗浄は、堆積膜が剥離する状態前に行えばよい。また、本発明により堆積膜が剥離する状態となるまでの期間を大幅に延長することができるので、反応炉1の洗浄時期の間隔を大幅(堆積膜の膜厚が25μmとなるまで)に延長することができる。
なお、SiCはSiNと熱膨張率が近いので、SiCとSiNとの間には応力差はあまり生じない。よって、石英アウタチューブ3や石英インナチューブ4等の反応管をSiC製とした場合、LTPの効果はあまり期待できない。これに対して、SiO(石英)はSiNと熱膨張率の差が大きいので、SiOとSiNとの間の応力差は大きくなる。すなわち、LTPは石英製の反応管を用い、SiN膜の成膜を行う場合に特に有効となる。
次に、第1の実施例として、LTPにおける降温幅と発生するパーティクルの関係を究明するために行った実験について説明する。
上記実施形態におけるウェハ処理方法によりφ300mmのシリコンウェハにSiN膜、特に1回に成膜する膜厚が1500Å以上であるSi膜を生成した。反応ガスとしてはDCS(SiHCl)、NHを用い、成膜処理温度は730℃〜800℃とした。LTPにおける降温レートを20℃/minに固定した。降温幅を300℃、400℃、800℃の3通りに変化させてそれぞれ処理を行い、それぞれの場合における処理後のパーティクル数を測定した。
その測定結果(LTPにおける降温幅とパーティクルの関係)を図4に示す。横軸はLTPにおける降温幅(℃)を示しており、縦軸はウェハに付着した0.13μm以上のパーティクル数(個/wafer)を示している。図中、TとはTOP(頂部)のウェハ、BとはBOTTOM(底部)のウェハを示している。図4より、降温幅を300℃としたときは、パーティクル数が60〜70個程度であるのに対し、降温幅を400℃以下としたときは、パーティクル数は40個以下となることが分かる。すなわち、成膜温度730℃〜800℃に対して、降温幅を400℃(成膜温度の50%程度)以上とすれば、パーティクルを大幅に(少なくとも40個以下に)低減することができる。
次に、第2の実施例として、LTPにおける降温レートと発生するパーティクルの関係を究明するために行った実験について説明する。
上記実施形態におけるウェハ処理方法によりφ300mmのシリコンウェハにSiN膜、特に1回に成膜する膜厚が1500Å以上であるSi膜を生成した。反応ガスとしてはDCS(SiHCl)、NHを用い、成膜処理温度は730℃〜800℃とした。LTPにおける降温幅を400℃に固定した。降温レートを0℃/min、4℃/min、20℃/minの3通りに変化させてそれぞれ処理を行い、それぞれの場合における処理後のパーティクル数を測定した。
その測定結果(LTPにおける降温レートとパーティクルの関係)を図5に示す。横軸はLTPにおける降温レート(℃/min)を示しており、縦軸はウェハに付着した0.13μm以上のパーティクル数(個/wafer)を示している。図中、TとはTOP(頂部)のウェハ、BとはBOTTOM(底部)のウェハを示している。図5より、降温レートを0℃/minとしたとき(すなわち降温させなかった場合)は、パーティクル数はTOPで460個程度、BOTTOMで60個程度となった。降温レートを4℃/minとしたときは、パーティクル数はTOPで100個以上、BOTTOMで70個程度となった。これに対して、降温レートを20℃/minとしたときは、パーティクル数はTOP、BOTTOM共に30個以下となった。すなわち、LTPにおける降温レートを20℃/min以上とすれば、パーティクル数を大幅に(少なくとも30個以下に)低減することができる。なお、別の実験では、降温レートを少なくとも10℃/min以上とすれば、自然空冷する場合よりもパーティクル数を大幅に低減することができることを確認できた。
次に、第3の実施例として、LTP実施時の累積膜厚とパーティクルの関係を究明するために行った連続成膜の実験について説明する。
上記実施形態におけるウェハ処理方法によりφ300mmのシリコンウェハにSiN膜、特に1回に成膜する膜厚が1500Å(150nm)以上であるSi膜を生成した。反応ガスとしてはDCS(SiHCl)、NHを用い、成膜処理温度は730℃〜800℃とした。LTPにおける降温幅を400℃に、降温レートを20℃/minに固定した。ウェハクール時間は15分、ウェハ回収時間は15分であることから、スループットが低下しないようLTPは、この合計時間(30分)内に、これらのイベントと並行して行うようにした。本実施例では、LTPトータル時間30分(降温前の昇温時間10分、降温時間20分)とした。このような条件で、ウェハに対して連続バッチ処理を行い、それぞれのバッチ処理後にウェハに付着したパーティクル数を測定した。
その測定結果(累積膜厚とパーティクルの関係)を図6示す。横軸は連続バッチ処理回数(Run No.)を、左側の縦軸はウェハに付着した0.13μm以上のパーティクル数(個/wafer)を、右側の縦軸は累積膜厚(nm)を示している。図中、TOPとは頂部のウェハ、BOTTOMとは底部のウェハを示している。また棒グラフはパーティクル数を、折れ線グラフは累積膜厚を示している。図5より、Run No.119(119回目のバッチ処理)まで、すなわち、累積膜厚が23μm(23000nm)となる迄、パーティクル数が約50個以下となっていることが分かる。なお、本発明者らが更に実験したところ、累積膜厚が25μm(25000nm)を超えた状態でもパーティクル数は50個以下となることが確認できた。
本発明を実施しない場合、累積(堆積)膜厚が1μm(1000nm)を超えるとパーティクルの数は急激に増え、200個を遥かに超えた値となる。ところが、本発明を実施すると、累積膜厚が25μm(25000nm)を越えた状態でもパーティクル数は50個以下となる。本実施例の場合、1回のバッチ処理で堆積する膜厚は0.15μm(150nm)であり、従って、パーティクル数を50個以下に抑えて成膜することが可能な連続バッチ処理回数は、従来例では7回程度であったのが、本発明を実施することで167回程度となる。すなわち本発明により、反応炉の洗浄(クリーニング)時期の間隔を大幅に延ばすことができ、反応炉の洗浄の頻度を大幅に少なくすることができる。
明細書、特許請求の範囲、図面および要約書を含む2003年9月19日提出の日本国特許出願2003−327358号の開示内容全体は、そのまま引用してここに組み込まれる。
種々の典型的な実施の形態を示しかつ説明してきたが、本発明はそれらの実施の形態に限定されない。従って、本発明の範囲は、次の請求の範囲によってのみ限定されるものである。
以上説明したように、本発明の好ましい実施形態によれば、成膜処理前に反応炉内の生成堆積膜に強制的に亀裂を発生させ、亀裂発生に伴う微細パーティクルを排出するので、成膜処理時には微細パーティクルの発生を抑制でき、高品質の成膜処理が行え、又堆積膜が剥離する前に反応炉の洗浄を実施すればよいので洗浄時期の間隔が長くなり、保守性が向上すると共に稼働率が向上し、又従来に比較し処理時間も長くなることはない等の優れた効果を発揮する。
その結果、本発明は、CVD法による成膜工程を有する半導体装置の製造方法およびその成膜工程を好適に実施できる基板処理装置に特に好適に利用できる。
1 反応炉
2 炉口フランジ
3 石英アウタチューブ
4 石英インナチューブ
5 ヒータ
6 ガス導入ライン
8 排気装置
9 ボート
10 ウェハ(基板)
11 基板移載室
12 炉口シールキャプ
13 炉口ゲートバルブ
14 退避位置
15 ボートエレベータ
20 制御装置
30 排気ライン
31 メイン排気ライン
32 ハイフローベントライン
33 過加圧防止ライン
34 窒素ガス導入ライン
35 バルブ
36 バルブ
37 チェックバルブ
40 強制冷却機構
41 断熱カバー
42 供給ライン
43 排気ライン
44 排気孔
45 導入ブロア
46 シャッタ
47 シャッタ
48 ラジエータ
49 排気ブロア

Claims (12)

  1. 基板を反応炉内にロードする工程と、
    前記反応炉内で前記基板に成膜を行う工程と、
    前記成膜後の前記基板を前記反応炉よりアンロードする工程と、
    前記基板を前記反応炉内よりアンロードした後、前記反応炉内に前記基板がない状態で、前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内温度を自然空冷時の降温レートよりも大きな降温レートで降させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする工程と、
    を有し、
    前記基板を前記反応炉内にロードする工程では、前記反応炉内の温度を前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記基板を前記反応炉内よりアンロードする工程では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも高く前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記反応炉内をガスパージする工程では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも低い温度まで降温させる半導体装置の製造方法。
  2. 前記反応炉内をガスパージする工程では、前記反応炉内温度を一旦前記成膜時における前記反応炉内の温度よりも高い温度まで昇温させ、その後、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも低い温度まで降温させる請求項1記載の半導体装置の製造方法。
  3. 前記反応炉内をガスパージする工程の後に、前記反応炉内の温度を前記ロード時における前記反応炉内の温度まで昇温させる工程をさらに有する請求項1または2に記載の半導体装置の製造方法。
  4. 第1の温度に設定された反応炉内に基板をロードする工程と、
    前記反応炉内の温度を前記第1の温度よりも高い第2の温度まで昇温させる工程と、
    前記第2の温度に設定された前記反応炉内で前記基板に成膜を行う工程と、
    前記反応炉内の温度を前記第1の温度よりも高く前記第2の温度よりも低い第3の温度まで降温させる工程と、
    前記成膜後の前記基板を前記第3の温度に設定された前記反応炉内よりアンロードする工程と、
    前記基板を前記反応炉内よりアンロードした後、前記反応炉内に前記基板がない状態で、前記反応炉内の温度を一旦前記第2の温度よりも高い第4の温度まで昇温させ、その後、前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内の温度を自然空冷時の降温レートよりも大きな降温レートで前記第1の温度よりも低い第5の温度まで降温させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする工程と、
    を有する半導体装置の製造方法。
  5. 前記反応炉内をガスパージする工程の後に、前記反応炉内の温度を前記第1の温度まで昇温させる工程をさらに有する請求項4に記載の半導体装置の製造方法。
  6. 前記反応炉内をガスパージする工程では、前記反応炉内に前記基板がない状態で、かつ、前記反応炉内に前記成膜時に形成された堆積膜が付着した状態で、前記反応炉内の温度を自然空冷時の降温レートよりも大きな降温レートで降温させることで前記反応炉内に付着した前記堆積膜に強制的に亀裂を発生させ、その際、前記反応炉内をガスパージすることで前記亀裂発生時に生じるパーティクルを前記反応炉外に排出する請求項1乃至5のいずれか1項に記載の半導体装置の製造方法。
  7. 前記反応炉内をガスパージする工程では、前記反応炉内に前記基板がない状態で、かつ、前記反応炉内に前記成膜時に形成された堆積膜が付着した状態で、前記反応炉内の温度を自然空冷時の降温レートよりも大きな降温レートで降温させることで前記反応炉内に付着した前記堆積膜の応力を自然空冷時に生じる応力よりも増大させて前記堆積膜に強制的に亀裂を発生させ、その際、前記反応炉内をガスパージすることで前記亀裂発生時に生じるパーティクルを前記反応炉外に排出する請求項1乃至6のいずれか1項に記載の半導体装置の製造方法。
  8. 前記反応炉内をガスパージする工程では、前記反応炉内に前記基板がない状態で、かつ、前記反応炉内に前記成膜時に形成された堆積膜が付着した状態で、前記反応炉内の温度を自然空冷時の降温レートよりも大きな降温レートで降温させることで前記反応炉内に付着した前記堆積膜の応力を自然空冷時に生じる応力よりも増大させて、前記堆積膜に自然空冷時に生じる亀裂以上の強制的な亀裂を発生させ、その際、前記反応炉内をガスパージすることで前記亀裂発生時に生じるパーティクルを前記反応炉外に排出する請求項1乃至7のいずれか1項に記載の半導体装置の製造方法。
  9. 基板を反応炉内にロードする工程と、
    前記反応炉内で前記基板に成膜を行う工程と、
    前記成膜後の前記基板を前記反応炉内よりアンロードする工程と、
    前記基板を前記反応炉内よりアンロードした後、前記反応炉内に前記基板がない状態で、前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内の温度を自然空冷時の降温レートよりも大きな降温レートで降温させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする工程と、
    を有し、
    前記基板を前記反応炉内にロードする工程では、前記反応炉内の温度を前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記基板を前記反応炉内よりアンロードする工程では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも高く前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記反応炉内をガスパージする工程では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも低い温度まで降温させる基板処理方法。
  10. 第1の温度に設定された反応炉内に基板をロードする工程と、
    前記反応炉内の温度を前記第1の温度よりも高い第2の温度まで昇温させる工程と、
    前記第2の温度に設定された前記反応炉内で前記基板に成膜を行う工程と、
    前記反応炉内の温度を前記第1の温度よりも高く前記第2の温度よりも低い第3の温度まで降温させる工程と、
    前記成膜後の前記基板を前記第3の温度に設定された前記反応炉内よりアンロードする工程と、
    前記基板を前記反応炉内よりアンロードした後、前記反応炉内に前記基板がない状態で、前記反応炉内の温度を一旦前記第2の温度よりも高い第4の温度まで昇温させ、その後、前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内の温度を自然空冷時の降温レートよりも大きな降温レートで前記第1の温度よりも低い第5の温度まで降温させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする工程と、
    を有する基板処理方法。
  11. 基板に対して成膜を行う反応炉と、
    前記反応炉内に成膜ガスを供給する成膜ガス供給ラインと、
    前記反応炉内にパージガスを供給するパージガス供給ラインと、
    前記反応炉内を排気する排気ラインと、
    前記反応炉内に対して前記基板をロード/アンロードする搬送手段と、
    前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流すことで前記反応炉内を強制冷却する強制冷却手段と、
    前記反応炉内に前記基板をロードする処理と、前記反応炉内に成膜ガスを供給して前記基板に成膜を行う処理と、前記成膜後の前記基板を前記反応炉内よりアンロードする処理と、前記反応炉より前記基板をアンロードさせた後、前記反応炉内に前記基板がない状態で、前記断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内温度を自然空冷時の降温レートよりも大きな降温レートで降温させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする処理を行い、前記基板を前記反応炉内にロードする処理では、前記反応炉内の温度を前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記基板を前記反応炉内よりアンロードする処理では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも高く前記成膜時における前記反応炉内の温度よりも低い温度に設定し、前記反応炉内をガスパージする処理では、前記反応炉内の温度を前記ロード時における前記反応炉内の温度よりも低い温度まで降温させるよう前記各部の動作を制御する制御手段と、
    を有する基板処理装置。
  12. 基板に対して成膜を行う反応炉と、
    前記反応炉内に成膜ガスを供給する成膜ガス供給ラインと、
    前記反応炉内にパージガスを供給するパージガス供給ラインと、
    前記反応炉内を排気する排気ラインと、
    前記反応炉内に対して前記基板をロード/アンロードする搬送手段と、
    前記反応炉を覆って設けられた断熱カバーと前記反応炉との間の空間に冷却媒体を流すことで前記反応炉内を強制冷却する強制冷却手段と、
    第1の温度に設定された前記反応炉内に前記基板をロードする処理と、前記反応炉内の温度を前記第1の温度よりも高い第2の温度まで昇温させる処理と、前記第2の温度に設定された前記反応炉内に成膜ガスを供給して前記基板に成膜を行う処理と、前記反応炉内の温度を前記第1の温度よりも高く前記第2の温度よりも低い第3の温度まで降温させる処理と、前記成膜後の前記基板を前記第3の温度に設定された前記反応炉内よりアンロードする処理と、前記基板を前記反応炉内よりアンロードした後、前記反応炉内に前記基板がない状態で、前記反応炉内の温度を一旦前記第2の温度よりも高い第4の温度まで昇温させ、その後、前記断熱カバーと前記反応炉との間の空間に冷却媒体を流して前記反応炉内の温度を自然空冷時の降温レートよりも大きな降温レートで前記第1の温度よりも低い第5の温度まで降温させつつ、前記反応炉内にパージガスを流して前記反応炉内をガスパージする処理と、を行うよう前記各部の動作を制御する制御手段と、
    を有する基板処理装置。
JP2010010961A 2003-09-19 2010-01-21 半導体装置の製造方法、基板処理方法および基板処理装置 Active JP5190077B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010010961A JP5190077B2 (ja) 2003-09-19 2010-01-21 半導体装置の製造方法、基板処理方法および基板処理装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2003327358 2003-09-19
JP2003327358 2003-09-19
JP2010010961A JP5190077B2 (ja) 2003-09-19 2010-01-21 半導体装置の製造方法、基板処理方法および基板処理装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005514067A Division JPWO2005029566A1 (ja) 2003-09-19 2004-09-17 半導体装置の製造方法および基板処理装置

Publications (2)

Publication Number Publication Date
JP2010109387A JP2010109387A (ja) 2010-05-13
JP5190077B2 true JP5190077B2 (ja) 2013-04-24

Family

ID=34372869

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2005514067A Pending JPWO2005029566A1 (ja) 2003-09-19 2004-09-17 半導体装置の製造方法および基板処理装置
JP2009064091A Active JP5452043B2 (ja) 2003-09-19 2009-03-17 半導体装置の製造方法、基板処理方法および基板処理装置
JP2010010966A Active JP5199286B2 (ja) 2003-09-19 2010-01-21 半導体装置の製造方法、基板処理方法および基板処理装置
JP2010010961A Active JP5190077B2 (ja) 2003-09-19 2010-01-21 半導体装置の製造方法、基板処理方法および基板処理装置

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2005514067A Pending JPWO2005029566A1 (ja) 2003-09-19 2004-09-17 半導体装置の製造方法および基板処理装置
JP2009064091A Active JP5452043B2 (ja) 2003-09-19 2009-03-17 半導体装置の製造方法、基板処理方法および基板処理装置
JP2010010966A Active JP5199286B2 (ja) 2003-09-19 2010-01-21 半導体装置の製造方法、基板処理方法および基板処理装置

Country Status (6)

Country Link
US (3) US7955991B2 (ja)
JP (4) JPWO2005029566A1 (ja)
KR (3) KR100943588B1 (ja)
CN (3) CN101914760B (ja)
TW (3) TWI360179B (ja)
WO (1) WO2005029566A1 (ja)

Families Citing this family (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100943588B1 (ko) * 2003-09-19 2010-02-23 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP5028957B2 (ja) * 2005-12-28 2012-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
JP4844261B2 (ja) * 2006-06-29 2011-12-28 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2008100917A1 (en) * 2007-02-16 2008-08-21 Caracal, Inc. Epitaxial growth system for fast heating and cooling
JP2009272367A (ja) * 2008-05-01 2009-11-19 Hitachi Kokusai Electric Inc 基板処理装置
JP2010093023A (ja) * 2008-10-07 2010-04-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010140947A (ja) * 2008-12-09 2010-06-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8115235B2 (en) * 2009-02-20 2012-02-14 Intel Corporation Modulation-doped halo in quantum well field-effect transistors, apparatus made therewith, and methods of using same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011066106A (ja) * 2009-09-16 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5724713B2 (ja) * 2011-07-22 2015-05-27 東京エレクトロン株式会社 熱処理装置
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5546654B2 (ja) * 2013-02-01 2014-07-09 株式会社日立国際電気 基板処理装置、半導体製造方法、基板処理方法、及び異物除去方法
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2014199856A (ja) * 2013-03-29 2014-10-23 東京エレクトロン株式会社 縦型熱処理装置の運転方法及び記憶媒体並びに縦型熱処理装置
KR101552496B1 (ko) * 2013-04-19 2015-09-11 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5801374B2 (ja) 2013-12-27 2015-10-28 株式会社日立国際電気 半導体装置の製造方法、プログラム、及び基板処理装置
JP5848788B2 (ja) * 2014-02-12 2016-01-27 株式会社日立国際電気 基板処理装置、半導体製造方法、基板処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN104296529B (zh) * 2014-06-27 2016-06-15 长沙矿冶研究院有限责任公司 可用于ito靶材烧结的气氛钟罩炉
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
GB201421151D0 (en) * 2014-11-28 2015-01-14 Spts Technologies Ltd Method of degassing
US10375901B2 (en) 2014-12-09 2019-08-13 Mtd Products Inc Blower/vacuum
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
CN111771263A (zh) * 2018-02-23 2020-10-13 株式会社国际电气 清洁方法、半导体装置的制造方法、基板处理装置以及程序
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102349037B1 (ko) * 2018-09-17 2022-01-10 주식회사 원익아이피에스 웨이퍼 공정용 리액터의 가스 제어 장치
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN110310909B (zh) * 2019-07-15 2021-12-17 北京北方华创微电子装备有限公司 冷却装置及热处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
FI4013905T3 (fi) 2019-08-12 2023-05-19 Kurt J Lesker Company Erittäin puhtaat olosuhteet atomimittakaavan prosessointiin
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110643961B (zh) * 2019-09-20 2024-02-06 深圳市晶相技术有限公司 一种半导体设备的使用方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3973762A (en) * 1974-05-17 1976-08-10 Dravo Corporation Sintering process and apparatus
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4706011A (en) 1986-07-07 1987-11-10 Texas Instruments Incorporated High voltage pulse detector with controllable current consumption
JPS63181313A (ja) * 1987-01-22 1988-07-26 Mitsubishi Electric Corp 気相結晶成長装置
US4874464A (en) * 1988-03-14 1989-10-17 Epsilon Limited Partnership Process for epitaxial deposition of silicon
JPH01243515A (ja) * 1988-03-25 1989-09-28 Hitachi Ltd 熱処理装置
JPH03111552A (ja) * 1989-09-26 1991-05-13 Osaka Oxygen Ind Ltd 金属管酸化処理装置
CH676500A5 (ja) * 1990-05-18 1991-01-31 Werner Kunz
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
KR0155572B1 (ko) * 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
JPH0547681A (ja) * 1991-08-14 1993-02-26 Nikko Kyodo Co Ltd 気相成長方法
JP3098093B2 (ja) 1992-02-20 2000-10-10 三菱電機株式会社 化学気相成長装置
KR100251873B1 (ko) * 1993-01-21 2000-04-15 마쓰바 구니유키 종형 열처리 장치
US5637153A (en) * 1993-04-30 1997-06-10 Tokyo Electron Limited Method of cleaning reaction tube and exhaustion piping system in heat processing apparatus
US5484484A (en) * 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor
JPH07263370A (ja) * 1994-03-17 1995-10-13 Tokyo Electron Ltd 熱処理装置
JP3583467B2 (ja) * 1994-05-30 2004-11-04 株式会社東芝 半導体装置の製造装置及び製造方法
US5783046A (en) * 1994-11-28 1998-07-21 Gentech, Inc. Process and apparatus for the destructive distillation of rubber
JPH0982720A (ja) 1995-09-14 1997-03-28 Tokyo Electron Ltd 縦型熱処理装置
US6159300A (en) * 1996-12-17 2000-12-12 Canon Kabushiki Kaisha Apparatus for forming non-single-crystal semiconductor thin film, method for forming non-single-crystal semiconductor thin film, and method for producing photovoltaic device
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US5872017A (en) * 1997-01-24 1999-02-16 Seh America, Inc. In-situ epitaxial passivation for resistivity measurement
JPH10280153A (ja) * 1997-04-11 1998-10-20 Toshiba Mach Co Ltd プラズマcvd装置
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US20030164225A1 (en) * 1998-04-20 2003-09-04 Tadashi Sawayama Processing apparatus, exhaust processing process and plasma processing
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
KR20000003915A (ko) * 1998-06-30 2000-01-25 김영환 반도체 소자의 게이트 절연막 형성방법
JP3396431B2 (ja) * 1998-08-10 2003-04-14 東京エレクトロン株式会社 酸化処理方法および酸化処理装置
JP2000138168A (ja) 1998-10-29 2000-05-16 Shin Etsu Handotai Co Ltd 半導体ウェーハ及び気相成長装置
JP3159187B2 (ja) 1998-11-04 2001-04-23 日本電気株式会社 薄膜成膜方法
KR100480904B1 (ko) * 1998-12-24 2005-08-30 주식회사 하이닉스반도체 반응로및이를이용한단결정실리콘층형성방법
JP3818480B2 (ja) * 1999-04-21 2006-09-06 株式会社日立国際電気 半導体素子の製造方法及びその装置
US6235651B1 (en) * 1999-09-14 2001-05-22 Infineon Technologies North America Process for improving the thickness uniformity of a thin layer in semiconductor wafer fabrication
JP4437851B2 (ja) 1999-10-28 2010-03-24 東京エレクトロン株式会社 処理装置
US20010005553A1 (en) * 1999-11-10 2001-06-28 Witzman Matthew R. Linear aperture deposition apparatus and coating process
JP2001140054A (ja) * 1999-11-15 2001-05-22 Nec Kagoshima Ltd 真空成膜装置のクリーニング方法及び真空成膜装置
US6547922B2 (en) * 2000-01-31 2003-04-15 Canon Kabushiki Kaisha Vacuum-processing apparatus using a movable cooling plate during processing
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP2001257172A (ja) 2000-03-09 2001-09-21 Hitachi Kokusai Electric Inc 半導体製造装置
TW578214B (en) * 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
JP3644880B2 (ja) * 2000-06-20 2005-05-11 東京エレクトロン株式会社 縦型熱処理装置
JP4079582B2 (ja) 2000-09-28 2008-04-23 株式会社日立国際電気 熱処理装置および熱処理方法
KR100345304B1 (ko) * 2000-10-12 2002-07-25 한국전자통신연구원 수직형 초고진공 화학증착장치
US6413844B1 (en) * 2001-01-10 2002-07-02 Asm International N.V. Safe arsenic gas phase doping
JP4470325B2 (ja) * 2001-01-12 2010-06-02 株式会社島津製作所 成膜装置
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6844273B2 (en) * 2001-02-07 2005-01-18 Tokyo Electron Limited Precleaning method of precleaning a silicon nitride film forming system
US7053459B2 (en) * 2001-03-12 2006-05-30 Renesas Technology Corp. Semiconductor integrated circuit device and process for producing the same
JP2002280374A (ja) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2002299269A (ja) 2001-03-29 2002-10-11 Tokyo Electron Ltd 熱処理装置、および熱処理方法
JP4610771B2 (ja) 2001-04-05 2011-01-12 東京エレクトロン株式会社 縦型熱処理装置およびその強制空冷方法
JP2002317269A (ja) * 2001-04-18 2002-10-31 Hitachi Ltd 半導体装置の製造方法
JP2002334868A (ja) * 2001-05-10 2002-11-22 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP3421329B2 (ja) * 2001-06-08 2003-06-30 東京エレクトロン株式会社 薄膜形成装置の洗浄方法
JP4149687B2 (ja) 2001-07-19 2008-09-10 シャープ株式会社 熱処理方法
JP3660897B2 (ja) * 2001-09-03 2005-06-15 株式会社ルネサステクノロジ 半導体装置の製造方法
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
KR100438946B1 (ko) * 2001-10-12 2004-07-03 주식회사 엘지이아이 플라즈마 증착장비의 가열된 냉각수를 이용한 가스주입관응축방지장치
KR100499211B1 (ko) * 2001-11-13 2005-07-07 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP2003158080A (ja) 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
JP2003188115A (ja) * 2001-12-17 2003-07-04 Shin Meiwa Ind Co Ltd 半導体配線形成方法及び装置、半導体デバイス製造方法及び装置、並びにウエハ
JP2003203868A (ja) * 2002-01-07 2003-07-18 Hitachi Kokusai Electric Inc 半導体製造装置
JP4086146B2 (ja) * 2002-03-26 2008-05-14 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JPWO2004003995A1 (ja) * 2002-06-27 2005-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP4699675B2 (ja) * 2002-10-08 2011-06-15 信越半導体株式会社 アニールウェーハの製造方法
JP4382750B2 (ja) * 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
JP4264084B2 (ja) * 2003-03-03 2009-05-13 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
KR100527047B1 (ko) * 2003-07-01 2005-11-09 주식회사 아이피에스 박막증착방법
KR100943588B1 (ko) * 2003-09-19 2010-02-23 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
KR20060095951A (ko) * 2003-09-25 2006-09-05 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 기판의 제조 방법
TW200527513A (en) * 2003-11-20 2005-08-16 Hitachi Int Electric Inc Method for manufacturing semiconductor device and substrate processing apparatus
US7556839B2 (en) * 2004-03-29 2009-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and apparatus for processing substrate
WO2006049055A1 (ja) * 2004-11-01 2006-05-11 Hitachi Kokusai Electric Inc. 基板処理装置および半導体デバイスの製造方法
JP4225998B2 (ja) * 2004-12-09 2009-02-18 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
US7910494B2 (en) * 2006-03-29 2011-03-22 Tokyo Electron Limited Thermal processing furnace, gas delivery system therefor, and methods for delivering a process gas thereto
JP4809175B2 (ja) * 2006-09-28 2011-11-09 株式会社日立国際電気 半導体装置の製造方法
JP2008218984A (ja) * 2007-02-06 2008-09-18 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2009123795A (ja) * 2007-11-13 2009-06-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP4531833B2 (ja) * 2007-12-05 2010-08-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びクリーニング方法
JP5697849B2 (ja) * 2009-01-28 2015-04-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置

Also Published As

Publication number Publication date
US8636882B2 (en) 2014-01-28
KR20060066168A (ko) 2006-06-15
KR20070091229A (ko) 2007-09-07
JP5199286B2 (ja) 2013-05-15
KR100943588B1 (ko) 2010-02-23
KR100938534B1 (ko) 2010-01-25
TWI449104B (zh) 2014-08-11
CN101914760A (zh) 2010-12-15
TWI389204B (zh) 2013-03-11
JP2010098331A (ja) 2010-04-30
CN101429649B (zh) 2012-06-13
US7955991B2 (en) 2011-06-07
JP2010109387A (ja) 2010-05-13
US8231731B2 (en) 2012-07-31
KR100765681B1 (ko) 2007-10-12
JP2009135541A (ja) 2009-06-18
CN1823404A (zh) 2006-08-23
JPWO2005029566A1 (ja) 2007-11-15
CN101429649A (zh) 2009-05-13
CN1823404B (zh) 2012-08-29
US20070259532A1 (en) 2007-11-08
US20110239936A1 (en) 2011-10-06
WO2005029566A1 (ja) 2005-03-31
US20090239386A1 (en) 2009-09-24
KR20090055650A (ko) 2009-06-02
TW200514162A (en) 2005-04-16
CN101914760B (zh) 2012-08-29
TW201145390A (en) 2011-12-16
TWI360179B (en) 2012-03-11
TW201310530A (zh) 2013-03-01
JP5452043B2 (ja) 2014-03-26

Similar Documents

Publication Publication Date Title
JP5190077B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP4832494B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
KR101399177B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 기록매체
KR100859602B1 (ko) 기판처리 장치 및 반도체 디바이스의 제조방법
JP3818480B2 (ja) 半導体素子の製造方法及びその装置
KR101291957B1 (ko) 성막 장치, 그 운전 방법 및 상기 방법의 실행을 위한 기억 매체
JP2011066106A (ja) 半導体装置の製造方法及び基板処理装置
US8025739B2 (en) Method of manufacturing semiconductor device
WO2004057656A1 (ja) 基板処理装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121023

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130125

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160201

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5190077

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250