KR100943588B1 - 반도체 장치의 제조 방법 및 기판 처리 장치 - Google Patents

반도체 장치의 제조 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR100943588B1
KR100943588B1 KR1020097008212A KR20097008212A KR100943588B1 KR 100943588 B1 KR100943588 B1 KR 100943588B1 KR 1020097008212 A KR1020097008212 A KR 1020097008212A KR 20097008212 A KR20097008212 A KR 20097008212A KR 100943588 B1 KR100943588 B1 KR 100943588B1
Authority
KR
South Korea
Prior art keywords
reactor
substrate
cooling
film
unloading
Prior art date
Application number
KR1020097008212A
Other languages
English (en)
Other versions
KR20090055650A (ko
Inventor
겐이치 스자키
지에 왕
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20090055650A publication Critical patent/KR20090055650A/ko
Application granted granted Critical
Publication of KR100943588B1 publication Critical patent/KR100943588B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 반응로(1) 내에서 기판(10)에 성막을 행하는 공정과, 성막 후의 기판(10)을 반응로(1)로부터 언로드한 후, 반응로(1) 내에 기판(10)이 없는 상태에서 반응로(1) 내를 강제 냉각하는 공정을 갖는 반도체 장치의 제조 방법을 제공한다. 반응로(1) 내에 부착한 퇴적막의 응력을 자연 공랭시보다 증대시켜 적극적으로 열응력을 발생시키고, 퇴적막에 자연 공랭시 이상의 강제적인 균열을 발생시킨다. 균열의 발생에 의해 비산한 미세 입자는 대기압 상태에서의 노 내 퍼지에 의해 강제적으로, 또한 효율적으로 반응로 밖으로 배출된다.

Description

반도체 장치의 제조 방법 및 기판 처리 장치{PROCESS FOR PRODUCING SEMICONDUCTOR DEVICE AND SUBSTRATE TREATING APPARATUS}
본 발명은, 반도체 장치의 제조 방법 및 기판 처리 장치에 관한 것으로, 특히, CVD(Chemical Vapor Deposition) 처리에 의한 반도체 장치의 제조 방법 및 기판 처리 장치로, 제조 과정에서 발생하는 미세 입자(particle)의 저감을 목적으로 하는 반도체 장치의 제조 방법 및 기판 처리 장치에 관한 것이다.
반도체 장치를 제조하는 공정에서, 웨이퍼 등의 피처리 기판에 화학기상성장(CVD)법에 의해 성막 처리를 하는 것이 행해지고 있다.
이 성막 처리는, 예를 들면 다음과 같이 이루어진다. 즉, 소정 매수의 웨이퍼가 보트에 장전된다. 보트에 장전된 웨이퍼는 반응로 내에 넣어진다(로드(load)된다). 반응로 내부가 진공 배기되고, 반응로 내에 반응 가스가 도입되고, 웨이퍼에 성막 처리가 이루어진다.
성막 처리 완료 후, 반응로 내를 대기압으로 복귀시키고, 보트를 언로드(unload) 한다. 노(爐) 내로부터 보트를 완전히 인출한 상태에서 보트를 냉 각한다. 그와 동시에 반응로 내의 온도를 강하시키고, 가스 퍼지(감압 N2 퍼지)를 행한다. 이에 의해, 반응로 내벽에 부착한 퇴적막의 응력을 증대시켜 퇴적막에 균열을 발생시키고, 균열 발생시에 생기는 미세 입자를 가스 퍼지에 의해 배출한다(일본국 공개 공보 - 특허 공개 2000-306904호 참조).
이 경우, 반응로 내로부터 처리 완료 기판을 언로드한 상태에서 노 내 온도를 강하시킬 때, 예를 들면 자연 공랭의 온도 강하 레이트(rate)(≒3℃/min)로 노 내 온도를 성막 온도로부터 150℃ 정도, 수십분, 예를 들면 50분 정도 걸쳐 강하시켰다. 그러나, 3℃/min 정도의 온도 강하 레이트에서는, 퇴적막에 강제적으로 균열(퇴적막과 석영 반응관 사이의 열팽창율의 상이에 의한 열응력이 허용 한계치(퇴적막의 기계적 파괴강도)를 초과함으로써 발생하는 막 균열)을 발생시키는 것에 의한 입자 배출 효과는 낮고, 특히 φ300㎜ 웨이퍼의 처리에서는, 누적 막두께가 1.2㎛을 초과한 곳에서 입자가 다수 발생하고, 특히 φ300㎜ 웨이퍼의 처리에서는 입자 저감 효과가 극히 낮은 것이 판명되었다. 또한, 자연 공랭의 온도 강하(≒3℃/min)에서는 50분 정도의 시간이 필요로 되기 때문에 기판 처리 장치(반도체 제조 장치)의 가동률이 저하하고, 생산성이 악화한다는 문제가 있었다.
본 발명의 주된 목적은 입자 저감 효과가 우수하고, 생산성을 향상시킬 수 있는 반도체 장치의 제조 방법 및 기판 처리 장치를 제공하는 것에 있다.
본 발명의 한 형태에 의하면,
기판을 반응로 내에 로드(load)하는 공정과,
상기 반응로 내에서 상기 기판에 성막을 행하는 공정과,
성막 후의 상기 기판을 상기 반응로로부터 언로드하는 공정과,
상기 기판을 언로드한 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로 외부에 냉각매체로서 공기 또는 N2를 흘려서 상기 반응로 내를 강제 냉각하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면,
기판을 반응로 내에 로드하는 공정과,
상기 반응로 내에서 상기 기판에 성막을 행하는 공정과,
성막 후의 상기 기판을 상기 반응로로부터 언로드하는 공정과,
상기 기판을 언로드한 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로의 외측에 상기 반응로를 덮고 설치된 강제 냉각 기구에 의해, 상기 반응로에 냉각 매체를 직접 접촉시켜서 상기 반응로 내를 강제 냉각하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면,
기판을 반응로 내에 로드하는 공정과,
상기 반응로 내에서 상기 기판에 성막을 행하는 공정과,
성막 후의 상기 기판을 상기 반응로로부터 언로드하는 공정과,
상기 기판을 언로드한 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로 외부에 냉각 매체로서 공기 또는 N2를 흘려서 상기 반응로 내를 강제 냉각하면서, 상기 반응로 내부에 퍼지 가스를 흘려서 상기 반응로 내를 가스 퍼지하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면,
기판을 반응로 내에 로드하는 제1 공정과,
상기 반응로 내에서 상기 기판에 성막을 행하는 제2 공정과,
성막 후의 상기 기판을 상기 반응로로부터 언로드하는 제3 공정과,
상기 기판을 언로드한 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로 외부에 냉각매체로서 공기 또는 N2를 흘려서 상기 반응로 내를 강제 냉각하면서, 상기 반응로 내부에 가스를 흘려서 상기 반응로 내부를 가스 퍼지하는 제4 공정과,
상기 제1 내지 제4 공정을 반복하여 행한 뒤, 상기 반응로 내를 세정하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면,
기판에 대하여 성막을 행하는 반응로와,
상기 반응로 내에 성막 가스를 공급하는 성막 가스 공급 라인과,
상기 반응로 내에 퍼지 가스를 공급하는 퍼지 가스 공급 라인과,
상기 반응로 내를 배기하는 배기 라인과,
상기 반응로 내에 대해 상기 기판을 로드/언로드하는 반송 수단과,
상기 반응로 내를 강제 냉각하는 강제 냉각 수단과,
상기 반응로로부터 상기 기판을 언로드시킨 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로 외부에 냉각 매체로서 공기 또는 N2를 흘려서 상기 반응로 내를 강제 냉각하도록 상기 강제 냉각 수단을 제어하는 제어 수단을 갖는 것을 특징으로 하는 기판 처리 장치가 제공된다.
본 발명의 다른 형태에 의하면,
기판에 대하여 성막을 행하는 반응로와,
상기 반응로 내에 성막 가스를 공급하는 성막 가스 공급 라인과,
상기 반응로 내에 퍼지 가스를 공급하는 퍼지 가스 공급 라인과,
상기 반응로 내를 배기하는 배기 라인과,
상기 반응로 내에 대해 상기 기판을 로드/언로드하는 반송 수단과,
상기 반응로의 외측에 상기 반응로를 덮고 설치되어 상기 반응로 내를 강제 냉각하는 강제 냉각 수단과,
상기 반응로로부터 상기 기판을 언로드시킨 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로에 냉각 매체를 직접 접촉시켜서 상기 반응로 내를 강제 냉각하도록 상기 강제 냉각 수단을 제어하는 제어 수단을 갖는 것을 특징으로 하는 기판 처리 장치가 제공된다.
본 발명의 다른 형태에 의하면,
기판에 대하여 성막을 행하는 반응로와,
상기 반응로 내에 성막 가스를 공급하는 성막 가스 공급 라인과,
상기 반응로 내에 퍼지 가스를 공급하는 퍼지 가스 공급 라인과,
상기 반응로 내를 배기하는 배기 라인과,
상기 반응로 내에 대해 상기 기판을 로드/언로드하는 반송 수단과,
상기 반응로 내를 강제 냉각하는 강제 냉각 수단과,
상기 반응로로부터 상기 기판을 언로드시킨 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로 외부에 냉각 매체로서 공기 또는 N2를 흘려서 상기 반응로 내를 강제 냉각하면서, 상기 반응로 내부에 퍼지 가스를 흘려서 상기 반응로 내를 가스 퍼지하도록 상기 강제 냉각 수단과 상기 퍼지가스 공급라인을 제어하는 제어 수단을 갖는 것을 특징으로 하는 기판 처리 장치가 제공된다.
이상 설명한 바와 같이, 본 발명의 바람직한 실시형태에 의하면, 성막 처리 전에 반응로 내의 생성 퇴적막에 강제적으로 균열을 발생시켜, 균열 발생에 따른 미세 입자를 배출하기 때문에, 성막 처리시에는 미세 입자의 발생을 억제할 수 있고, 고품질의 성막 처리를 행할 수 있으며, 또한 퇴적막이 박리하기 전에 반응로의 세정을 실시하면 되기 때문에, 세정 시기의 간격이 길어지고, 보수성이 향상함과 동시에 가동률이 향상하고, 또한 종래에 비교하여 처리 시간도 길어지지 않는 등의 우수한 효과를 발휘한다.
그 결과, 본 발명은, CVD법에 의한 성막 공정을 갖는 반도체 장치의 제조 방법 및 그 성막 공정을 바람직하게 실시할 수 있는 기판 처리 장치에 특히 적합하게 이용할 수 있다.
본 발명의 바람직한 실시형태는, 반응로 내로부터 기판을 꺼낸 상태에서 급 냉 기구를 구비한 히터로 반응로 내를 10℃/min 이상, 바람직하게는 20℃/min 이상의 온도 강하 레이트로 급속 급랭함으로써 반도체 제조과정에서 반응로 내에 형성된 퇴적막에 균열을 강제적으로 발생시키고, 균열 발생시에 생기는 미세 입자를 대기압 가스 퍼지에 의해 강제적으로 배출하고, 웨이퍼로의 미세 입자의 부착을 저감시킴으로써, 반응로의 세정 빈도를 적게 하여 생산성을 향상시키고자 하는 것이다.
이하, 도면을 참조하면서 본 발명의 바람직한 실시형태를 설명한다. 우선 도 1, 도 2를 참조하여 본 발명의 바람직한 실시형태에 관한 CVD 성막 처리를 행하는 기판 처리 장치로서의 반도체 제조 장치를 설명한다. 도 1, 도 2에 나타내는 반도체 제조 장치는, 핫월(Hot Wall) 타입의 배치(batch) 처리식 종형 반도체 제조 장치이다.
도 1은, 웨이퍼(10)를 탑재한 보트(9)를 반응로(1) 내에 로드하고, 노구(爐口) 플랜지(2)의 하측 개구부를 노구 실캡(seal cap)(12)으로 닫은 상태를 나타내며, 도 2는 웨이퍼(10)를 탑재한 보트(9)를 반응로(1)로부터 이재실(移載室)(11)로 언로드하고, 노구 플랜지(2)의 하측 개구부를 노구 게이트 밸브(13)로 닫은 상태를 나타내고 있다.
반응로(1)는 금속제의 노구 플랜지(2), 노구 플랜지(2)에 기밀하게 세워져 설치된 석영 아우터 튜브(3), 석영 아우터 튜브(3) 내에 동심으로 설치된 석영 인너 튜브(4), 석영 아우터 튜브(3)의 외측에 석영 아우터 튜브(3)를 둘러싸도록 설치된 히터(5) 등에 의해 핫월 타입의 반응로로서 구성되어 있다.
석영 아우터 튜브(3) 및 히터(5)를 덮도록 강제 냉각 기구(40)가 설치되어 있다. 강제 냉각 기구(40)는 석영 아우터 튜브(3) 및 히터(5)를 덮도록 설치된 단열 커버(41)와, 단열 커버(41)의 내부 공간에 연통하여 설치된 공급 라인(42)과, 단열 커버(41)의 천정부의 배기 구멍(44)을 통해 단열 커버(41)의 내부 공간에 연통하여 설치된 배기 라인(43)을 구비하고 있다. 공급 라인(42)에는 도입 블로워(blower)(45)와 셔터(46)가 설치되어 있다. 배기 라인(43)에는 셔터(47)와 라디에이터(48)와 배기 블로워(49)가 설치되어 있다.
반응로(1)의 내부에는 반응 가스를 도입하는 가스 도입 라인(6, 7)이 연통하는 동시에 배기 라인(30)이 연통하고 있다. 가스 도입 라인(6, 7)은 노구 플랜지(2)의 석영 인너 튜브(4) 하단보다 하방의 부분에 접속하고 있다. 배기 라인(30)은 노구 플랜지(2)의 석영 아우터 튜브(3) 하단보다 하방으로 석영 인너 튜브(4) 하단보다 상방의 부분에 접속하고 있다. 배기 라인(30)은, 진공 펌프 등의 배기장치(8)에 연통하는 메인 배기 라인(31), 메인 배기 라인(31)으로부터 분기하여 설치되는 하이 플로 벤트(HFV:High Flow Vent) 라인(32), 메인 배기 라인(31)으로부터 분기하여 설치되는 슬로 배기 라인(도시 생략), 메인 배기 라인(31)으로부터 분기하여 설치되는 과가압 방지 라인(33) 및 질소가스 도입 라인(34)을 갖고 있다. 메인 배기 라인(31)의 하이 플로 벤트 라인(32)과의 분기점보다 하류측에는, 메인 밸브로서의 APC 밸브가 설치되어 있다. 슬로 배기 라인은 이 APC 밸브를 바이패스하도록 설치되어 있다.
하이 플로 벤트 라인(32)은 건물 부대 설비의 배기 설비에 연통하고 있다. 하이 플로 벤트 라인(32)은 메인 배기 라인(31), 슬로 배기 라인(도시 생략), 과가 압 방지 라인(33)보다 배기 유량이 커지도록 설정되어 있고, 대기압으로 대유량의 가스를 보낼 수 있다. 하이 플로 벤트 라인(32)의 내경은 메인 배기 라인(31)의 내경보다 작고, 슬로 배기 라인(도시 생략), 과가압 방지 라인(33)의 내경보다 크다. 하이 플로 벤트 라인(32)은 밸브(35)를 구비하고 있고, 이 밸브(35)와 APC 밸브를 절환함으로써 배기 루트를 메인 배기 라인(31)과 하이 플로 벤트 라인(32)으로 절환할 수 있도록 되어 있다.
과가압 방지 라인(33)은 밸브(36) 및 체크 밸브(37)를 구비하고, 메인 배기 라인(31) 내, 즉 반응로(1) 내가 대기압 이상이 되면 체크 밸브(37)가 열려 체크 밸브(37)를 통해 메인 배기 라인(31) 내의 분위기가 배기되기 때문에, 메인 배기 라인(31) 내, 즉 반응로(1) 내가 대기압 이상의 과가압이 되는 것을 방지한다.
반응로(1) 하방의 기판 이재실(11)에는 보트 운송(승강) 수단으로서의 보트 엘리베이터(15)가 설치되고, 보트(9)를 승강시켜 반응로(1) 내에 보트(9)를 로드·언로드하게 되어 있다. 피처리 기판인 웨이퍼(10)는 보트(9)에 수평 자세로 서로 틈을 갖고 다단으로 장전된다. 보트(9)는, 예를 들면 석영제로 할 수 있다.
도 1에 도시하는 바와 같이, 보트(9)를 반응로(1) 내에 로드하고, 노구 플랜지(2)의 하측 개구부를 노구 실캡(12)으로 닫은 상태일 때는, 노구 게이트 밸브(13)가 대피 위치(14)로 대피하고 있다. 도 2에 도시하는 바와 같이, 보트(9)를 반응로(1)로부터 이재실(11)로 언로드했을 때에는, 노구 플랜지(2)의 하측 개구부를 노구 게이트 밸브(13)로 닫는다.
제어 장치(20)에 의해 히터(5)에 의한 가열, 강제 냉각 장치(40)에 의한 냉 각, 가스 도입 라인(6, 7)에 의한 가스 도입, 밸브 전환에 의한 배기 라인의 선택, 배기 라인에 의한 배기 등이 제어된다.
이하, 상기 장치를 이용하여 반도체 장치의 제조 공정의 한 공정으로서 반도체 실리콘 웨이퍼에 CVD법에 의해 성막 처리를 실시하는 방법에 관해 도 1 내지 도 3을 참조하여 설명한다. 또, 이하의 설명에서, 본 장치를 구성하는 각 부의 동작은 제어 장치(20)에 의해 제어된다.
상술한 바와 같이, 반응로(1)의 아래쪽에는 기판 이재실(11)이 존재하고, 보트(9)가 기판 이재실(11) 내로 강하한 상태에서, 도시하지 않은 기판 이재기에 의해 보트(9)에 소정 매수의 웨이퍼(10)가 장전된다(Wafer Charge). 이 상태에서는, 반응로(1) 내의 분위기는 대기압으로 유지되어 있고, 보트(9)로의 웨이퍼(10)의 장전과 병행하여 반응로(1) 내로의 불활성 가스, 예를 들면 N2의 도입이 이루어져 있다. 또, 이때 반응로(1) 내의 온도는 600℃로 설정되어 있다.
다음으로, 보트 엘리베이터(15)에 의해 보트(9)가 상승되고, 보트(9)가 600℃의 온도로 설정된 반응로 내(1)에 로드된다(Boat Load). 보트(9)를 반응로(1) 내에 로드한 후, 슬로 배기 라인을 통해 배기 장치(8)에 의해 완만히 반응로(1)의 내부가 진공 배기된다(Slow Pump). 반응로(1) 내의 압력이 소정의 압력까지 저하하면, APC 밸브를 열어 메인 배기 라인(31)을 통해 배기 장치(8)에 의해 반응로(1)의 내부가 진공 배기되어 소정의 압력에 도달한다.
반응로(1) 내의 온도를 600℃로부터 730℃∼800℃, 예를 들면, 760℃의 성막 온도까지 온도 상승시키고(Ramp Up), 웨이퍼 온도가 성막 온도에 도달하여 안정화된 곳(Pre Heat)에서 반응 가스가 가스 도입 라인(6, 7)으로부터 반응로(1) 내에 도입되고, 웨이퍼(10)에 성막 처리가 이루어진다(Depo). 예를 들면, 웨이퍼(10) 상에 Si3N4막(질화 실리콘막, 이하, SiN이라 한다.)을 성막하는 경우에는, DCS(디클로로 실란(SiH2Cl2)), NH3 등의 가스가 이용된다. 이 경우, 반응로(1) 내는 730℃∼800℃의 성막 온도로 유지되게 된다.
성막 처리 완료 후, 반응로(1) 내에 불활성 가스(예를 들면, N2)를 도입하면서 배기함으로써 반응로(1) 내를 가스 퍼지하고, 잔류 가스를 제거한다(Purge). 그 후, 메인 밸브를 닫고, 불활성 가스의 도입을 유지함으로써 반응로(1) 내를 대기압으로 복귀시킨다(Back Fill). 그 후, 보트 엘리베이트에 의해 보트(9)에 의해 지지된 성막 후의 웨이퍼(11)를 반응로(1) 내로부터 강하시켜 기판 이재실(11) 내로 언로드한다(Boat Down).
또, 보트(9)의 언로드 전에 노 내 온도를 760℃로부터 700℃로 온도 강하하고 있는데, 이는 보트 언로드 속도를 올리기 위함이다. 즉, 보트 언로드 시에 있어서의 반응로(1) 내 온도를 성막 온도(760℃)보다 낮은 온도(700℃)로 하는 편이, 보트 언로드시에서의 웨이퍼면 내의 온도차를 작게 할 수 있고, 웨이퍼의 휨량도 작아진다. 그러한 상태이면 웨이퍼에 악영향을 미치지 않고, 어느 정도 빠르게 보트 다운할 수 있다. 또한, 보트 언로드 시의 주변 부재로의 열 영향을 완화하기 위해서라도 온도를 약간 낮추고 있다.
언로드 후, 반응로의 개구(보트 출입구), 즉 노구 플랜지(2)의 개구를 노구 게이트 밸브(13)에 의해 기밀하게 폐색한다(도 2 참조). 그 후, 기판 이재실(11) 내에서, 성막 처리 후의 웨이퍼(10)를 냉각한다(Wafer Cool). 기판 이재실(11) 내에서의 웨이퍼(10) 냉각이 완료하면, 도시하지 않은 기판 이재기에 의해, 웨이퍼(10)를 보트(9)로부터 배출한다(W/F Discharge).
이 웨이퍼(10)의 냉각(Wafer Cool), 배출(W/F Discharge)과 병행하여, 기밀하게 폐색한 반응로(1) 내를 대기압 상태로 불활성 가스를 이용하여 가스 퍼지한다. 예를 들면, N2 퍼지를 행한다. 퍼지를 행할 때는, 가스 도입 라인(6, 7)으로부터 반응로(1) 내에 20L/min 이상의 대유량의 N2을 공급하면서, 메인 배기 라인(31)으로부터 분기하여 설치된 하이 플로 벤트 라인(32)을 통해 배기하도록 하는 것이 바람직하다. 이 경우, 밸브(35)를 열고 메인 밸브를 닫게 된다.
이 대기압 상태에서의 노 내 퍼지와 동시에, 반응로(1) 내의 온도를 강제 냉각 기구(40)로 자연 공랭시의 온도 강하 레이트(≒3℃/min)보다 큰 온도 강하 레이트로 강하(저하)시키고, 노 내 온도를 급격히 변동시킨다. 이에 의해, 반응로(1) 내에 부착한 퇴적막의 응력을 자연 공랭시보다 증대시켜 적극적으로 열 응력을 발생시키고, 퇴적막에 자연 공랭시 이상의 강제적인 균열을 발생시킨다. 균열의 발생에 의해 비산한 미세 입자는 대기압 상태에서의 노 내 퍼지에 의해 강제적으로, 또한 효율적으로 반응로 밖으로 배출되게 된다. 강제 냉각 기구(40)로 로 내 온도 를 강하시킬 때에는, 셔터(46,47)를 개방하고, 배기 블로워(49)로 단열 커버(41) 내의 고온의 분위기 가스를 배기하는 동시에, 도입 블로워(45)에 의해 공기나 N2 등의 냉각 매체를 단열 커버(41) 내에 도입한다.
온도 강하 레이트는 적어도 10℃/min 이상, 바람직하게는 20℃/min 이상으로 하는 것이 좋다. 노 내 온도 강하에 대해서는, 반응로(1) 내의 온도를 적어도 성막 온도의 1/2(50%) 정도 이하의 온도까지 강하시키는 설정으로 한다. 즉, 온도 강하폭(양)을 적어도 성막 온도의 1/2(50%) 정도 이상으로 한다. 예를 들면, 성막 온도가 730∼800℃ 정도인 경우, 800℃로부터 400℃까지 반응로(1) 내의 온도를 강하시키는 설정으로 한다.
또, 반응로(1) 내의 온도를 강하시키기 전에, 반응로(1) 내 온도를 일단 성막 온도보다 높은 온도까지 상승시키고, 그 후 성막 온도보다 낮은 온도까지 강하시키도록 해도 된다. 도 3의 경우, 보트 다운 후, 반응로(1) 내 온도를 일단 보트 다운 시의 노 내 온도(700℃)보다 높고, 또한 성막 온도(760℃)보다 높은 온도인 800℃까지 40℃/min의 온도 상승 레이트로 상승시키고, 그 후 성막 온도보다 낮은 온도인 400℃까지 20℃/min의 온도 강하 레이트로 강하시키도록 하고 있다. 이와 같이, 노 내 온도를 강하시키기 전에 일단 상승시키도록 하면, 온도 강하 종점 온도를 그만큼 낮게 하지 않고, 강하 온도폭(온도차)을 크게 할 수 있기 때문에, 온도 강하 후의 온도 상승 시간을 짧게 할 수 있다.
이와 같이, 노 내 온도 강하전의 상승은 온도 강하 종점 온도를 그만큼 낮게 하지 않고 온도차(강하 온도폭)를 크게 하기 위해 행하고 있다. 생략할 수도 있지만, 그 경우, 온도차(강하 온도폭)가 작아지고, 입자 저감 효과가 떨어진다. 입자 저감 효과를 떨어뜨리지 않기 위해서는, 온도차(강하 온도폭)를 크게 하기 위해서 온도 강하 종점 온도를 보다 낮게 할 필요가 있는데, 그렇게 하면 온도 강하 후의 온도 상승 시간이 길어져, 처리률(throughput)이 나빠진다.
또, 노 내 온도 강하전의 상승시에도, 노 내 온도를 급격히 변동시키고 있기 때문에, 노 내에 부착한 퇴적막에는 어느 정도 균열이 발생하고 있다고 생각된다. 단, 이론 계산에 의하면, 노 내 온도 강하시 쪽이 석영(노벽)과 퇴적막 사이의 스트레스 차가 커져, 보다 균열이 발생하고 있는 것이라 생각된다.
또, 강제 냉각(급속 급랭)을 행하지 않고, 노 내 온도를 800℃부터 천천히 400℃까지 강하시키면서 퍼지하는 실험을 행한바, 노 내에 부착한 퇴적막에는 균열은 그다지 발생하지 않고, 효과는 불충분하였다. 즉, 온도차(강하 온도폭)를 크게 하는 것만으로는 충분한 효과는 얻어지지 않음을 알 수 있었다. 충분한 효과를 얻기 위해서는, (1)온도차(강하 온도폭)와, (2)온도 강하 속도의 양쪽을 크게 할 필요가 있다.
노 내의 강제 냉각과 동시에 행하는 반응로(1) 내의 불활성 가스를 이용한 가스 퍼지는 감압 상태에서 행하는 경우에 비해, 대기압 상태에서 행하는 경우 쪽이 입자 제거 효과가 크다는 장점이 있다. 이는 감압 상태에 비해, 대기압 상태 쪽이 이물(異物)을 나르는 분자, 원자가 많고, 이물을 나르는 에너지가 크기 때문이라고 할 수 있다.
또한, 터보 분자 펌프 등의 진공 펌프에 의해 감압하에서 N2 분자를 배기하면, N2 분자는 가스류 중에 성기게 존재하고, N2 분자의 평균 자유 행정이 크기 때문에, 설령 N2 가스의 흐름을 빨리 해도 입자를 분자류로 하여 배출하는 것은 곤란하다. 열에 의해 브라운 운동하고 있는 입자는 N2 분자에 부딪히지 않고, 중력 낙하해 버릴 확률이 높기 때문이다.
이에 대해, 대기압 배기이면, 가스 유속은, 예를 들면 1Ocm/분 정도로 늦어지긴 하지만, N2 분자는 치밀하게 가스류 중에 존재하여 입자와 충돌하기 때문에, 입자를 배출하는 것은 용이하다. 때맞춰, 노 내에 도입측으로부터 배기측으로 향하는 N2 가스의 바람이 불어, 그 바람과 함께 입자가 노 밖으로 날아가게 되기 때문이다.
실제로 노 내의 버스 퍼지를 감압 상태, 대기압 상태에서 행하는 비교 실험을 행한바, 대기압에서 행하는 경우 쪽이 감압에서 행하는 경우보다 입자 제거 효과는 훨씬 큰 것이 판명되었다.
또한, 감압 퍼지의 경우, 퍼지 후에 노 내를 대기압으로 되돌리는 공정이 필요해져 시간이 낭비되지만, 대기압 퍼지의 경우, 그 공정이 불필요해져 시간의 단축을 꾀할 수 있다는 장점도 있다.
또한, 감압 퍼지의 경우, 배기계나 그 주변에 부착한 부생성물이 승화(昇華)하여 노 내에 역류하는 일도 있는데, 대기압 퍼지의 경우, 그러한 문제도 발생하지 않는다.
또, 노 내를 강제 냉각할 뿐 퍼지하지 않을 경우, 발생한 입자는 노구 게이트 밸브(13) 상에 낙하하게 된다. 노구 게이트 밸브(13) 상에 낙하한 입자는 다음의 성막을 행할 때에는, 노구 게이트 밸브(13) 상에 유지된 채 노 밖의 퇴피 위치(14)로 퇴피하게 된다. 즉, 다음의 성막을 행할 때에는, 노 내에는 입자가 존재하지 않는 상태로 할 수 있어, 다음의 처리에 영향을 주지 않는다. 또, 노구 게이트 밸브(13)의 상면에는 홈(오목부)이 설치되어 있고, 이 홈에 의해 낙하한 입자를 수용할 수 있기 때문에, 노구 게이트 밸브(13)를 퇴피 위치(14)로 이동시킬 때, 입자의 낙하를 방지할 수 있다. 또, 퇴피 위치(14)에 입자 제거 기구(흡인 수단 등)를 설치하고, 노구 게이트 밸브(13)를 퇴피시키고 있는 동안에 노구 게이트 밸브 상의 입자를 제거하도록 해도 된다.
이상과 같은, 반응로(1)로부터 웨이퍼(10)를 언로드시키고, 반응로(1)를 기밀하게 폐색한 상태에서, 반응로(1) 내의 온도를 적어도 10℃/min 이상, 바람직하게는 20℃/min 이상의 온도 강하 레이트로 성막 온도의 1/2 정도 이상 강하시키면서 반응로(1) 내를 대기압 상태로 불활성 가스 퍼지하는 일련의 동작은, 제어 수단(20)에 의해 히터(5)나 강제 냉각 장치(40), 가스 공급계, 배기계 등을 제어함으로써 행한다. 이렇게 하여 행하는 노 내 퍼지를, 저온 퍼지 또는 LTP(Low Temperature Purge)로 부르기로 한다.
LTP에서의 노 내 온도 강하전의 상승 시에 있어서의 바람직한 온도 상승 레이트는 3℃/min 이상, 보다 바람직하게는 10∼100℃/min, 더 바람직하게는 30∼100 ℃/min이다. 또한, 노 내 온도 강하시의 바람직한 온도 강하 레이트 3℃/min 이상, 보다 바람직하게는 10∼100℃/min, 더 바람직하게는 20∼100℃/min이다.
기판 이재실(11) 내에서의 웨이퍼(10)의 보트(9)부터의 배출이 완료하면, 다음의 배치(batch)의 웨이퍼(10)가 기판 이재기에 의해 보트(9)에 소정 매수 장전된다(Wafer charge). 이와 병행하여, 노 내 온도를 스탠바이 온도, 예를 들면 600℃까지 온도 상승한다. 보트(9)에 웨이퍼(10)가 장전되면, 보트 엘리베이터(15)에 의해 보트(9)가 상승되고, 보트(9)가 반응로(1) 내에 로드되고(Boat Load), 다음 배치의 처리가 속행된다.
LTP 후, 보트 로드 전에 노 내 온도를 400℃로부터 600℃로 온도 상승하는 것은, 다음 성막에서의 보트 로드 후의 노 내 온도 상승 시간을 단축하고, 총 성막 시간을 단축하기 위함이다. 가령, LTP 후에 노 내 온도를 LTP의 강하 종점 온도인 400℃로 유지한 경우, 다음 성막에서는 400℃에서 보트 로드하고, 그 후 노 내 온도를 400℃로부터 760℃까지 360℃ 온도 상승시킬 필요가 있어, 온도 상승 시간이 길어진다. LTP 후에 노 내 온도를 600℃까지 온도 상승하여 유지해 두면, 다음 성막에서는 600℃에서 보트 로드하고, 그 후 노 내 온도를 600℃로부터 760℃까지 160℃만 온도 상승시키면 되어, 온도 상승 시간을 짧게 할 수 있다. 또, 보트 로드시의 노 내 온도를 너무 높게 하면, 웨이퍼가 튈 문제가 있어, 그것도 고려하여 노 내 온도를 600℃로 유지하고 있다.
상기 웨이퍼 처리에서, 보트 언로드 후 반응로(1)를 기밀하게 폐색한 상태에 서(반응로(1) 내에 웨이퍼(10)가 없는 상태에서), 반응로(1) 내를 대기압 N2 퍼지의 상태로 대기압 배기한다. 병행하여, 노 내 온도를 800℃로부터 400℃까지 강제 냉각 기구(40)로 2O℃/min 이상의 온도 강하 레이트로 강하(저하)시킨다. 이러한 온도강하 처리를 함으로써, 반응로(1) 내면에 부착한 반응 부생성물 퇴적막의 응력을 자연 공랭(온도 강하 레이트≒3℃/min) 시보다 증대시켜 적극적으로 열응력을 발생시키고, 퇴적막에 자연 공랭시 이상의 강제적인 균열을 발생시킨다. 또, 반응로(1) 내를 대기압 가스 퍼지함으로써, 균열 발생에 의해 비산한 미세 입자를 강제적으로, 또한 효율적으로 반응로(1) 밖으로 배출시킨다.
성막 시의 노 내 온도는 LTP에서의 온도 강하 종점 온도(400℃)보다 수백도 높고, 일단 온도 강하 처리(400℃)한 퇴적막은 응력 완화가 이루어져 있기 때문에, 다음 배치 처리의 SiN 성막시에 새로운 균열이 발생하는 것을 피할 수 있다. 또, 온도가 높아지면, 상기 퇴적막의 응력은 감소하는 것을 알고 있고, 성막 처리 시에는 퇴적막의 응력이 저감하는 상태가 되기 때문에, 성막 처리 시에는 새로운 균열의 발생의 가능성은 더 낮아진다.
그리하여, 퇴적막의 균열을 사전에 발생시키고, 균열 발생에 따른 미세 입자를 보트 로드전에 반응로(1) 밖으로 강제적으로 배출하기 때문에, 미세 입자가 없는 상태에서 웨이퍼 처리가 행하여진다. 또한, 퇴적막 균열에 의해 발생하는 입자를 효율적으로 제거할 수 있기 때문에, 반응로(1)의 세정은 퇴적막이 박리하는 상태 전에 행하면 좋다. 또한, 본 발명에 의해 퇴적막이 박리하는 상태가 되기까지 의 기간을 대폭 연장할 수 있기 때문에, 반응로(1)의 세정 시기의 간격을 대폭(퇴적막의 막두께가 25㎛가 될 때까지) 연장할 수 있다.
또, SiC는 SiN과 열팽창률이 가깝기 때문에, SiC와 SiN 사이에는 응력차는 그다지 생기지 않는다. 따라서, 아우터 튜브(3)나 인너 튜브(4) 등의 반응관을 SiC제로 한 경우, LTP의 효과는 그다지 기대할 수 없다. 이에 대해, SiO2(석영)은 SiN과 열팽창률의 차가 크기 때문에, SiO2와 SiN 사이의 응력차는 커진다. 즉, LTP은 석영제의 반응관을 이용하여, SiN 막의 성막을 행하는 경우에 특히 유효해진다.
실시예 1
다음으로, 제1 실시예로서, LTP에서의 온도 강하 폭과 발생하는 입자의 관계를 구명(究明)하기 위해 행한 실험에 관해 설명한다.
상기 실시형태에서의 웨이퍼 처리 방법에 의해 φ300㎜의 실리콘 웨이퍼에 SiN막, 특히 1회에 성막하는 막두께가 1500Å 이상인 Si3N4 막을 생성하였다. 반응 가스로서 DCS(SiH2Cl2), NH3를 이용하고, 성막 처리 온도는 730℃∼800℃로 하였다. LTP에서의 온도 강하 레이트를 20℃/min으로 고정하였다. 온도 강하 폭을 300℃, 400℃, 800℃의 3가지로 변화시켜 각각 처리를 행하고, 각각의 경우에서의 처리 후의 입자 수를 측정하였다.
그 측정 결과(LTP에서의 온도 강하 폭과 입자의 관계)를 도 4에 나타낸다. 가로축은 LTP에서의 온도 강하 폭(℃)을 나타내고 있고, 세로축은 웨이퍼에 부착한 0.13㎛ 이상의 입자 수(개/wafer)를 나타내고 있다. 도면 중, T란 TOP(꼭대기부) 의 웨이퍼, B란 BOTTOM(바닥부)의 웨이퍼를 나타내고 있다. 도 4로부터, 온도 강하폭을 300℃로 하였을 때는 입자 수가 60∼70개 정도인데 대해, 온도 강하폭을 400℃ 이하로 하였을 때는 입자 수는 40개 이하가 되는 것을 알 수 있다. 즉, 성막 온도 730℃∼800℃에 대해 온도 강하폭을 400℃(성막 온도의 50% 정도) 이상으로 하면, 입자를 대폭(적어도 40개 이하로) 저감할 수 있다.
실시예 2
다음으로, 제 2 실시예로서, LTP에서의 온도 강하 레이트와 발생하는 입자의 관계를 구명하기 위해 행한 실험에 관해 설명한다.
상기 실시형태에서의 웨이퍼 처리 방법에 의해 φ300㎜의 실리콘 웨이퍼에 SiN막, 특히 1회에 성막하는 막두께가 1500Å 이상인 Si3N4 막을 생성하였다. 반응 가스로서는 DCS(SiH2Cl2), NH3를 이용하고, 성막 처리 온도는 730℃∼800℃로 하였다. LTP에서의 온도 강하폭을 400℃로 고정하였다. 온도 강하 레이트를 0℃/min, 4℃/min, 20℃/min의 3가지로 변화시켜 각각 처리를 행하고, 각각의 경우에서의 처리 후의 입자 수를 측정하였다.
그 측정 결과(LTP에서의 온도 강하 레이트와 입자의 관계)를 도 5에 나타낸다.가로축은 LTP에서의 온도 강하 레이트(℃/min)를 나타내고 있고, 세로축은 웨이퍼에 부착한 0.13㎛ 이상의 입자 수(개/웨이퍼)를 나타내고 있다. 도면 중, T란 TOP(꼭대기부)의 웨이퍼, B란 BOTTOM(바닥부)의 웨이퍼를 나타내고 있다. 도 5로부터, 온도 강하 레이트를 0℃/min으로 하였을 때(즉, 온도 강하시키지 않은 경우) 는, 입자 수는 TOP에서 460개 정도, BOTTOM에서 60개 정도가 되었다. 온도 강하 레이트를 4℃/min으로 하였을 때는, 입자 수는 TOP에서 100개 이상, BOTTOM에서 70개 정도가 되었다. 이에 대해, 온도 강하 레이트를 20℃/min으로 하였을 때는, 입자 수는 TOP, BOTTOM 모두 30개 이하가 되었다. 즉, LTP에서의 온도 강하 레이트를 20℃/min 이상으로 하면, 입자 수를 대폭(적어도 30개 이하로) 저감할 수 있다. 또, 별도의 실험에서는, 온도 강하 루트를 적어도 1O℃/min 이상으로 하면, 자연 공랭하는 경우보다 입자 수를 대폭 저감할 수 있는 것을 확인할 수 있었다.
실시예 3
다음으로, 제 3 실시예로서, LTP 실시시의 누적 막두께와 입자의 관계를 구명하기 위해 행한 연속 성막의 실험에 관해 설명한다.
상기 실시형태에서의 웨이퍼 처리방법에 의해 φ300㎜의 실리콘 웨이퍼에 SiN막, 특히 1회에 성막하는 막두께가 1500Å(150㎚) 이상인 Si3N4 막을 생성했다. 반응 가스로는 DCS(SiH2Cl2), NH3를 이용하고, 성막 처리 온도는 730℃∼800℃로 했다. LTP에서의 온도 강하 폭을 400℃로, 온도 강하 레이트를 20℃/mim로 고정하였다. 웨이퍼 냉각 시간은 15분, 웨이퍼 회수 시간은 15분이기 때문에, 처리 능력이 저하하지 않도록, LTP는 이 합계시간(30분) 내에 이들의 이벤트와 병행하여 행하도록 하였다. 본 실시예에서는, LTP 총 시간 30분(온도 강하전의 온도 상승 시간 10분, 온도 강하 시간 20분)으로 하였다. 이러한 조건에서, 웨이퍼에 대해 연속 배치 처리를 행하고, 각각의 배치, 처리 후에 웨이퍼에 부착한 입자 수를 측정하였 다.
그 측정 결과(누적 막두께와 입자의 관계)를 도 6에 나타낸다. 가로축은 연속 배치 처리 회수(Run No.)를, 좌측의 세로축은 웨이퍼에 부착한 0.13㎛ 이상의 입자 수(개/wafer)를, 우측의 세로축은 누적 막두께(㎚)를 나타내고 있다. 도면 중, TOP이란 꼭대기부의 웨이퍼, B0TT0M이란 바닥부의 웨이퍼를 나타내고 있다. 또한 막대 그래프는 입자 수를, 꺾은선 그래프는 누적 막두께를 나타내고 있다. 도 5로부터, Run No.119(119회째의 배치 처리)까지, 즉, 누적 막두께가 23㎛(23000㎚)가 되기까지, 입자 수가 약 50개 이하가 되어 있는 것을 알 수 있다. 또, 본 발명자들이 더 실험한바, 누적 막두께가 25㎛(25000nm)을 초과한 상태라도 입자 수는 50개 이하가 되는 것을 확인할 수 있었다.
본 발명을 실시하지 않은 경우, 누적(퇴적) 막두께가 1㎛(1OOO㎚)를 초과하면 입자의 수는 급격히 증가하여, 200개를 훨씬 초과한 값이 된다. 그러나, 본 발명을 실시하면, 누적 막두께가 25㎛(25000nm)를 초과한 상태라도 입자 수는 50개 이하가 된다. 본 실시예의 경우, 1회의 배치 처리로 퇴적하는 막두께는 0.15㎛(150nm)이고, 따라서, 입자 수를 50개 이하로 억제하여 성막하는 것이 가능한 연속 배치 처리 횟수는, 종래예에서는 7회 정도였던 것이, 본 발명을 실시함으로써 167회 정도가 된다. 즉 본 발명에 의해, 반응로의 세정(클리닝) 시기의 간격을 대폭 연장시키는 수 있고, 반응로의 세정 빈도를 대폭 적게 할 수 있다.
명세서, 특허청구의 범위, 도면 및 요약서를 포함하는 2003년 9월 19일 제출된 일본국 특허 출원 2003-327358호의 개시 내용 전체는, 그대로 인용하여 여기에 포함시킨다.
여러 전형적인 실시형태를 나타내면서 설명해 왔지만, 본 발명은 그들의 실시형태에 한정되지 않는다. 따라서, 본 발명의 범위는 다음의 청구의 범위에 의해서만 한정되는 것이다.
도 1은 본 발명의 바람직한 실시형태에 관한 기판 처리 장치를 설명하기 위한 개략 종단면도이다.
도 2는 본 발명의 바람직한 실시형태에 관한 기판 처리 장치를 설명하기 위한 개략 종단면도이다.
도 3은 본 발명의 바람직한 실시형태에 관한 웨이퍼 처리 흐름을 나타내는 도면이다.
도 4는 본 발명의 제1 실시예에 관한 LTP 실시 시의 온도 강하폭과 입자와의 관계를 나타내는 도면이다.
도 5는 본 발명의 제 2 실시예에 관한 LTP 실시 시의 온도 강하 레이트와 입자와의 관계를 나타내는 도면이다.
도 6은 본 발명의 제 3 실시예에 관한 LTP 실시 시의 누적 막두께와 입자와의 관계를 나타내는 도면이다.

Claims (12)

  1. 기판을 반응로 내에 로드(load)하는 공정과,
    상기 반응로 내에서 상기 기판에 성막을 행하는 공정과,
    성막 후의 상기 기판을 상기 반응로로부터 언로드하는 공정과,
    상기 기판을 언로드한 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로의 외부 표면에 냉각매체로서 공기 또는 N2를 직접 접촉시켜서 상기 반응로 내를 강제 냉각하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  2. 청구항 1에 있어서,
    상기 반응로 내를 강제 냉각하는 공정에서는, 상기 반응로 외부의 고온의 분위기 가스를 배기하면서, 상기 반응로 외부에 냉각매체로서 공기 또는 N2를 흘리는 것을 특징으로 하는 반도체 장치의 제조 방법.
  3. 청구항 1에 있어서,
    상기 반응로 내를 강제 냉각하는 공정에서는, 상기 반응로의 외측에 상기 반응로를 덮고 설치된 강제 냉각 기구에 의해, 상기 반응로 외부에 냉각매체로서 공기 또는 N2를 흘리는 것을 특징으로 하는 반도체 장치의 제조 방법.
  4. 기판을 반응로 내에 로드하는 공정과,
    상기 반응로 내에서 상기 기판에 성막을 행하는 공정과,
    성막 후의 상기 기판을 상기 반응로로부터 언로드하는 공정과,
    상기 기판을 언로드한 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로의 외측에 상기 반응로를 덮고 설치된 강제 냉각 기구에 의해, 상기 반응로의 외부 표면에 냉각 매체로서 공기 또는 N2를 직접 접촉시켜서 상기 반응로 내를 강제 냉각하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  5. 청구항 4에 있어서,
    상기 반응로 내를 강제 냉각하는 공정에서는, 상기 강제 냉각 기구에 의해 상기 반응로의 외부 표면에 냉각 매체로서 공기 또는 N2를 직접 접촉시켜서 상기 반응로 내를 강제 냉각하면서, 상기 반응로 내부를 가스 퍼지하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  6. 청구항 4에 있어서,
    상기 반응로 내를 강제 냉각하는 공정에서는, 상기 강제 냉각 기구에 의해 상기 반응로의 외부 표면에 냉각 매체로서 공기 또는 N2를 직접 접촉시켜서 상기 반응로 내를 강제 냉각함으로써 상기 반응로 내에 형성된 퇴적막에 강제적으로 균열을 발생시키고, 그 때, 상기 반응로 내부를 가스 퍼지함으로써 상기 균열 발생 시에 생기는 파티클을 상기 반응로 밖에 배출하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  7. 기판을 반응로 내에 로드하는 공정과,
    상기 반응로 내에서 상기 기판에 성막을 행하는 공정과,
    성막 후의 상기 기판을 상기 반응로로부터 언로드하는 공정과,
    상기 기판을 언로드한 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로의 외부 표면에 냉각 매체로서 공기 또는 N2를 직접 접촉시켜서 상기 반응로 내를 강제 냉각하면서, 상기 반응로 내부에 퍼지 가스를 흘려서 상기 반응로 내를 가스 퍼지하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  8. 청구항 7에 있어서,
    상기 반응로의 외부 표면에 냉각 매체로서 공기 또는 N2를 직접 접촉시켜서 상기 반응로 내를 강제 냉각하면서, 상기 반응로 내부에 퍼지 가스를 흘려서 상기 반응로 내를 가스 퍼지하는 공정에서는, 상기 반응로의 외부 표면에 냉각 매체로서 공기 또는 N2를 직접 접촉시켜서 상기 반응로 내를 강제 냉각함으로써 상기 반응로 내에 형성된 퇴적막에 강제적으로 균열을 발생시키고, 상기 반응로 내부에 퍼지 가스를 흘려서 상기 반응로 내부를 가스 퍼지함으로써, 상기 균열 발생 시에 생기는 파티클을 상기 반응로 밖에 배출하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  9. 기판을 반응로 내에 로드하는 제1 공정과,
    상기 반응로 내에서 상기 기판에 성막을 행하는 제2 공정과,
    성막 후의 상기 기판을 상기 반응로로부터 언로드하는 제3 공정과,
    상기 기판을 언로드한 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로의 외부 표면에 냉각매체로서 공기 또는 N2를 직접 접촉시켜서 상기 반응로 내를 강제 냉각하면서, 상기 반응로 내부에 가스를 흘려서 상기 반응로 내부를 가스 퍼지하는 제4 공정과,
    상기 제1 내지 제4 공정을 반복하여 행한 뒤, 상기 반응로 내를 세정하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  10. 기판에 대하여 성막을 행하는 반응로와,
    상기 반응로 내에 성막 가스를 공급하는 성막 가스 공급 라인과,
    상기 반응로 내에 퍼지 가스를 공급하는 퍼지 가스 공급 라인과,
    상기 반응로 내를 배기하는 배기 라인과,
    상기 반응로 내에 대해 상기 기판을 로드/언로드하는 반송 수단과,
    상기 반응로 내를 강제 냉각하는 강제 냉각 수단과,
    상기 반응로로부터 상기 기판을 언로드시킨 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로의 외부 표면에 냉각 매체로서 공기 또는 N2를 직접 접촉시켜서 상기 반응로 내를 강제 냉각하도록 상기 강제 냉각 수단을 제어하는 제어 수단을 갖는 것을 특징으로 하는 기판 처리 장치.
  11. 기판에 대하여 성막을 행하는 반응로와,
    상기 반응로 내에 성막 가스를 공급하는 성막 가스 공급 라인과,
    상기 반응로 내에 퍼지 가스를 공급하는 퍼지 가스 공급 라인과,
    상기 반응로 내를 배기하는 배기 라인과,
    상기 반응로 내에 대해 상기 기판을 로드/언로드하는 반송 수단과,
    상기 반응로의 외측에 상기 반응로를 덮고 설치되어 상기 반응로 내를 강제 냉각하는 강제 냉각 수단과,
    상기 반응로로부터 상기 기판을 언로드시킨 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로의 외부 표면에 냉각 매체로서 공기 또는 N2를 직접 접촉시켜서 상기 반응로 내를 강제 냉각하도록 상기 강제 냉각 수단을 제어하는 제어 수단을 갖는 것을 특징으로 하는 기판 처리 장치.
  12. 기판에 대하여 성막을 행하는 반응로와,
    상기 반응로 내에 성막 가스를 공급하는 성막 가스 공급 라인과,
    상기 반응로 내에 퍼지 가스를 공급하는 퍼지 가스 공급 라인과,
    상기 반응로 내를 배기하는 배기 라인과,
    상기 반응로 내에 대해 상기 기판을 로드/언로드하는 반송 수단과,
    상기 반응로 내를 강제 냉각하는 강제 냉각 수단과,
    상기 반응로로부터 상기 기판을 언로드시킨 후, 상기 반응로 내에 상기 기판이 없는 상태에서, 상기 반응로의 외부 표면에 냉각 매체로서 공기 또는 N2를 직접 접촉시켜서 상기 반응로 내를 강제 냉각하면서, 상기 반응로 내부에 퍼지 가스를 흘려서 상기 반응로 내를 가스 퍼지하도록 상기 강제 냉각 수단과 상기 퍼지가스 공급라인을 제어하는 제어 수단을 갖는 것을 특징으로 하는 기판 처리 장치.
KR1020097008212A 2003-09-19 2004-09-17 반도체 장치의 제조 방법 및 기판 처리 장치 KR100943588B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003327358 2003-09-19
JPJP-P-2003-327358 2003-09-19

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020077017727A Division KR100938534B1 (ko) 2003-09-19 2004-09-17 반도체 장치의 제조 방법 및 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20090055650A KR20090055650A (ko) 2009-06-02
KR100943588B1 true KR100943588B1 (ko) 2010-02-23

Family

ID=34372869

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020077017727A KR100938534B1 (ko) 2003-09-19 2004-09-17 반도체 장치의 제조 방법 및 기판 처리 장치
KR1020097008212A KR100943588B1 (ko) 2003-09-19 2004-09-17 반도체 장치의 제조 방법 및 기판 처리 장치
KR1020067000174A KR100765681B1 (ko) 2003-09-19 2004-09-17 반도체 장치의 제조 방법 및 기판 처리 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020077017727A KR100938534B1 (ko) 2003-09-19 2004-09-17 반도체 장치의 제조 방법 및 기판 처리 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020067000174A KR100765681B1 (ko) 2003-09-19 2004-09-17 반도체 장치의 제조 방법 및 기판 처리 장치

Country Status (6)

Country Link
US (3) US7955991B2 (ko)
JP (4) JPWO2005029566A1 (ko)
KR (3) KR100938534B1 (ko)
CN (3) CN101429649B (ko)
TW (3) TWI389204B (ko)
WO (1) WO2005029566A1 (ko)

Families Citing this family (370)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100938534B1 (ko) * 2003-09-19 2010-01-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP5028957B2 (ja) * 2005-12-28 2012-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
JP4844261B2 (ja) * 2006-06-29 2011-12-28 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2008100917A1 (en) * 2007-02-16 2008-08-21 Caracal, Inc. Epitaxial growth system for fast heating and cooling
JP2009272367A (ja) * 2008-05-01 2009-11-19 Hitachi Kokusai Electric Inc 基板処理装置
JP2010093023A (ja) * 2008-10-07 2010-04-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010140947A (ja) * 2008-12-09 2010-06-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8115235B2 (en) * 2009-02-20 2012-02-14 Intel Corporation Modulation-doped halo in quantum well field-effect transistors, apparatus made therewith, and methods of using same
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
JP2011066106A (ja) * 2009-09-16 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5724713B2 (ja) * 2011-07-22 2015-05-27 東京エレクトロン株式会社 熱処理装置
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
JP5546654B2 (ja) * 2013-02-01 2014-07-09 株式会社日立国際電気 基板処理装置、半導体製造方法、基板処理方法、及び異物除去方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2014199856A (ja) * 2013-03-29 2014-10-23 東京エレクトロン株式会社 縦型熱処理装置の運転方法及び記憶媒体並びに縦型熱処理装置
KR101552496B1 (ko) * 2013-04-19 2015-09-11 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5801374B2 (ja) * 2013-12-27 2015-10-28 株式会社日立国際電気 半導体装置の製造方法、プログラム、及び基板処理装置
JP5848788B2 (ja) * 2014-02-12 2016-01-27 株式会社日立国際電気 基板処理装置、半導体製造方法、基板処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN104296529B (zh) * 2014-06-27 2016-06-15 长沙矿冶研究院有限责任公司 可用于ito靶材烧结的气氛钟罩炉
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
GB201421151D0 (en) * 2014-11-28 2015-01-14 Spts Technologies Ltd Method of degassing
US10375901B2 (en) 2014-12-09 2019-08-13 Mtd Products Inc Blower/vacuum
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
CN111771263A (zh) * 2018-02-23 2020-10-13 株式会社国际电气 清洁方法、半导体装置的制造方法、基板处理装置以及程序
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102349037B1 (ko) * 2018-09-17 2022-01-10 주식회사 원익아이피에스 웨이퍼 공정용 리액터의 가스 제어 장치
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN110310909B (zh) * 2019-07-15 2021-12-17 北京北方华创微电子装备有限公司 冷却装置及热处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR102494377B1 (ko) 2019-08-12 2023-02-07 커트 제이. 레스커 컴파니 원자 스케일 처리를 위한 초고순도 조건
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110643961B (zh) * 2019-09-20 2024-02-06 深圳市晶相技术有限公司 一种半导体设备的使用方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000041947A (ko) * 1998-12-24 2000-07-15 김영환 반응로 및 이를 이용한 단결정 실리콘층 형성방법
KR20010014786A (ko) * 1999-04-21 2001-02-26 엔도 마코토 반도체 장치의 제조방법 및 반도체 제조장치
JP2002317269A (ja) * 2001-04-18 2002-10-31 Hitachi Ltd 半導体装置の製造方法

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3973762A (en) * 1974-05-17 1976-08-10 Dravo Corporation Sintering process and apparatus
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4706011A (en) 1986-07-07 1987-11-10 Texas Instruments Incorporated High voltage pulse detector with controllable current consumption
JPS63181313A (ja) 1987-01-22 1988-07-26 Mitsubishi Electric Corp 気相結晶成長装置
US4874464A (en) * 1988-03-14 1989-10-17 Epsilon Limited Partnership Process for epitaxial deposition of silicon
JPH01243515A (ja) 1988-03-25 1989-09-28 Hitachi Ltd 熱処理装置
JPH03111552A (ja) * 1989-09-26 1991-05-13 Osaka Oxygen Ind Ltd 金属管酸化処理装置
CH676500A5 (ko) * 1990-05-18 1991-01-31 Werner Kunz
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
US5314541A (en) * 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JPH0547681A (ja) 1991-08-14 1993-02-26 Nikko Kyodo Co Ltd 気相成長方法
JP3098093B2 (ja) 1992-02-20 2000-10-10 三菱電機株式会社 化学気相成長装置
KR100251873B1 (ko) * 1993-01-21 2000-04-15 마쓰바 구니유키 종형 열처리 장치
US5637153A (en) * 1993-04-30 1997-06-10 Tokyo Electron Limited Method of cleaning reaction tube and exhaustion piping system in heat processing apparatus
US5484484A (en) * 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor
JPH07263370A (ja) 1994-03-17 1995-10-13 Tokyo Electron Ltd 熱処理装置
JP3583467B2 (ja) * 1994-05-30 2004-11-04 株式会社東芝 半導体装置の製造装置及び製造方法
US5783046A (en) * 1994-11-28 1998-07-21 Gentech, Inc. Process and apparatus for the destructive distillation of rubber
JPH0982720A (ja) 1995-09-14 1997-03-28 Tokyo Electron Ltd 縦型熱処理装置
US6159300A (en) * 1996-12-17 2000-12-12 Canon Kabushiki Kaisha Apparatus for forming non-single-crystal semiconductor thin film, method for forming non-single-crystal semiconductor thin film, and method for producing photovoltaic device
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US5872017A (en) * 1997-01-24 1999-02-16 Seh America, Inc. In-situ epitaxial passivation for resistivity measurement
JPH10280153A (ja) 1997-04-11 1998-10-20 Toshiba Mach Co Ltd プラズマcvd装置
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US20030164225A1 (en) * 1998-04-20 2003-09-04 Tadashi Sawayama Processing apparatus, exhaust processing process and plasma processing
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
KR20000003915A (ko) * 1998-06-30 2000-01-25 김영환 반도체 소자의 게이트 절연막 형성방법
JP3396431B2 (ja) * 1998-08-10 2003-04-14 東京エレクトロン株式会社 酸化処理方法および酸化処理装置
JP2000138168A (ja) 1998-10-29 2000-05-16 Shin Etsu Handotai Co Ltd 半導体ウェーハ及び気相成長装置
JP3159187B2 (ja) 1998-11-04 2001-04-23 日本電気株式会社 薄膜成膜方法
US6235651B1 (en) * 1999-09-14 2001-05-22 Infineon Technologies North America Process for improving the thickness uniformity of a thin layer in semiconductor wafer fabrication
JP4437851B2 (ja) 1999-10-28 2010-03-24 東京エレクトロン株式会社 処理装置
US20010005553A1 (en) * 1999-11-10 2001-06-28 Witzman Matthew R. Linear aperture deposition apparatus and coating process
JP2001140054A (ja) 1999-11-15 2001-05-22 Nec Kagoshima Ltd 真空成膜装置のクリーニング方法及び真空成膜装置
US6547922B2 (en) * 2000-01-31 2003-04-15 Canon Kabushiki Kaisha Vacuum-processing apparatus using a movable cooling plate during processing
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP2001257172A (ja) 2000-03-09 2001-09-21 Hitachi Kokusai Electric Inc 半導体製造装置
TW578214B (en) * 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
JP3644880B2 (ja) * 2000-06-20 2005-05-11 東京エレクトロン株式会社 縦型熱処理装置
JP4079582B2 (ja) 2000-09-28 2008-04-23 株式会社日立国際電気 熱処理装置および熱処理方法
KR100345304B1 (ko) * 2000-10-12 2002-07-25 한국전자통신연구원 수직형 초고진공 화학증착장치
US6413844B1 (en) * 2001-01-10 2002-07-02 Asm International N.V. Safe arsenic gas phase doping
JP4470325B2 (ja) * 2001-01-12 2010-06-02 株式会社島津製作所 成膜装置
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6844273B2 (en) * 2001-02-07 2005-01-18 Tokyo Electron Limited Precleaning method of precleaning a silicon nitride film forming system
CN100552956C (zh) * 2001-03-12 2009-10-21 株式会社日立制作所 半导体集成电路器件和用于制造半导体集成电路器件的方法
JP2002280374A (ja) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2002299269A (ja) 2001-03-29 2002-10-11 Tokyo Electron Ltd 熱処理装置、および熱処理方法
JP4610771B2 (ja) 2001-04-05 2011-01-12 東京エレクトロン株式会社 縦型熱処理装置およびその強制空冷方法
JP2002334868A (ja) * 2001-05-10 2002-11-22 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP3421329B2 (ja) * 2001-06-08 2003-06-30 東京エレクトロン株式会社 薄膜形成装置の洗浄方法
JP4149687B2 (ja) 2001-07-19 2008-09-10 シャープ株式会社 熱処理方法
JP3660897B2 (ja) * 2001-09-03 2005-06-15 株式会社ルネサステクノロジ 半導体装置の製造方法
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
KR100438946B1 (ko) 2001-10-12 2004-07-03 주식회사 엘지이아이 플라즈마 증착장비의 가열된 냉각수를 이용한 가스주입관응축방지장치
KR100499211B1 (ko) * 2001-11-13 2005-07-07 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP2003158080A (ja) 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
JP2003188115A (ja) * 2001-12-17 2003-07-04 Shin Meiwa Ind Co Ltd 半導体配線形成方法及び装置、半導体デバイス製造方法及び装置、並びにウエハ
JP2003203868A (ja) 2002-01-07 2003-07-18 Hitachi Kokusai Electric Inc 半導体製造装置
JP4086146B2 (ja) * 2002-03-26 2008-05-14 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JPWO2004003995A1 (ja) * 2002-06-27 2005-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP4699675B2 (ja) * 2002-10-08 2011-06-15 信越半導体株式会社 アニールウェーハの製造方法
US7094708B2 (en) * 2003-01-24 2006-08-22 Tokyo Electron Limited Method of CVD for forming silicon nitride film on substrate
US20070128878A1 (en) * 2003-03-03 2007-06-07 Manabu Izumi Substrate processing apparatus and method for producing a semiconductor device
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
KR100527047B1 (ko) * 2003-07-01 2005-11-09 주식회사 아이피에스 박막증착방법
KR100938534B1 (ko) * 2003-09-19 2010-01-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US20060183070A1 (en) * 2003-09-25 2006-08-17 Hitachi Kosusai Electric Inc. Substrate processing device and method of producing substrates
CN1868042A (zh) * 2003-11-20 2006-11-22 株式会社日立国际电气 半导体器件的制造方法和衬底处理装置
WO2005093799A1 (ja) * 2004-03-29 2005-10-06 Hitachi Kokusai Electric Inc. 半導体装置の製造方法及び基板処理装置
CN100456435C (zh) * 2004-11-01 2009-01-28 株式会社日立国际电气 衬底处理装置以及半导体设备的制造方法
JP4225998B2 (ja) * 2004-12-09 2009-02-18 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
US8176871B2 (en) * 2006-03-28 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US7910494B2 (en) * 2006-03-29 2011-03-22 Tokyo Electron Limited Thermal processing furnace, gas delivery system therefor, and methods for delivering a process gas thereto
JP4809175B2 (ja) * 2006-09-28 2011-11-09 株式会社日立国際電気 半導体装置の製造方法
JP2008218984A (ja) * 2007-02-06 2008-09-18 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2009123795A (ja) * 2007-11-13 2009-06-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP4531833B2 (ja) * 2007-12-05 2010-08-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びクリーニング方法
JP5697849B2 (ja) * 2009-01-28 2015-04-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000041947A (ko) * 1998-12-24 2000-07-15 김영환 반응로 및 이를 이용한 단결정 실리콘층 형성방법
KR20010014786A (ko) * 1999-04-21 2001-02-26 엔도 마코토 반도체 장치의 제조방법 및 반도체 제조장치
JP2002317269A (ja) * 2001-04-18 2002-10-31 Hitachi Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
TW200514162A (en) 2005-04-16
CN1823404A (zh) 2006-08-23
KR100765681B1 (ko) 2007-10-12
JP2010109387A (ja) 2010-05-13
CN101429649A (zh) 2009-05-13
KR100938534B1 (ko) 2010-01-25
TWI449104B (zh) 2014-08-11
US7955991B2 (en) 2011-06-07
US20070259532A1 (en) 2007-11-08
US20110239936A1 (en) 2011-10-06
US8231731B2 (en) 2012-07-31
CN101914760A (zh) 2010-12-15
JP5452043B2 (ja) 2014-03-26
TW201310530A (zh) 2013-03-01
KR20090055650A (ko) 2009-06-02
KR20070091229A (ko) 2007-09-07
US20090239386A1 (en) 2009-09-24
CN101429649B (zh) 2012-06-13
JPWO2005029566A1 (ja) 2007-11-15
CN101914760B (zh) 2012-08-29
US8636882B2 (en) 2014-01-28
KR20060066168A (ko) 2006-06-15
JP5190077B2 (ja) 2013-04-24
WO2005029566A1 (ja) 2005-03-31
JP5199286B2 (ja) 2013-05-15
TWI360179B (en) 2012-03-11
JP2010098331A (ja) 2010-04-30
JP2009135541A (ja) 2009-06-18
CN1823404B (zh) 2012-08-29
TWI389204B (zh) 2013-03-11
TW201145390A (en) 2011-12-16

Similar Documents

Publication Publication Date Title
KR100943588B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR101291957B1 (ko) 성막 장치, 그 운전 방법 및 상기 방법의 실행을 위한 기억 매체
US6573178B1 (en) Manufacturing method for semiconductor device and semiconductor manufacturing apparatus
JP5933375B2 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
KR20060070578A (ko) 반도체장치의 제조 방법 및 기판처리장치
KR20070044497A (ko) 기판처리 장치 및 반도체 디바이스의 제조방법
JP2011066106A (ja) 半導体装置の製造方法及び基板処理装置
TW201610222A (zh) 半導體製造裝置及半導體的製造方法
JP2009272367A (ja) 基板処理装置
JP2004288903A (ja) 半導体デバイスの製造方法
JP2010086986A (ja) 基板処理装置
JP2004095940A (ja) 半導体装置の製造方法
JP3309910B2 (ja) 半導体製造装置
WO2004057656A1 (ja) 基板処理装置および半導体装置の製造方法
JP2001098378A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130118

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140117

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180119

Year of fee payment: 9