KR100241171B1 - 플라즈마 강화 화학적 처리 장치 및 그 장치를 작동시키는 방법 - Google Patents

플라즈마 강화 화학적 처리 장치 및 그 장치를 작동시키는 방법 Download PDF

Info

Publication number
KR100241171B1
KR100241171B1 KR1019960027700A KR19960027700A KR100241171B1 KR 100241171 B1 KR100241171 B1 KR 100241171B1 KR 1019960027700 A KR1019960027700 A KR 1019960027700A KR 19960027700 A KR19960027700 A KR 19960027700A KR 100241171 B1 KR100241171 B1 KR 100241171B1
Authority
KR
South Korea
Prior art keywords
plasma
wafer
chamber
processing chamber
support
Prior art date
Application number
KR1019960027700A
Other languages
English (en)
Other versions
KR970008401A (ko
Inventor
반 오스 론
제이. 더빈 윌리엄
에이치. 마띠센 리챠드
씨. 펜스케 데니스
디. 로스 에릭
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR970008401A publication Critical patent/KR970008401A/ko
Application granted granted Critical
Publication of KR100241171B1 publication Critical patent/KR100241171B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

플라즈마 강화 화학 공정 반응로와 그 작동 방법. 상기 반응로는 제1가스 주입 분기관을 포함하는 플라즈마 챔버와 전자기 에너지원을 포함한다. 공정 챔버에 연결되는 상기 플라즈마 챔버는 웨이퍼 지지대와 제2가스 분기관을 포함한다. 상기 플라즈마 챔버에 발생된 플라즈마는 상기 공정 챔버로 연장하고, 상기 웨이퍼 상에 재료층을 증착하기 위해 반응 가스와 상호 작용 한다. 상기 반응로는 또한 상기 반응로를 진공으로 하기 위한 진공 시스템을 포함한다. 상기 방법은 상기 플라즈마 챔버에 플라즈마를 발생시키는 단계와, 상기 웨이퍼 지지대에 근접하여 상기 공정 챔버에 적어도 한가지 가스 화학 물질을 인입하는 단계와, 상기 웨이퍼 지지대에 근접하는 영역에 상기 플라즈마의 확산을 유도하도록 r.f. 기울기를 제공하는 단계를 포함한다.

Description

플라즈마 강화 화학적 처리 장치 및 그 장치를 작동시키는 방법
제1도는 본 발명의 한 실시예에 따른 반응로의 부분 분해 조립도.
제2도는 제1도에 도시된 반응로의 플라즈마 챔버와 처리 챔버의 확대된 부분 분해 단면도.
제3(a)도는 본 발명의 한 실시예에 따른 제1가스 주입 분기관의 단면도.
제3(b)도는 상기 제1가스 주입 분기관의 하부 평면도.
제3(c)도는 제3(a)도의 상기 분기관에 있는 홀의 확대된 단면도.
제4도는 본 발명에 따른 제2가스 주입 분기관의 한 실시예의 부분 분해된 정면도.
제5(a)도는 상기 반응로에 장착된 기판 지지대를 도시하는 상부 평면도.
제5(b)도는 본 발명에 따른 반응로에 장착되고, 부분 분해된 상기 기판 지지대의 선택적인 실시예를 도시하는 도면.
제6도는 본 발명에 따른 상기 기판 지지대와 운반 어셈블리를 도시하는 확대된 측면 입면도.
제7도는 본 발명의 반응로의 단면도로서 펌프의 축상의 배치에 대응하는 시스템에서의 가스의 흐름을 도시하는 도면.
제8도는 본 발명의 선택적인 실시예에 따른 다수의 반응로를 가진 PECVD 장치를 도시하는 간략화된 블록도.
제9도는 기판 지지 바이어스 전원의 함수로서 스퍼터링 속도를 도시하는 도면.
제10(a)도와 제10(b)도는 본 발명의 반응로에서 처리된 반도체 기판의 표면 위상의 단면도.
제11도는 제공된 r.f. 바이어스의 함수로서 실란 흐름당 증착 속도를 도시하는 도면.
* 도면의 주요부분에 대한 부호의 설명
10 : 반응로 16 : 처리 챔버
18 : 플라즈마 챔버 15,17 : 가스 주입 분기관
20 : 웨이퍼 지지대 26 : 진공 펌프
본 발명은 반도체 집적 회로를 처리하기 위한 장치와 그 작동 방법에 관한 것이다. 특히, 본 발명은 플라즈마 강화 화학 기상 증착(PECVD), 필름 에칭백, 반응로 자기 세척, 및 동시 에칭과 증착에 의해 집적 회로의 표면상에 균일한 필름 또는 층의 증착을 포함한 처리 작업을 수행할 수 있는 플라즈마 강화 화학적 처리 장치와 그 작동 방법에 관한 것이다.
반도체 웨이퍼와 기타 집적 회로(IC)의 처리는 중요한 제조 단계, 이를테면 소자 구성요소, 상호연결 라인, 유전체, 절연체 장벽 및 기타 그와 같은 것을 형성하기 위해 웨이퍼 표면을 에칭하는 단계와 반도체 기판 상에 재료층을 증착하는 단계를 포함한다. 여러 가지 장치들이 재료층과 기타 그와 같은 것을 증착하기 위해 사용되고, 가끔 그런 층은 화학 기상 증착(CVD)에 의해 형성된다. 종래의 열 CVD 처리는 특정 가스 화학 물질의 열반응에 의해 웨이퍼의 표면상에 안정된 화학적 화합물을 증착한다. 종래에는 저압 CVD 장치와 대기압 CVD 장치를 포함한 여러 가지의 CVD 처리 장치가 사용되었다.
최근들어, 플라즈마 강화(때때로 플라즈마 보조라고 함) CVD 장치(PECVD)가 발전되어 왔다. PECVD 장치는 일반적으로 가스 화학 물질의 분열과 이온화에 의해 작동한다. 상기 플라즈마와 결합되는 고온 전자는 상기 웨이퍼 기판 상의 증착에 유용한 해리물의 밀도를 증가시킨다. 따라서, 그런 장치는 종래의 열 CVD 장치보다 저온에서 작동될 수 있다. 그런 저온 처리는 바람직하게 상기 집적 회로에 포함된 얕은 접합의 확산과 금속의 내부 확산을 최소화한다. 더욱이, PECVD 시스템은 소자 밀도가 증가할 때 적층 소자 형태를 절연시키기 위해 사용되는 다중 유전체층을 형성하기에 적당하다. 그런 다중 유전체층을 형성할 때 좋은 가스 충전(fill), 절연, 스트레스, 및 스텝 커버리지 특성을 가진 층을 제공하는 것은 바람직하다. 이런 특성은 소자 크기가 줄어들 때 달성하기에 더욱 어렵다.
PECVD 장치에서, 반응로는 일반적으로 상기 반도체 처리 동안에 저압에서 작동된다. 그런 저압은 앞으로 다루어질 특정의 가스 흐름 동력을 제공한다. 저압 때문에, 상기 활성물의 충돌 속도는 상대적으로 낮아지고, 상기 활성물의 평균 자유 경로는 상대적으로 길어진다. 따라서, 상기 웨이퍼를 가로질러 상기 처리 챔버 및 배출 장치에 균일하게 제어된 가스를 흘릴 수 있는 반응로를 제공하는 것은 바람직하며, 그러므로 상기 웨이퍼의 균일한 처리를 제공한다. 더욱이, 다른 작동 압력이 여러 가지 공정을 위해 사용될 수 있으므로, 상기 반응로는 더 큰 압력 범위에 걸쳐 작동될 수 있도록 하는 것이 바람직하다.
상기 반응로의 세척은 장치의 효과적인 작용에서 중요한 역할을 한다. 상기 반응물은 상기 챔버의 벽, 작동 부품, 및 상기 기판의 표면상에 증착된다. 그런 증착물은 상기 장치의 작동에 영향을 끼치고, 상기 장치의 플라즈마 전위에 영향을 끼칠 수 있고, 또한 상기 증착 필름을 오염시키는 심각한 미립자 오염원이 된다. 따라서 자기 세척할 수 있는 반응로를 제공하는 것이 바람직하다.
본 발명의 목적은 반도체 웨이퍼와 집적 회로를 처리하기 위한 반응로를 제공하는 것이다. 특히, 본 발명의 목적은 PECVD에 의해 웨이퍼 표면상에 필름 또는 층을 증착함으로써 웨이퍼를 처리하기 위한 개선된 처리 장치를 제공하는 것이다.
본 발명의 다른 목적은 광범위한 압력 범위 상에서 작동할 수 있는 처리장치를 제공하는 것이다.
본 발명의 또다른 목적은 목표된 필름을 증착하고, 동시에 필름을 에칭할 수 있는 처리장치를 제공하는 것이다.
본 발명의 또다른 목적은 자기 세척을 할 수 있는 처리장치를 제공하는 것이다.
본 발명의 또다른 목적은 웨이퍼 상에 증착된 필름의 품질을 개선시키는 처리장치를 제공하는 것이다.
전술한 바와 같은 목적은 여기에서 기술된 일반적으로 처리 챔버와 통해 있는 플라즈마 챔버를 포함한 처리장치에 의해 달성된다. 상기 플라즈마 챔버는 적어도 제1가스를 수용하기 위한 제1가스 주입 분기관과, 플라즈마를 형성하기 위해 상기 가스를 여기하는 전자기 에너지원을 포함한다. 상기 처리 챔 버는 처리될 웨이퍼를 지지하기 위한 웨이퍼 지지대와, 상기 웨이퍼 지지대를 둘러싸고 반응 가스를 상기 웨이퍼 지지대 쪽으로 직접 향하게 하는 제2가스 분기관을 포함한다. 플라즈마 챔버에서 발생된 상기 플라즈마는 처리 챔버로 연장하고, 상기 웨이퍼 상에 재료층을 증착하도록 상기 반응 가스와 상호작용 한다. 진공 시스템은 처리장치를 진공으로 하기 위해 상기 처리 챔버와 통해 있다.
본 발명은 또한 상기 처리 챔버에 배치된 웨이퍼 지지대와 함께 플라즈마 챔버와 처리 챔버를 가지는 플라즈마 처리장치를 작동시키는 방법을 포함하는데, 상기 작동 방법은, 상기 웨이퍼 지지대에 근접한 상기 처리 챔버에 적어도 한가지의 가스 화학 물질을 도입하고, 상기 지지대에 근접하는 영역에 상기 플라즈마의 확산을 유도하는 r.f. 기울기를 제공하도록 상기 플라즈마 챔버에 플라즈마를 발생시키는 단계를 포함하고, 상기 플라즈마와 가스 화학 물질은 상기 웨이퍼의 표면상에 재료층을 형성하도록 웨이퍼 지지대에 근접하여 상호 반응한다.
상기 본 발명은 도면을 참고로한 이하의 설명으로부터 보다 명백해질 것이다.
도면에서 부품은 참조 부호에 의해 나타내는데, 제1도와 제2도는 본 발명에 따른 반응로의 일실시예를 제시한다. 제1도는 본 발명의 조립도로서, 반응로(10)는 일반적으로 플라즈마 어셈블리(11)와 처리 챔버(16)를 포함한다. 상기 플라즈마 어셈블리(11)는 플라즈마 발생원(12)을 포함하는데, 상기 발생원(12)의 내부는 플라즈마 챔버(18)를 형성하고, 제1가스 주입 분기관(15)은 상기 챔버의 상부를 형성한다. 상기 제1분기관(15)은 플라즈마 챔버(18)에 적어도 한가지의 가스 화학 물질을 운반한다. 상기 플라즈마 어셈블리(11)는 처리 챔버(16)에 효과적으로 부착된다. 일반적으로 제2가스 주입 분기관(17)을 포함하는 처리 챔버(16)는 가스 운반 라인(도시안됨)을 통해 적어도 제2가스 화학 물질을 수용하기 위해 처리 챔버(16)에 장착된다. 바람직하게, 상기 가스 주입 분기관(17)은 처리 챔버(16)의 벽을 따라 장착되어 있는 외부 주변 표면과 함께 상기 챔버(16)의 상부에 인접하게 장착되어 동시에 링을 형성한다. 또한, 웨이퍼를 지지하기 위한 수평 웨이퍼 지지대(20)(가끔 “척(chuck)”으로 참조됨)가 챔버내에 배치된다. 바람직하게, 웨이퍼 지지대(20)는 아암 부재(21)에 의해 챔버(16)에 부착되어 상기 처리 챔버(16)내에 부유된다. 웨이퍼(24)는 상기 웨이퍼(24)의 표면이 위쪽으로 향하도록 상기 웨이퍼 지지대(20)에 배치된다. 상기 웨이퍼 지지대(20)는 정합 네트워크(22)를 통해 발생기(23)로부터 r.f. 에너지를 제공함으로써 바이어스 될 수 있다.
진공 장치가 상기 반응로(10)를 진공화하기 위해 제공된다. 진공 펌프(26)는 포트(25)에 의해 처리 챔버(16)에 효과적으로 결합된다. 바람직하게, 상기 처리 챔버(16)(“축상의 펌프”로 참조됨)와 함께 축방향으로 정렬된 진공 펌프(26)는 상기 반응로(10)의 가스와 플라즈마의 개선된 흐름 제어를 제공한다. 아래에서 상세하게 논의된 바와 같이, 상기 부유된 웨이퍼 지지대(20)와 유일한 가스 분포 장치를 형성하는 상기 축상의 펌프는 상기 반응로(10)의 균형있는 가스의 흐름을 제공하고, 특히 균일한 증착 및/또는 상기 웨이퍼(24)를 가로지르는 에칭을 증진시키도록 디자인된다.
본 발명의 반응로는 증착, 필름 에칭백, 반응로 자기 세척 및 동시에 에칭과 증착 단계를 포함한 여러 가지의 공정 작용을 수행하기 위해 개조된 것이다. 상기 증착 작용의 바람직한 실시예에서, 실란 및 산소와 아르곤의 혼합물이 제2가스 주입 분기관(17)을 통해 상기 처리 챔버(16)에 운반된다. 상기 증착 작용 동안에, 상기 제1가스 주입 분기관은 작용하지 않을 수 있는데, 이런 구성에서 산소와 아르곤 분자는 상기 가스가 원래 주입된 상기 처리 챔버(16)로부터 상기 플라즈마 챔버(18)로 이동하고, 플라즈마 챔버(18)에서 이온화된다. 선택적으로, 상기 제1가스 주입 분기관(15)이 작용될 수 있는데, 이때 산소와 아르곤이 제1가스 분기관(15)을 통해 상기 플라즈마 챔버에 운반된다. 더욱이 또다른 실시예에서, 산소와 아르곤이 상기 제1가스 주입 분기관(15)과 제2가스 주입 분기관(17) 모두를 통해 운반된다.
반응로 자기 세척 작동 동안에, CF4, C2F4또는 NH3과 같은 화학물질이 제1가스 주입 분기관(15)을 통해 상기 플라즈마 챔버에 주입되는데, 이때 상기 가스는 이온화되어 상기 챔버(16과 18)와 결합된 구성요소의 표면상에 원치않는 증착물을 제거하기 위해 상기 반응로(10)를 통해 흐른다. 선택적으로, 상기 세척 화학 물질이 제2가스 주입 분기관(17)을 통해 상기 반응로에 주입되거나 상기 제1가스 주입 분기관(15)과 제2가스 주입 분기관(17) 모두에 의해 운반될 수 있다. 더욱이, 상기 반응로는 동시에 에칭/증착 작용을 위해 상기 웨이퍼 지지대에서 유도된 r.f.와 dc 바이어스의 인가를 위해 변형된다.
상기 플라즈마 챔버 어셈블리(11)는 제2도와 관련하여 더욱 상세히 이해될 수 있다. 플라즈마 어셈블리(11)는 일반적으로 상기 플라즈마 챔버(18)에 플라즈마를 발생하기 위한 “플라즈마원”으로서 참조되는 전자기 에너지원(12)을 포함한다. 바람직하게 상기 플라즈마원(12)은 유도 결합된 플라즈마(inductively coupled plasm : ICP)와 같이 종래의 기술로 분류한 형태이다. 제2도에 도시된 바와 같은 바람직한 실시예에서, 상기 플라즈마원(12)은 원통형이고, 금속으로 형성된 나선형 코일(13)과 비자성 재료로 형성된 슬롯형 정전 차폐부(19)를 포함한다. 상기 코일(13)과 차폐부(19)는 내부(27) 벽과 외부(28) 벽을 가지는 밀폐체에 수용된다. 바람직하게, 상기 내부 벽(27)은 수정 또는 세라믹과 같이 낮은 손실 절연 재료로 형성되고, 상기 외부 벽은 금속으로 될 수 있다. 상기 플라즈마 챔버(18)에서 발생된 플라즈마는 상기 플라즈마원(12)에 형성된다. 이런 플라즈마원(12)의 바람직한 실시예는 본 명세서에 참고로 인용된 미합중국 특허 제 5,234,529호에 더욱 상세히 기술된다.
횡적으로 연장하고 주변으로 다수의 일정 간격 슬릿(33)이 상기 차폐부(19)에 형성된다. 상기 차폐부(19)는 정전 전장을 분리하기 위해 사용된다. 상기 차폐부(19)는 상기 플라즈마가 발생된 상기 코일(13)과 상기 플라즈마 챔버(18) 사이의 정전 결합장을 감소시킨다. 한 실시예에서, 상기 플라즈마원(12)과 차폐부(19)는 모든 정전적 구성요소를 완전히 차폐하려고 한다. 바람직하게 상기 차폐부(19)는 접지된다. 상기 플라즈마와 매우 효과적으로 결합하는 정전 결합장은 크고, 일반적으로 제어할 수 없는 r.f. 플라즈마 전위를 생성한다. 그런 플라즈마는 “열(hot) 플라즈마”로서 참조된다. 상기 핫 플라즈마는 매우 높은 플라즈마 미립자 에너지, 특히 높은 전위 온도(Te)를 포함한다. 상기 얻어진 높은 플라즈마 전위는 상기 반응로 벽과 상기 반응로의 다른 구성요소를 향하는 높은 에너지 미립자의 공격에 의해 상기 반응로를 손상시킨다. 이는 상기 반응로의 수명을 감소시키고, 가끔 증착 필름으로 종결하여 상기 웨이퍼를 훼손시키는 금속 미립자 오염물을 형성한다. 상기 차폐부(19)를 사용함으로써, 상기 정전 결합은 상기 차폐부(19)에 있는 슬롯 개구부(33)를 변화시켜 바람직한 양으로 감소되고, 상기 정전 결합의 양은 상기 인가에 의존하여 변화될 수 있다. 예를들면, 상기 반응로(10)가 상기 반응로(10)의 표면에 있는 원치않는 증착물을 제거하기 위해 세척되는 세척 작용 동안에, 급속 세척을 증진하기 위한 더 높은 에너지 플라즈마를 형성하도록 더 큰 정전 결합이 사용될 수 있다.
상기 플라즈마를 발생하기 위한 본 발명의 한 실시예에 따르면, 적어도 한가지의 가스가 제1가스 주입 분기관(15)에 의해 상기 플라즈마 챔버(18)에 운반된다. 상기 r.f. 에너지(14)는 플라즈마 상태로 상기 플라즈마 챔버(18)에 상기 가스를 여기시키기 위해 플라즈마 챔버(18) 주위에 배열된 코일(13)을 통해 플라즈마원(12)으로 향하게 된다. 플라즈마 상태로 인도된 가스 분자의 대부분은 이온화된 원자를 포함한 반응물을 형성하도록 분리된다. 바람직하게 1011/cm3보다 더 큰 고밀도 플라즈마(high density plasma : HDP)로서 참조된 이온밀도가 얻어진다. 상기 r.f. 에너지의 주파수는 통상의 표준 주파수인 13.56MHz가 되는 것이 바람직하다. 발생기(14)는 전형적으로 50ohm 표준 임피던스에서 작동하고, 종래에 공지된 정합 네트워크(14a)는 상기 플라즈마원(12)에서 상기 r.f. 에너지가 효과적으로 결합하도록 한다. 선택적으로, 가스는 제2가스 주입 분기관(17)을 통해 상기 처리 챔버(16)에 운반되고, 상기 가스는 상기 플라즈마 챔버(18)로 이동하여 전술한 바와 같은 플라즈마 상태로 여기된다.
다시 제2도를 참조하면, 상기 제1가스 분기관(15)은 상기 플라즈마 어셈블리상에 조립된 것처럼 도시된다. 제3(a)도에 관련하여 이해되는 추가 상세도는 상기 분기관(15)의 단면도를 도시한다. 이 실시예에서, 제1가스 분기관(15)은 원형이고, 상기 플라즈마 어셈블리(12)의 내부 주변 표면에 부착된다. 상기 분기관(15)은 상기 분기관 베이스(30)에 형성된 다수의 가스 인렛(inlet) 통로(32a와 32b)를 포함한다. 상기 분기관(15)에 가스 화학 물질을 운반하기 위해, 가스 운반 라인(도시안됨)이 가스 공급 커넥터(31a와 31b)를 통해 상기 가스 인렛 통로의 각각에 연결된다. 이 실시예에서는 2개의 가스 인렛 통로가 도시되었지만, 부가적인 가스 인렛 통로 또는 단지 하나의 가스 인렛 통로가 사용될 수 있다.
상기 가스 인렛 통로(31a와 31b)는 각각 주변으로 연장하는 동심 플리넘(plenum)(34a와 34b)에 도달한다. 상기 플리넘은 상기 분기관 베이스(30)를 통해 연장하고, 분기관 베이스(30)에 장착된 플레이트(37)에 의해 밀폐된다. 각 플리넘(34a와 34b)에 배치된 상기 상기 커버 플레이트(37)에 드릴링되고 상기 각 플리넘의 주변을 연장하는 다수의 홀(36)이다. 한 실시예에서, 상기 다수의 홀(36)은 일반적으로 각 플리넘(34a와 34b)의 하부에 배치되고, 상기 커버 플레이트(37)를 통해 수직으로 연장한다. 선택적으로, 상기 홀(36)은 상기 커버 플레이트(37)를 통과하는 각도에서 드릴링될 수 있다. 상기 홀(36)의 구성은 플라즈마 챔버(18)에 대한 최대 가스 주입을 제공하기 위해 선택되고, 홀의 개수, 크기, 형태 및 간격은 다양할 것이다. 더욱이, 동심 홀 배열은 커버 플레이트(37)에 드릴링될 수 있고, 각 플리넘의 주변을 연장할 수 있다.
제3(b)도는 제1가스 주입 분기관(15)의 하부 평면도를 도시한다. 본 발명의 실시예에 도시된 바와 같이, 상기 홀(36)은 일반적으로 제1가스 주입 분기관(15)의 하부에 동심원을 형성한다. 바람직하게, 상기 내부 플리넘(34b)과 결합된 상기 다수의 홀은 5개를 포함하고, 상기 외부 플리넘(34a)과 결합된 상기 다수의 홀은 10개를 포함한다. 제3(c)도는 상기 홀(36)의 바람직한 형태를 도시한 확대된 도면이다.
그러므로, 본 발명의 실시예에서 가스 운반 라인은 2개의 가스 공급 커넥터(31a와 31b)를 통해 상기 분기관(15)에 가스 화학 물질을 운반한다. 각 가스는 통로(32a와 32b)에 의하여 상기 분기관(15)을 통해 원형 플리넘(34a와 34b)에 직접 운반되고, 상기 가스는 상기 플라즈마 챔버(18)에 있는 각 플리넘과 결합된 다수의 홀(36)을 통해 분기관(15)을 빠져나간다.
상기 제1가스 분기관(15)은 상기 반응로(10)의 작동 동안에 상기 분기관(15)을 냉각하기 위한 냉각 장치를 사용한다. 물과 같은 냉각 매체는 균일한 냉각을 제공하기 위해 상기 분기관(15)을 통해 순환된다. 상기 웨이퍼의 표면에서 발생하는 반응은 온도에 의존하기 때문에, 작동 동안에 균일한 온도를 유지하는 것은 중요하다. 더욱이, 일정한 온도 유지의 실패는 상기 장치에 미립자를 형성하는 상기 챔버 벽 및 결합된 구성요소 상의 증착물이 조각으로 나누어질 수 있는 문제를 초래한다.
본 실시예에서, 상기 냉각 매체는 냉각 공급 커넥터(38)를 통해 다수의 채널(42)에 운반된다. 상기 채널(42)은 상기 분기관을 통해 연장하고, 상기 분기관 베이스(30)에 장착된 커버 플레이트(43)에 의해 밀폐된다. 제3(b)도에 도시된 바와 같이 상기 채널(42)은 상기 분기관 베이스(30)를 가로질러 연장한다. 본 발명의 변형으로 상기 냉각 장치는 별도로 구성될 수 있다.
검사 유리창(39)은 플라즈마 방전을 관찰하기 위한 광학 계면을 제공하기 위해사기 가스 주입 분기관(15)의 중앙에 적절하게 배치된다. 바람직하게, 상기 검사 유리창은 원형이고, 상기 플라즈마와 화학물질로부터의 공격을 방지하는 사파이어로 형성된다. 또한, 검사 유리창(39)은 이를테면 필름 성장을 측정하는 레이저 간섭계(볼수있는)와 웨이퍼 온도를 측정하는 레이저 간섭계(IR)가 사용될 수 있는 진단을 증진시켜 상기 웨이퍼 표면에 대한 시선 접근을 허용한다.
바람직하게, 상기 분기관(15)은 상기 표면에 미립자의 증착을 최소하는 평탄한 평면 표면을 가진다. 이 실시예에서 상기 분기관(15)을 알루미늄으로 형성되고, 근접 연마된 표면 종결부를 가진다.
반도체 웨이퍼와 다른 IC를 처리하기 위해, 처리 챔버를 포함하는 상기 반응로(10)는 플라즈마 어셈블리(11)에 부착되어 플라즈마 어셈블리(11)에 결합한다. 제1도와 제2도를 참조하면, 상기 처리 챔버의 내부 구조가 더욱 상세히 도시된다. 바람직하게, 상기 처리 챔버(16)는 실린더형이고, 알루미늄과 같은 재료로 형성된다. 상기 처리 챔버(16)는 바람직하게 냉각 매체, 이를테면 물을 순환하기 위한 수단을 포함하는데, 상기 처리 챔버(16)가 일정한 온도를 유지하도록 그런 수단은 상기 처리 챔버(16)에 형성되거나 선택적으로 상기 처리 챔버(16)의 외부에 배치된다. 제2가스 주입 분기관(17)이 상기 처리 챔버(16)에 배치되고, 일반적으로 링을 형성하는 상기 챔버의 표면을 따라 연장한다. 또한 상기 처리 챔버에 배치된 것은 처리될 웨이퍼를 지지하는 웨이퍼 지지대(20)이다. 바람직하게, 상기 웨이퍼 지지대(20)는 상기 처리 챔버(16)의 축에 정렬되고, 그러므로 제2가스 분기관(17)은 상기 웨이퍼 지지대(20)를 둘러싼다. 게이트 밸브와 같은 밸브(도시안됨)가 상기 웨이퍼 지지대(20)에 대한, 상기 웨이퍼 지지대(20)로부터 상기 웨이퍼(24)를 운반하기 위해 상기 챔버(16)의 내부에 대한 접근을 허용하도록 상기 처리 챔버(16)의 측벽에 배치된다. 상기 웨이퍼 지지대(20) 아래에 배치되고 상기 처리 챔버(16)의 축에 정렬된 것은 펌프(26)와 절연 밸브(25)이다.
상기 제2가스 주입 분기관(17)은 제4도에서 더욱 상세히 도시된다. 제2가스 주입 분기관(17)은 본 명세서에서 참고로 인용된 계류 중의 출원인 일련번호 제 08/499,861호에 더욱 상세히 기술되어 있다. 일반적으로, 상기 분기관(17)은 상기 처리 챔버(16)에 장착할 수 있는 플리넘 몸체(40), 상기 플리넘 몸체(40)에 제거할 수 있게 장착된 교환 가능 노즐 구조(70) 및 가스 화학 물질을 수용하기 위해 형성된 적어도 하나의 플리넘을 포함한다. 적어도 하나의 도관(conduit)으로 형성된 상기 플리넘 몸체는 상기 플리넘에 가스 화학 물질을 운반하기 위해 상기 플리넘에 결합된다. 상기 노즐 구조(70)는 상기 플리넘에 결합된 다수의 노즐(44a와 44b)을 가지고, 상기 플리넘으로부터 상기 챔버까지 가스 물질을 주입하기 위해 배열된다. 본 실시예에서, 상기 제1가스 분기관(17)은 상기 처리 챔버(16) 벽에 장착되어 있는 외부 주변 표면을 가진 원형 구성을 가지지만 다른 구성도 본 발명의 범위내에 있다.
제4도에 도시된 바와 같이, 상기 분기관(17), 상기 플리넘 몸체(40)의 바람직한 실시예는 2개의 평행하고, 주변으로 연장하는 채널(46과 48)을 가지고, 상기 플리넘(40)에 형성된다. 상기 채널(46과 48)은 상기 웨이퍼의 처리에 사용된 가스 화학 물질을 각각 수용하기 위한 한쌍의 플리넘을 한정한다. 채널(46과 48)은 공급 라인(58과 60)(도시안됨)을 통해 도관(54와 56)을 통과하여 가스원(50과 52)(도시안됨)에 각각 연결된다. 상기 가스의 “하부 공급”으로서 참조된 공급 라인(54와 56)은 상기 도관(54와 56)을 삽입하기 위해 수직으로 연장한다. 선택적인 실시예에서, 상기 공급 라인(58과 60)은 “측면 공급”으로서 상기 처리 챔버(16) 벽을 통해 수평으로 연장되도록 배치될 수 있다.
바람직하게, 다수의 개구부(도시안됨)로 형성된 배플(baffle)(62)은 이미 공지된 바와 같은 각 채널(46과 48)에 장착된다. 배플(62)은 상기 가스를 분출시키는 노즐에 인접하여 상기 도관(54와 56)으로부터 상기 노즐(44a와 44b)까지의 상기 가스의 흐름을 방해하고, 상기 플리넘 몸체(40)의 주변을 둘러싸는 가스의 흐름을 균일하게 분포시킨다. 상기 배플(62)의 구성은 상기 가스의 최적 분포를 제공하기 위해 선택되고, 상당한 변화가 있을 수 있다. 더욱이, 상기 배플(62)은 필요에 따라 제거될 수 있다.
상기 노즐 구조(70)는 상기 채널(46과 48)을 커버하고 상기 플리넘을 밀폐한 상기 플리넘 몸체(40)에 제거할 수 있게 장착된다. 상기 노즐 구조(70)는 상기 플리넘에 유지된 가스 물질을 상기 처리 챔버(16)에 주입하기 위한 상기 채널(46)에 정렬된 다수의 제1노즐(44a)과 상기 채널(48)에 정렬된 다수의 제2노즐(44b)을 포함한다. 상기 노즐의 크기, 형태, 간격, 각도 및 방향은 상당히 변화할 수 있다. 상기 노즐(44a와 44b)은 바람직하게 평면 프로파일을 가진 상기 웨이퍼의 표면상에 형성된 상기 층을 제공하도록 구성된다.
상기 반응로(10)의 작동 동안에, 특히 상기 웨이퍼(24)의 PECVD 공정 동안에, 상기 노즐 구조(70)는 상기 플라즈마에 노출된다. 상기 가스 주입 분기관(17)은 바람직하게 상기 노즐 구조(70)가 유전체 재료로 형성되지 않는다면 접지된다.
분기관(17)은 상기 플라즈마의 고밀도, 100mTorr보다 높은 종래의 플라즈마 처리 장치의 압력과 비교할 때 3 내지 4mTorr보다 낮은 상기 반응로(10)의 낮은 압력, 및 상대적으로 높은 전자의 온도(Te)와 같은 인지가 가스 흐름에 미치는 영향 때문에 고밀도 플라즈마 강화 CVD 처리에서 중요한 장점이 된다. 더 낮은 챔버 압력 때문에, 평균 자유 경로는 커지고, 상기 주입 위치(예를들면, 제2가스 주입 분기관(17)의 배출구)로부터의 가스 화학물질의 빠른 분산을 초래하고, 상기 웨이퍼(24)의 표면에 대한 분기관(17)의 밀접한 근접은 효과적인 화학물질의 사용을 허용하여 웨이퍼 표면을 가로지르는 균일한 가스 분포를 증진한다.
전술한 바와 같이, 공정 동안에 상기 웨이퍼(24)를 고정하기 위해, 웨이퍼 지지대(20)가 처리 챔버(16)에 제공된다. 상기 웨이퍼 지지대(20)는 일반적으로 아래에 기술되지만, 더욱 상세한 설명은 본 명세서에서 참고로 인용된 계류중인 미국 출원, 일련번호 제 08/500,480 호에 규정되어 있다. 제2도, 제5(b)도 및 제7도를 참조하면, 상기 웨이퍼 지지대(20)는 일반적으로 웨이퍼(24)를 유지하기 위한 지지대 몸체(50), 상기 지지대 표면에 대해 상기 웨이퍼를 정전기적으로 결합하기 위한 전압원(74), 및 상기 웨이퍼를 냉각하기 위한 냉각 장치(78)를 포함한다. 상기 냉각 장치는 상기 웨이퍼(24)와 상기 지지대 표면(52) 사이의 가스 물질을 균일하게 분포시키기 위한 상기 지지대 표면(52)에 형성된 다수의 가스 분포 그루우브(도시안됨)를 포함한다. 상기 냉각 장치는 상기 웨이퍼의 일부가 상기 지지대 표면(52)으로부터 분리되는 경우에 상기 지지대 표면(52)으로부터 상기 웨이퍼(24)의 극단적 이탈을 방지하도록 상기 가스원과 상기 가스 분포 그루우브 사이의 도관에 구속장치(도시안됨)를 포함한다. 상기 지지대 몸체(50)로부터 연장하는 적어도 하나의 아암 부재(21)가 상기 지지대 몸체(50)와 함께 상기 처리 챔버(16)에 고정될 수 있고, 상기 아암 부재(21)는 상기 처리 챔버(16)의 하부로부터 분리된다. 제7도를 참조하면, 본 실시예에서 상기 아암 부재(21)는 운반 어셈블리(86)에 장착된 다음, 상기 처리 챔버(16)에 대한 플레이트(29)에 의해 느슨하게 고정된다.
상기 웨이퍼(24)는 리프팅 어셈블리(도시안됨)에 의해 상기 지지대 표면(52)에 안착되고, 상기 지지대 표면(52)으로부터 올려진다. 상기 리프팅 어셈블리는 상기 지지대 표면(52)과 전극 어셈블리(도시안됨)에 형성된 개구를 통해 연장하는 다수의 리프팅 핀(84)을 포함한다. 상기 리프팅 핀(84)은 상기 핀(14)이 상기 지지대 표면(52) 상에 상기 웨이퍼(24)를 유지하는 연장부와 수축부 사이에서 이동할 수 있게 된다.
상기 웨이퍼 지지대(20)는 공정 동안에 상기 웨이퍼를 냉각하기 위해 냉각 장치를 사용한다. 이를테면 헬륨, 아르곤, 산소, 수소 및 기타 그와 같은 가스 물질이 상기 전체 웨이퍼(24)에 걸쳐 균일한 냉각을 제공하도록 상기 지지대 표면(52)과 상기 웨이퍼(24) 사이에 분포된다. 공정 동안에 균일한 온도로 상기 전체 웨이퍼를 유지하는 것은 상기 웨이퍼 상에 형성된 층의 균일도를 증가시킨다.
본 실시예에서, 상기 웨이퍼 지지대(20)는 PECVD 공정과 함께 사용하기 위해 특별하게 개조된다. 상기 전극 어셈블리(도시안됨)는 r.f. 바이어스를 상기 지지대 몸체(50)에 제공하기 위한 수단을 포함한다. 한쌍의 전기적 커넥터(도시안됨)를 포함하는 전극 어셈블리는 내부 및 외부 전극을 r.f. 원(23)과 정합 네트워크(22)에 각각 결합한다. 상기 지지대 표면(52)에 r.f. 바이어스를 제공하는 것은 상기 지지대 표면(52)의 국부화된 영역에서의 상기 플라즈마의 부유 전위를 증가시킨다. 상기 지지대 표면(52)에 r.f. 바이어스를 인가함으로써 증가된 자기 바이어스는 상기 웨이퍼 지지대(20)의 영역에서 플라즈마 영역(seath)으로 상기 웨이퍼를 향하여 확산하는 이온을 가속시킨다. 이는 스퍼터 에칭을 강화하고, 상기 웨이퍼(24) 표면상에 보이드(void)가 없는 재료층을 형성하기에 바람직하다.
상기 웨이퍼 지지대(20)에 제공되는 r.f. 바이어스의 주파수는 1 내지 60MHz의 범위에 있다. 바람직하게, 상기 플라즈마원(12)의 r.f. 주파수는 주파수 비팅(beating)을 최소화하는 웨이퍼 지지대(20)의 그것과 구별된다. 바람직하게, 상기 웨이퍼 지지대(20)에 제공되는 r.f. 주파수는 대략 3.39MHz이고, 상기 플라즈마원(12)은 대략 13.56MHz에서 작동한다.
처리 동안에, 상기 웨이퍼(24)는 공지된 전달 장치에 의해 상기 지지대 표면(52), 특히 리프팅 핀(54) 상에 배치된다. DC 전압이 상기 지지대 표면(52)에 전기적으로 끌어당기고 상기 웨이퍼를 견고하게 유지하기 위해 상기 웨이퍼 지지대(20)의 적어도 하나의 전극에 제공된다. 상기 웨이퍼(24)를 처리한 후에, 상기 전극은 상기 지지대 표면(52)으로부터 상기 웨이퍼(24)를 분리하기 위한 정전기 전하를 충분히 다시 여기시키기 위해 접지된다. 바람직하게, 상기 지지대 몸체(50)는 양 전압이 하나의 전극에 제공되고, 음전압이 다른 하나의 전극에 제공된 2개의 전극을 포함한다. 상기 웨이퍼(24)가 상기 처리 챔버(16)로부터 제거된 후에, 바람직하게 상기 전극의 극성은 다음의 웨이퍼에 대해 반전된다.
상기 처리 챔버(16)에 대한 웨이퍼 지지대(20)의 독특한 장착은 균형있는 가스 흐름의 증진으로 특히 상기 웨이퍼(24)를 처리하는 동안에 유리하다. 다시 제2도를 참조하면, 적어도 하나의 아암 부재(21)는 상기 웨이퍼 지지대(20)를 상기 처리 챔버(16)에 장착시키고, 상기 웨이퍼 지지대(20)는 상기 처리 챔버(16)에 부유된다. 종래의 장치와는 달리 상기 처리 챔버(16)의 하부로부터 제거되도록 상기 웨이퍼 지지대(20)를 부유시키는 것은 처리 동안에 개선된 흐름 제어를 제공하고, 상기 전체 반응로(10)의 디자인에서의 유연성을 증가시킨다. 바람직한 실시예에서, 상기 진공 펌프(26)는 작동 동안에 상기 반응로(10)의 풋프린트(footprint)를 최소화하고, 상기 펌프의 유효성을 증가시키도록 상기 처리 챔버(16)에 대해 축방향으로 정렬된다.
제5(a)도와 제5(b)도에는 상기 처리 챔버(16)에 장착된 상기 웨이퍼 지지대(20)의 2개의 실시예가 도시된다. 바람직하게, 상기 처리 챔버(16)의 한 벽을 향하여 연장하는 2개의 아암 부재(21a와 21b)가 제5(b)도에 도시된 바와 같이 사용되지만, 상기 아암 부재(21)의 수와 상기 처리 챔버(16)에 부착된 그 위치는 다양할 수 있다.
아암 부재(21a와 21b)는 제5(b)도에 도시된 바와 같이 횡적으로 연장하는 보어(60)와 함께 각각 형성된다. 하나의 아암 부재(21a)의 상기 보어는 상기 웨이퍼 지지대(20)의 전극을 상기 전압원(74)에 결합하는 상기 전기적 커넥터(62와 64)에 대한 상기 지지대 몸체(50)로부터의 도관을 제공한다. 또한, 전기적 커넥터(66과 68)는 상기 r.f. 원(23)을 전극에 결합시킨다. 상기 전극 어셈블리를 위한 상기 가스원(76)과 상기 유동체원(78)이 도관(72와 73)을 통해 상기 지지대 몸체(50)에 각각 연결되고, 상기 도관(72와 73)은 아암 부재(21b)의 보어(60)를 통해 연장한다. 선택적으로, 제5(a)도는 상기 유동체원(78), 가스원(76), dc와 r.f. 원(74와 23) 및 그 각각의 연결이 상기 아암 부재(21)의 보어를 통해 상기 웨이퍼 지지대(20)로 연장하는 처리 챔버(16) 벽에 장착된 하나의 아암 부재(21)의 사용을 도시한다.
상기 처리 챔버(16)에 효과적으로 부착된 것은 상기 반응로(10)를 진공화하기 위한 진공 장치이다. 다시 제1도를 참조하면, 상기 진공 장치는 바람직하게 웨이퍼 지지대(20) 아래와 상기 처리 챔버(16)의 하부에 배치된 펌프(26), 진공 절연 밸브(25)를 포함한다. 바람직하게, 상기 펌프(26)와 밸브(25)는 상기 처리 챔버(16)에 대해 축방향으로 정렬되어 장착된다. 그런 독창적인 “축 상의” 펌핑은 중요한 장점이 되고, 상기 반응로에서의 균형있는 가스 흐름을 증진시킨다. 펌프(26)와 밸브(25)는 각각 바람직하게 공지된 바와 같은 터보 펌프와 게이트 밸브이다.
본 발명의 중요한 장점은 상기 독창적인 디자인, 상기 웨이퍼(24)에 근접하는 영역에서의 상기 펌프의 균형 방해의 대응 감소에 의해 제공된 반응로에서의 가스의 균형있는 흐름이다. 제6도를 참조하면, 상기 반응로(10)내의 균형있는 흐름이 흐름선에 의해 표현된다.
전술된 상기 독창적인 반응로에 따르면, 기판 지지대(20)를 장착한 한쪽과 단일 가스 분포 장치를 형성하는 축 상의 펌핑은 상기 반응로(10)에서의 균형있는 가스 흐름을 제공하도록, 특히 상기 웨이퍼(24)를 가로지르는 균일한 증착 및/또는 에칭을 증진시키도록 디자인된다.
제8도는 다수의 웨이퍼 처리를 위해 다수의 반응로(10a 내지 10d)가 공지된 공통 전달 모듈(75)에 의해 연결된 본 발명의 선택적인 실시예를 도시한다. 각 반응로(10a, 10b, 10c 및 10d)는 각 반응로에서 수행될 수 있는 개별적인 처리 단계 또는 동일한 처리 단계를 수행할 수 있다.
상기 처리 챔버(16)로의 플라즈마 연장을 증진시키기 위해, 상기 독창적인 반응로는 상기 플라즈마의 확산을 유발하는 전위 기울기를 야기한다. 플라즈마가 코일(13) 가까이에 발생되고, 어떤 방향으로 분산할 것이다. 다시 제3(a)도를 참조하면, 제1가스 주입 분기관은 상기 플라즈마가 전압 전위에 관련하여 동작하도록 하는 표면(41)을 가진다. 상기 플라즈마를 통제하기 위해, 바람직하게 접지된 제1가스 주입 분기관(15)은 상기 분기관(15)의 표면에 약간의 양전하(예를들면, 플라즈마 전위)가 발생하도록 플라즈마를 유도한다. 선택적으로, 제1가스 주입 분기관(15)은 접지 대신에 약간의 전위로 유지될 수 있다. 그러므로, 상기 플라즈마가 상기 표면(41)의 국부 영역에서 특정 전위에 관련된다. 상기 플라즈마도 상기 플라즈마 챔버(16)로 연장하고, 화학 반응이 발생하는 영역, 예를들면 웨이퍼 지지대(20)에 대전된 미립자의 지속적인 공급을 위해 상기 플라즈마의 이극성(ambipolar) 확산은 상기 처리 챔버(16)에서의 하전 입자의 손실을 보충한다. 더욱이, 상기 발생된 플라즈마는 예를들어 플라즈마 전위가 낮은 “냉(cold) 플라즈마”이다. 그러므로 상기 챔버 벽에서의 전위가 매우 낮아서 플라즈마는 챔버의 벽을 덜 부식시킬 것이고, 결과적으로 금속 오염을 최소화시킨다. 플라즈마는 1차 이온화 메커니즘이 유발될 수 있게 하는 정전 차폐부(19) 때문에 “냉 플라즈마”가 된다.
r.f. 바이어스의 적용때, 자기 바이어스가 웨이퍼 지지대(20)와 웨이퍼(24)에 유도된다. 자기 바이어스의 제어는 바이어스 r.f. 전류 귀환 경로의 영역과 웨이퍼 영역의 비율을 고려함으로써 수행될 수 있다. 증착 처리 동안의 일실시예에서, 상기 자기 바이어스는 반응로의 플라즈마 차폐부로부터 웨이퍼(24)의 표면으로 이온을 가속시킨다. 상기 이온은 이미 증착된 재료층을 스퍼터 에칭하여, 보이드없는 조밀하고 양호한 품질의 막을 증착할 수 있도록 한다. 상기 웨이퍼 지지대에 인가된 r.f. 바이어스는 75 내지 400 볼트 범위가 될 수 있고, 바람직하게 1700와트의 r.f. 바이어스 파워에 대해 대략 300 볼트가 된다.
상기 플라즈마 소스(12) 주파수와의 간섭(예를들어, 상호 변조)을 최소화하도록 바이어스 주파수를 선택하는 것이 바람직하며, 또한 웨이퍼에서의 dc 자기 바이어스의 유도를 허용하고 과도한 파워 요구없이 바이어스를 얻을 수 있도록 주파수가 충분히 높아야 한다. 일반적으로, 최저 주파수가 유도된 전압의 상부에서의 리플을 희생하여 더 큰 유도 전압을 발생시킨다. 상기 웨이퍼(24) 표면에서의 스퍼터 에칭 속도는 유도된 바이어스에 비례한다. 수용가능한 절충안이 2MHz 이상 또는 13.56MHz 이하의 주파수에서 찾아질 수 있다. 바람직한 실시예는 3.39MHz의 웨이퍼 지지대에 인가된 r.f. 바이어스 주파수를 사용하는데, 상기 주파수는 미국 연방 통신 위원회(FCC) 6.78ISM 주파수(계기, 과학 및 의학 주파수 대역 표준)와 부합되고 상호 변조를 방지하여 제어 시스템 불안정성을 최소화하는 r.f. 플라즈마 소스(12) 주파수와 실질적으로 구별되는 고조파를 가진다.
상기 스퍼터 에칭 속도의 바이어스 주파수에 대한 의존성이 제9도에 도시되어 있다. 산화물 층을 가진 웨이퍼(24)가 웨이퍼 지지대(20)에 배치된다. 상기 반응로(10) 압력은 대략 1.8Torr이고, 대략 100sccm의 아르곤 가스가 상기 처리 챔버(16)에 주입된다. 2개의 구별되는 바이어스 주파수로서 3.39MHz와 13.56MHz가 제공되고, 상기 스퍼터링 에칭 속도는 2개의 주파수에 대해 웨이퍼 지지대(20)에 인가되는 바이어스 파워의 함수로서 도식화된다.
순환하는 r.f. 에너지장은 상기 반응로에 제공되고, 상기 처리 챔버(16)에 있는 상기 웨이퍼에 근접할 때 특정한 관계에 있게 된다. 본 발명의 하나의 중요한 장점은 r.f. 전류가 r.f. 에너지로 상기 웨이퍼 지지대(20)를 바이어스함으로써 발생된 r.f. 전류에 대한 반환 경로로서 상기 제2가스 주입 분기관(17)의 기능이다. 상기 순환하는 r.f. 전류의 양은 상기 분기관(17)을 통한 반환 경로를 찾으면 알 수 있다. 다시 제4도를 참조하면, 정합 표면(10과 18)을 통해 잘 접지된 상기 제2가스 주입 분기관(17)은 바람직하게 상기 플리넘 몸체(40)와 상기 노즐부(70) 사이의 표면 대 표면 접촉을 증가시키도록 니켈과 같은 적당한 재료로 도금된다. 상기 금속의 계면연결 표면은 낮은 임피던스 접촉을 증진시키도록 디자인되고, 공지된 나선형의 차폐부와 같은 특별한 가스켓 재료를 사용한다. 접지와 상기 정합 표면(80과 81)에 결합된 상기 분기관(17)은 r.f. 바이어스가 상기 웨이퍼 지지대(20)에 제공될 때 발생된 r.f. 에너지에 대한 반환 경로를 제공한다. 상기 r.f. 전류는 상기 금속의 벌크(bulk)를 통해서가 아니라 표면을 따라 이동하고, 따라서 상기 가스켓 재료는 상기 금속 표면에 가깝게 배치된다. 더욱이, 상기 처리 챔버(16)의 상기 분기관(17)의 배치는 중요한데, 상기 분기관(17)은 상기 웨이퍼 지지대(20)에 대한 상기 플라즈마원(12)과 제1가스 주입 분기관(15)의 근접에 비하여 상기 웨이퍼 지지대(20)에 대해 가까운 근접으로 배치된다. 상기 순환 r.f. 전류는 일반적으로 상기 제2가스 주입 분기관(17)에 마주치고, 다른 부품에 마주치기 전에 제거된다. 상기 r.f. 전류가 상기 플라즈마원(12)을 통해 반환되는 경우에, 본 발명에서와는 달리 상기 플라즈마원(12)의 공진은 악영향을 끼칠 수 있다. 또한, 전술한 바와 같이 상기 주파수는 이런 발생을 방지할 정도로 충분히 구별된다.
본 발명의 반응로(10)는 특히 상기 웨이퍼 지지대(20)로부터의 상기 r.f. 전류 및 상기 발생원(12)과 제1분기관(15)의 플라즈마 전위의 절연을 제공함으로써 안정된, 재현할 수 있는 작동을 제공하기에 적당하다. 그런 절연은 상기 제1가스 분기관(15)의 표면(41)에서 상기 플라즈마 전위가 만족스럽게 한정되고, 유지될 수 있게 한다. 만족스럽게 한정된 플라즈마 전위가 없다면, 상기 장치는 상기 제1가스 주입 분기관(15)의 표면(41)과 접촉하는 플라즈마의 양에 의존하여 날마다 달라질 수 있고, 상기 증착 공정의 재현성을 저하시킨다. 상기 제2가스 주입 분기관(17)의 기계적인 구성은 전술한 바와 같은 동일 r.f. 반환 기능을 달성하는 동안에 상당하게 변할 수 있고, 이런 모든 기계적 변경은 본 발명의 범위내에 있다.
전술한 바와 같이 본 발명의 중요한 장점은 독창적인 디자인과 특히 축상의 펌프에 의해 제공된 상기 반응로의 상기 웨이퍼(24)에 근접한 영역에서의 균형있는 펌프 흐름에 대응하는 상기 가스의 균형있는 흐름이다. 다시 제6도를 참조하면, 상기 반응로(10)내의 균형있는 가스의 흐름이 흐름선에 의해 표현되고, 상기 웨이퍼 평면에서의 바람직하고 균일한 방사상 흐름을 도시한다. 저압에서 상기 가스의 평균 자유 경로는 상기 웨이퍼 지지대(20)에 있는 상기 웨이퍼 주위에 동일하고 효과적인 펌핑 속도를 제공하는 반응로에 의해 강화된다. 동일하고 효과적인 펌핑 속도는 상기 처리 챔버에 대해 축방향으로 정렬된 상기 웨이퍼와 펌프에 의해 달성되고, 기하학적 방향은 상기 웨이퍼 주위의 동일 거리 흐름을 증진한다. 그러므로, 균형있게 상기 기판을 가로지르는 상기 가스의 흐름은 상기 기판의 균일한 처리를 강화시킨다. 더욱이, 상기 반응로 자기 세척 작동 동안에, 가스는 바람직하게 균일한 가스 흐름을 강화하는 대칭축을 따르는 펌프를 가지고 제1가스 주입 분기관(15)을 통해 주입되고, 상기 반응로(10)에 걸친 세척이 진행된다.
본 발명의 반응로(10)는 제10(a)도와 제10(b)도에 의해 도시된 바와 같이 균일한 필름의 증착을 증진시킨다. 웨이퍼(24)는 기판 상에 형성된 다수의 소자 형태(81a 내지 81d)를 가진 기판(80)을 구비하여 제공된다. 소자 형태(81a 내지 81b) 사이의 상기 갭 간격은 0.25μm이고, 소자 형태(81a 내지 81c) 사이의 상기 갭 간격은 0.3μm이다. 상기 종횡비는 2.5 : 1이다. 소자 산화층(82)은 본 발명의 반응로에서 소자 형태(81)와 기판(80) 상에 증착된다. 도시된 바와 같이 상기 반응로(10)와 그 작동 방법은 뛰어난 스텝 커버리지를 가지고 0.25와 0.30μm 갭을 채우는 보이드 없는 층을 충분히 증착한다.
제11도를 참조하면, 본 발명에서의 웨이퍼 지지대에 인가되는 r.f. 바이어스 함수로서 증착 속도가 도시되어 있다. 증착 속도가 정규화되고, 실란 흐름당 증착 속도(단위는 μm/(min.sccm))가 웨이퍼 지지대에 인가되는 r.f. 바이어스 파워의 함수로서 도식화되는 것으로 표현되어 있다.
이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.

Claims (10)

  1. 플라즈마 강화 화학적 처리 장치에 있어서, 플라즈마 챔버와, 적어도 한가지의 제1가스를 수용하기 위해 상기 플라즈마 챔버와 연통하는 제1가스 주입 분기관과, 플라즈마를 형성하기 위해 상기 적어도 한가지의 제1가스를 여기하기 위한 전자기 에너지원과, 상기 플라즈마 챔버로부터의 플라즈마 연장을 위해 상기 플라즈마 챔버와 연통하는 처리 챔버와, 상기 처리 챔버에 배치되어 웨이퍼를 지지하기 위한 웨이퍼 지지대와, 반응 가스가 상기 웨이퍼 지지대 쪽으로 향하여 웨이퍼 지지 대상에 지지된 웨이퍼 표면의 처리를 위해 플라즈마와 상호 작용하도록, 상기 처리 챔버내에 배치되고 상기 웨이퍼 지지대를 둘러싸는 제2가스 분기관과, 상기 처리 챔버의 하부에서 가스를 제거하기 위한 진공 장치를 포함하는 것을 특징으로 하는 플라즈마 강화 화학적 처리 장치.
  2. 제1항에 있어서, 상기 전자기 에너지원은 유도 결합 플라즈마원인 것을 특징으로 하는 플라즈마 강화 화학적 처리 장치.
  3. 제1항에 있어서, 상기 웨이퍼 지지대가 상기 처리 챔버내에 부유되도록 상기 처리 챔버의 적어도 한 표면에 부착되는 것을 특징으로 하는 플라즈마 강화 화학적 처리 장치.
  4. 제1항에 있어서, 상기 웨이퍼 지지대는 상기 웨이퍼를 유지하기 위한 지지 표면을 가지는 지지대 몸체와, 상기 웨이퍼를 상기 지지 표면에 정전기적으로 결합시키기 위해 상기 지지대 몸체에 결합된 전압원과, 가스 물질이 상기 웨이퍼와 상기 지지 표면 사이에 균일하게 분포하도록 구성되고, 상기 지지 표면에 형성된 다수의 가스 분포 그루우브를 가지는 냉각 장치와, 상기 지지대 몸체에 부착된 한 단부와, 상기 처리 챔버의 표면에 부착된 다른 단부를 가지는 적어도 하나의 부재를 포함하는 것을 특징으로 하는 플라즈마 강화 화학적 처리 장치.
  5. 플라즈마 강화 화학적 처리 장치에 있어서, 플라즈마를 발생하기 위한 나선형 공진기와, 상기 나선형 공진기에 배치된 정전 차폐부를 가지는 전자기 에너지원을 구비한 플라즈마 챔버와, 상기 플라즈마 챔버로부터의 플라즈마 연장을 위해 상기 플라즈마 챔버와 연통하는 처리 챔버와, 상기 처리 챔버내로 연장된 플라즈마와 상호 작용하는 동안에 상기 처리 챔버에서 웨이퍼를 지지하기 위한 지지대를 포함하는 것을 특징으로 하는 플라즈마 강화 화학적 처리 장치.
  6. 플라즈마 강화 화학적 처리 장치에 있어서, 플라즈마를 발생하기 위한 전자기 에너지원을 구비하는 실린더형 플라즈마 챔버와, 상기 플라즈마 챔버로부터의 플라즈마 연장을 위해 상기 플라즈마 챔버와 연통하는 처리 챔버와, 상기 처리 챔버내로 연장된 상기 플라즈마와 상호 작용하는 동안에 상기 처리 챔버내에서 상기 웨이퍼를 지지하기 위한 지지대와, 상기 처리 챔버를 진공으로 하기 위해 상기 처리 챔버의 축상에 위치된 진공 장치를 포함하는 것을 특징으로 하는 플라즈마 강화 화학적 처리 장치.
  7. 플라즈마 강화 화학적 처리 장치에 있어서, 플라즈마 챔버와, 적어도 한가지 제1가스를 수용하기 위해 상기 플라즈마 챔버와 연통하는 제1가스 주입 분기관과, 플라즈마를 형성하도록 상기 적어도 한가지 제1가스를 여기하기 위한 전자기 에너지원과, 상기 플라즈마 챔버로부터의 플라즈마 연장을 위해 상기 플라즈마 챔버와 연통하는 처리 챔버와, 상기 처리 챔버에 축방향으로 정렬되어 웨이퍼를 지지하기 위한 웨이퍼 지지대와, 반응 가스가 상기 웨이퍼 지지대 쪽으로 향하여 상기 플라즈마와의 상호작용으로 상기 웨이퍼상에 재료층을 증착하도록, 상기 처리 챔버에 축방향으로 정렬되고 상기 웨이퍼 지지대를 둘러싸는 제2가스 분기관과, 상기 처리 챔버로부터 가스를 제거하기 위해 상기 처리 챔버에 축방향으로 정렬된 진공 장치를 포함하는 것을 특징으로 하는 플라즈마 강화 화학적 처리 장치.
  8. 제7항에 있어서, 상기 처리 챔버에 부유되도록 상기 웨이퍼 지지대가 상기 처리 챔버의 적어도 한 표면에 부착되는 것을 특징으로 하는 플라즈마 강화 화학적 처리 장치.
  9. 플라즈마 강화 화학적 처리 장치에 있어서, 실린더형 플라즈마 챔버와, 상기 플라즈마 챔버와 연통하여 적어도 제1가스를 수용하기 위한 제1가스 주입 분기관과, 플라즈마를 형성하도록 상기 적어도 한가지 제1가스를 여기하기 위한 나선형 공진기와 상기 나선형 공진기에 배치된 정전 차폐부를 가지는 전자기 에너지원과, 상기 플라즈마 챔버로부터의 플라즈마 연장을 위해 상기 플라즈마 챔버와 연통하는 처리 챔버와, 상기 처리 챔버에 부유되도록 상기 처리 챔버의 축상에 배치되고, 상기 처리 챔버의 적어도 한 표면에 부착되는 웨이퍼를 지지하기 위한 웨이퍼 지지대와, 반응 가스가 상기 웨이퍼 지지대쪽으로 향하여 상기 플라즈마와의 상호 작용으로 상기 웨이퍼상에 재료를 증착하도록, 상기 처리 챔버의 축상에 배치되고 상기 웨이퍼 지지대를 둘러싸는 제2가스 분기관과, 상기 처리 챔버로부터 가스를 제거하기 위해 상기 처리 챔버의 축상에 정렬되고, 상기 웨이퍼 지지대 아래에 배치되고, 상기 처리 챔버와 연통하는 진공 장치를 포함하는 것을 특징으로 하는 플라즈마 강화 화학적 처리 장치.
  10. 플라즈마 챔버 및 웨이퍼 지지용 웨이퍼 지지대를 갖는 처리 챔버를 포함하는 플라즈마 강화 화학적 처리 장치를 작동시키는 방법에 있어서, 상부 표면을 가지는 플라즈마 챔버내에 플라즈마를 발생시키는 단계와, 상기 상부 표면을 따라 상기 플라즈마가 제1전압 전위에 관련하도록 하는 단계와, 제2전압 전위를 형성하도록 상기 웨이퍼 지지대에 r.f. 에너지를 제공하는 단계를 포함하며, 상기 제1전압 전위와 상기 제2전압 전위 사이의 차이는 상기 웨이퍼 지지대에 근접하는 영역에 상기 플라즈마의 확산을 유도하는 것을 특징으로 하는 플라즈마 강화 화학적 처리 장치를 작동시키는 방법.
KR1019960027700A 1995-07-10 1996-07-10 플라즈마 강화 화학적 처리 장치 및 그 장치를 작동시키는 방법 KR100241171B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US50049395A 1995-07-10 1995-07-10
US08/500493 1995-07-10
US08/500,493 1995-07-10

Publications (2)

Publication Number Publication Date
KR970008401A KR970008401A (ko) 1997-02-24
KR100241171B1 true KR100241171B1 (ko) 2000-02-01

Family

ID=23989658

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960027700A KR100241171B1 (ko) 1995-07-10 1996-07-10 플라즈마 강화 화학적 처리 장치 및 그 장치를 작동시키는 방법

Country Status (9)

Country Link
US (4) US6001267A (ko)
EP (1) EP0839217B1 (ko)
JP (1) JP3701390B2 (ko)
KR (1) KR100241171B1 (ko)
CN (1) CN1160479C (ko)
AT (1) ATE331053T1 (ko)
DE (1) DE69636286T2 (ko)
TW (1) TW283250B (ko)
WO (1) WO1997003224A1 (ko)

Families Citing this family (507)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193878B1 (en) * 1995-01-25 2001-02-27 Zpm, Inc. Multi-modal method and apparatus for treating a solution
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
JP3640478B2 (ja) * 1996-09-20 2005-04-20 アネルバ株式会社 プラズマ処理装置
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
JPH1167675A (ja) * 1997-08-21 1999-03-09 Toshiba Ceramics Co Ltd 高速回転気相薄膜形成装置及びそれを用いる高速回転気相薄膜形成方法
US6129807A (en) 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6041735A (en) * 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
JPH11193468A (ja) * 1997-12-30 1999-07-21 Shimadzu Corp 薄膜形成装置
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
KR100370440B1 (ko) * 1998-03-05 2003-02-05 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
JPH11274137A (ja) * 1998-03-18 1999-10-08 Kenichi Nanbu エッチング方法
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP2000183037A (ja) 1998-12-11 2000-06-30 Tokyo Electron Ltd 真空処理装置
US7077159B1 (en) * 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
KR100687971B1 (ko) * 1998-12-30 2007-02-27 동경 엘렉트론 주식회사 챔버 하우징 및 플라즈마원
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6394109B1 (en) * 1999-04-13 2002-05-28 Applied Materials, Inc. Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system
EP1187183A4 (en) * 1999-04-16 2009-01-14 Tokyo Electron Ltd MANUFACTURE OF A SEMICONDUCTOR PART AND ASSOCIATED MANUFACTURING STRIP
US6402848B1 (en) 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
WO2000068985A1 (fr) * 1999-05-06 2000-11-16 Tokyo Electron Limited Appareil de traitement au plasma
US6395095B1 (en) * 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6143144A (en) * 1999-07-30 2000-11-07 Tokyo Electronlimited Method for etch rate enhancement by background oxygen control in a soft etch system
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
WO2001046492A1 (en) * 1999-12-22 2001-06-28 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6426280B2 (en) 2000-01-26 2002-07-30 Ball Semiconductor, Inc. Method for doping spherical semiconductors
JP2001323376A (ja) * 2000-03-06 2001-11-22 Canon Inc 堆積膜の形成装置
EP1139402A1 (en) * 2000-03-27 2001-10-04 Infineon Technologies AG Method and arrangement for depositing a dielectric layer
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US20020078893A1 (en) * 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
US6709522B1 (en) 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
DE10101548C1 (de) * 2001-01-15 2002-05-29 Infineon Technologies Ag Reaktionskammer zur Bearbeitung einer Substratscheibe und Verfahren zum Betrieb derselben
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
KR100443905B1 (ko) * 2001-03-23 2004-08-09 삼성전자주식회사 화학 기상 증착장치
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
WO2002099863A1 (fr) * 2001-06-01 2002-12-12 Tokyo Electron Limited Dispositif de traitement de plasma
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
KR100433285B1 (ko) * 2001-07-18 2004-05-31 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6461436B1 (en) * 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP2003201566A (ja) * 2002-01-08 2003-07-18 Mitsubishi Electric Corp 化学気相堆積装置
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
KR100483886B1 (ko) * 2002-05-17 2005-04-20 (주)엔피씨 나노분말 양산용 고주파 유도 플라즈마 반응로
CN1671884A (zh) * 2002-07-31 2005-09-21 东京毅力科创株式会社 小体积、高流导的处理室
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20030047536A1 (en) * 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US20040065256A1 (en) * 2002-10-03 2004-04-08 Kim Gi Youl Systems and methods for improved gas delivery
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
JP4588329B2 (ja) * 2003-02-14 2010-12-01 東京エレクトロン株式会社 プラズマ発生装置およびリモートプラズマ処理装置
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
JP4179041B2 (ja) * 2003-04-30 2008-11-12 株式会社島津製作所 有機el用保護膜の成膜装置、製造方法および有機el素子
CN101106070B (zh) * 2003-05-02 2012-01-11 东京毅力科创株式会社 处理气体导入机构和等离子体处理装置
JP4394073B2 (ja) * 2003-05-02 2010-01-06 東京エレクトロン株式会社 処理ガス導入機構およびプラズマ処理装置
JP4074224B2 (ja) * 2003-06-26 2008-04-09 住友重機械工業株式会社 真空装置及び電子ビーム近接露光装置
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
CN1313640C (zh) * 2003-09-18 2007-05-02 中芯国际集成电路制造(上海)有限公司 等离子体增强式化学气相沉积处理方法
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
CN100369201C (zh) * 2004-11-17 2008-02-13 上海华虹Nec电子有限公司 一种高密度等离子体化学气相沉淀装置
EP1866465A2 (en) * 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
CN100462300C (zh) * 2005-07-29 2009-02-18 鸿富锦精密工业(深圳)有限公司 碳纳米管生长装置
US7651587B2 (en) 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
CN101150909B (zh) * 2006-09-22 2010-05-12 中微半导体设备(上海)有限公司 等离子体约束装置
US20070084407A1 (en) * 2005-10-14 2007-04-19 Hon Hai Precision Industry Co., Ltd. Apparatus and method for manufacturing carbon nanotubes
KR100725108B1 (ko) * 2005-10-18 2007-06-04 삼성전자주식회사 가스 공급 장치 및 이를 갖는 기판 가공 장치
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
JP5426811B2 (ja) 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US8419854B2 (en) * 2007-04-17 2013-04-16 Ulvac, Inc. Film-forming apparatus
US20090023274A1 (en) * 2007-07-07 2009-01-22 Xinmin Cao Hybrid Chemical Vapor Deposition Process Combining Hot-Wire CVD and Plasma-Enhanced CVD
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
KR100892249B1 (ko) * 2007-11-21 2009-04-09 주식회사 디엠에스 플라즈마 반응장치
FR2923946A1 (fr) * 2007-11-21 2009-05-22 Alcatel Lucent Sas Equipement pour la fabrication de semi-conducteurs, dispositif de pompage et porte-substrat correspondant
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8298338B2 (en) 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101161407B1 (ko) * 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004822B1 (ko) 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
EP2299922B1 (en) * 2008-05-30 2016-11-09 Colorado State University Research Foundation Apparatus for generating plasma
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
WO2011123124A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US8852696B2 (en) * 2008-05-30 2014-10-07 Alta Devices, Inc. Method for vapor deposition
EP2297377B1 (en) * 2008-05-30 2017-12-27 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
JP5520455B2 (ja) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 プラズマ処理装置
US9121096B2 (en) * 2008-10-10 2015-09-01 Alta Devices, Inc. Concentric showerhead for vapor deposition
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
JP5634037B2 (ja) 2009-06-18 2014-12-03 三菱重工業株式会社 排気構造、プラズマ処理装置及び方法
JP5558035B2 (ja) 2009-06-18 2014-07-23 三菱重工業株式会社 プラズマ処理装置及び方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR200478069Y1 (ko) * 2009-09-10 2015-08-24 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
KR101092122B1 (ko) * 2010-02-23 2011-12-12 주식회사 디엠에스 에칭 프로파일 제어를 위한 가스 인젝션 시스템
WO2011112617A2 (en) * 2010-03-12 2011-09-15 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
EP2552340A4 (en) 2010-03-31 2015-10-14 Univ Colorado State Res Found PLASMA DEVICE WITH LIQUID GAS INTERFACE
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
WO2012026241A1 (ja) * 2010-08-26 2012-03-01 株式会社日立国際電気 半導体装置の製造方法、及び基板処理装置
DE102010056021B3 (de) * 2010-12-23 2012-04-19 Centrotherm Sitec Gmbh Düsenanordnung und CVD-Reaktor
CN106954331B (zh) * 2011-02-03 2019-06-11 泰克纳等离子系统公司 感应等离子体焰炬和管状焰炬体
KR101900527B1 (ko) * 2011-04-11 2018-09-19 램 리써치 코포레이션 반도체 프로세싱을 위한 e-빔 강화된 디커플링 소스
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9212422B2 (en) 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US10066297B2 (en) 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
US9267205B1 (en) 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
JP5940375B2 (ja) * 2012-06-01 2016-06-29 シャープ株式会社 気相成長装置および窒化物半導体発光素子の製造方法
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US10131994B2 (en) * 2012-07-20 2018-11-20 Applied Materials, Inc. Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140186544A1 (en) * 2013-01-02 2014-07-03 Applied Materials, Inc. Metal processing using high density plasma
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US9957601B2 (en) * 2013-03-15 2018-05-01 Applied Materials, Inc. Apparatus for gas injection in a physical vapor deposition chamber
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US20160010207A1 (en) * 2013-04-03 2016-01-14 Dongjun Wang Plasma-Enhanced Atomic-Layer Deposition System and Method
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150294843A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Methods for extending chamber component life for plasma processing semiconductor applications
CN105431924B (zh) * 2014-04-09 2020-11-17 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10113232B2 (en) * 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10883168B2 (en) 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6602887B2 (ja) * 2015-03-19 2019-11-06 マットソン テクノロジー インコーポレイテッド プラズマ処理チャンバ内のエッチングプロセスのアジマス方向の均質性の制御
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN108292588B (zh) * 2015-12-04 2022-02-18 应用材料公司 用以防止hdp-cvd腔室电弧放电的先进涂层方法及材料
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN109952618A (zh) * 2016-09-09 2019-06-28 C·阿苏 Pert太空垃圾的修复、采矿和精制
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10934620B2 (en) * 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN107093545B (zh) * 2017-06-19 2019-05-31 北京北方华创微电子装备有限公司 反应腔室的下电极机构及反应腔室
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR20210090279A (ko) * 2018-12-07 2021-07-19 어플라이드 머티어리얼스, 인코포레이티드 컴포넌트, 컴포넌트를 제조하는 방법, 및 컴포넌트를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
IT201900004609A1 (it) * 2019-03-27 2020-09-27 Afros Spa Dispositivo di miscelazione ad alta pressione con condotto di erogazione autopulente sensorizzato.
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN111341698B (zh) * 2020-03-09 2022-07-26 苏州能讯高能半导体有限公司 一种刻蚀设备
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) * 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20220364231A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Gas injector for epitaxy and cvd chamber
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022102768A1 (de) * 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634740A (en) 1970-04-20 1972-01-11 Addressograph Multigraph Electrostatic holddown
US3656454A (en) * 1970-11-23 1972-04-18 Air Reduction Vacuum coating apparatus
US3916270A (en) 1974-05-02 1975-10-28 Tektronix Inc Electrostatic holddown apparatus
US4184188A (en) 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
JPS5846057B2 (ja) 1979-03-19 1983-10-14 富士通株式会社 プラズマ処理方法
US4514636A (en) 1979-09-14 1985-04-30 Eaton Corporation Ion treatment apparatus
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4313783A (en) 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4324611A (en) 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
US4384918A (en) 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS57149734A (en) 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
US4365588A (en) * 1981-03-13 1982-12-28 Rca Corporation Fixture for VPE reactor
JPS5816078A (ja) 1981-07-17 1983-01-29 Toshiba Corp プラズマエツチング装置
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
JPS59186955A (ja) * 1983-04-06 1984-10-23 Toyo Kasei Kogyo Kk β−メルカプトプロピオン酸エステルの製造法
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
KR890004881B1 (ko) 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
US4558388A (en) * 1983-11-02 1985-12-10 Varian Associates, Inc. Substrate and substrate holder
GB2162207B (en) * 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPS6164124A (ja) * 1984-09-06 1986-04-02 Anelva Corp 薄膜作成装置
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
DE3539981C1 (de) * 1985-11-11 1987-06-11 Telog Systems Gmbh Verfahren und Vorrichtung zur Behandlung von Halbleitermaterialien
JPH0691020B2 (ja) * 1986-02-14 1994-11-14 日本電信電話株式会社 気相成長方法および装置
US4724621A (en) * 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
US4705951A (en) 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4828369A (en) * 1986-05-28 1989-05-09 Minolta Camera Kabushiki Kaisha Electrochromic device
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
DE3853890T2 (de) * 1987-01-19 1995-10-19 Hitachi Ltd Mit einem Plasma arbeitendes Gerät.
JP2750430B2 (ja) * 1987-05-26 1998-05-13 住友金属工業株式会社 プラズマ制御方法
JPH01276736A (ja) * 1988-04-28 1989-11-07 Tokyo Electron Ltd エッチング装置
JPH0730468B2 (ja) 1988-06-09 1995-04-05 日電アネルバ株式会社 ドライエッチング装置
US5376628A (en) * 1988-06-30 1994-12-27 Anelva Corporation Method of improving or producing oxide superconductor
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
JPH02271626A (ja) * 1989-04-13 1990-11-06 Sumitomo Metal Ind Ltd プラズマ装置
DE69017744T2 (de) * 1989-04-27 1995-09-14 Fujitsu Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
JPH0791645B2 (ja) * 1989-04-28 1995-10-04 株式会社日立製作所 薄膜形成装置
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) * 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0376112A (ja) * 1989-08-17 1991-04-02 Nippon Sanso Kk 気相成長装置
US5314845A (en) * 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
WO1991010341A1 (en) * 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5452177A (en) 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
JPH06103683B2 (ja) 1990-08-07 1994-12-14 株式会社東芝 静電吸着方法
US5099571A (en) 1990-09-07 1992-03-31 International Business Machines Corporation Method for fabricating a split-ring electrostatic chuck
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
JP3042127B2 (ja) * 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
EP0578047B1 (en) * 1992-06-23 1998-05-13 Nippon Telegraph And Telephone Corporation Plasma processing apparatus
US5460684A (en) 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
JP3259380B2 (ja) * 1992-12-04 2002-02-25 ソニー株式会社 半導体装置の製造方法
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5545591A (en) * 1993-01-29 1996-08-13 Nec Corporation Method for forming an aluminum film used as an interconnect in a semiconductor device
TW249313B (ko) * 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP2916735B2 (ja) * 1993-03-24 1999-07-05 株式会社日本製鋼所 プラズマ表面改質方法および装置
US5330610A (en) * 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5365057A (en) 1993-07-02 1994-11-15 Litton Systems, Inc. Light-weight night vision device
EP0635870A1 (en) 1993-07-20 1995-01-25 Applied Materials, Inc. An electrostatic chuck having a grooved surface
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3172759B2 (ja) 1993-12-02 2001-06-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5525159A (en) * 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5467249A (en) 1993-12-20 1995-11-14 International Business Machines Corporation Electrostatic chuck with reference electrode
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5452510A (en) 1993-12-20 1995-09-26 International Business Machines Corporation Method of making an electrostatic chuck with oxide insulator
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
EP0668608A1 (en) 1994-02-22 1995-08-23 Applied Materials, Inc. Electrostatic chuck with erosion-resistant electrode connection
US5522937A (en) * 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
JP2630257B2 (ja) * 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP3424867B2 (ja) * 1994-12-06 2003-07-07 富士通株式会社 プラズマ処理装置及びプラズマ処理方法
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5958134A (en) * 1995-06-07 1999-09-28 Tokyo Electron Limited Process equipment with simultaneous or sequential deposition and etching capabilities
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US5824607A (en) 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US6027601A (en) 1997-07-01 2000-02-22 Applied Materials, Inc Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor

Also Published As

Publication number Publication date
DE69636286T2 (de) 2007-04-12
ATE331053T1 (de) 2006-07-15
JP3701390B2 (ja) 2005-09-28
EP0839217A4 (en) 2001-04-04
US6178918B1 (en) 2001-01-30
EP0839217B1 (en) 2006-06-21
DE69636286D1 (de) 2006-08-03
US6375750B1 (en) 2002-04-23
EP0839217A1 (en) 1998-05-06
TW283250B (en) 1996-08-11
CN1189859A (zh) 1998-08-05
KR970008401A (ko) 1997-02-24
US6001267A (en) 1999-12-14
CN1160479C (zh) 2004-08-04
US5792272A (en) 1998-08-11
WO1997003224A1 (en) 1997-01-30
JPH09167762A (ja) 1997-06-24

Similar Documents

Publication Publication Date Title
KR100241171B1 (ko) 플라즈마 강화 화학적 처리 장치 및 그 장치를 작동시키는 방법
US20020078893A1 (en) Plasma enhanced chemical processing reactor and method
US11929251B2 (en) Substrate processing apparatus having electrostatic chuck and substrate processing method
US7849815B2 (en) Plasma processing apparatus
KR100300097B1 (ko) 플라즈마처리장치
KR100752800B1 (ko) 반도체처리용의 기판유지구조 및 플라즈마 처리장치
TWI383468B (zh) 半導體設備中的射頻功率傳輸系統
TWI404165B (zh) 基材支撐裝置及包含該裝置之電漿蝕刻裝置
EP1300878B1 (en) Device for plasma processing
US7754997B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma
KR100445018B1 (ko) 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치
KR100284571B1 (ko) 세라믹 라이닝을 이용하여 cvd챔버 내의 잔류물 축적을 감소시키는 장치 및 방법
US20140138356A1 (en) Plasma Processing Apparatus, Plasma Processing Method and Storage Mediuim
US20100193471A1 (en) Method and system for controlling radical distribution
US5556474A (en) Plasma processing apparatus
US20040129218A1 (en) Exhaust ring mechanism and plasma processing apparatus using the same
JPH09181052A (ja) プラズマ処理装置
KR100501777B1 (ko) 플라즈마 처리 장치
KR100269552B1 (ko) 플라즈마 처리 장치
JP3243125B2 (ja) 処理装置
US6016765A (en) Plasma processing apparatus
JPWO2008123295A1 (ja) プラズマ処理装置
US20230282459A1 (en) Batch type substrate processing apparatus
KR20110054726A (ko) 기판처리장치
JP7329131B2 (ja) プラズマ処理装置およびプラズマ処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121030

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee