KR100300097B1 - 플라즈마처리장치 - Google Patents

플라즈마처리장치 Download PDF

Info

Publication number
KR100300097B1
KR100300097B1 KR1019950009915A KR19950009915A KR100300097B1 KR 100300097 B1 KR100300097 B1 KR 100300097B1 KR 1019950009915 A KR1019950009915 A KR 1019950009915A KR 19950009915 A KR19950009915 A KR 19950009915A KR 100300097 B1 KR100300097 B1 KR 100300097B1
Authority
KR
South Korea
Prior art keywords
gas
processing
plasma
gas supply
gas ejection
Prior art date
Application number
KR1019950009915A
Other languages
English (en)
Other versions
KR950034531A (ko
Inventor
스즈키아키라
가와무라고헤이
이시즈카슈이치
하타지로
Original Assignee
히가시 데쓰로
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP16745194A external-priority patent/JP3243125B2/ja
Application filed by 히가시 데쓰로, 동경 엘렉트론 주식회사 filed Critical 히가시 데쓰로
Publication of KR950034531A publication Critical patent/KR950034531A/ko
Application granted granted Critical
Publication of KR100300097B1 publication Critical patent/KR100300097B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 처리장치는 처리용기내에서 피처리면을 가지는 피처리물을 지지하기 위한 서셉터와, 처리응기내로 피처리물 처리가스를 공급하기 위한 다수개의 처리가스 공급노즐과, 처리가스의 플라즈마를 발생하기 위하여 처리용기내에 전자파를 발생시키는 고주파 코일을 포함하여 구성된다. 공급노즐은 처리용기내의 피처리체의 표면에 실질적으로 수직인 방향으로 다수개의 높이로 형성된 처리가스 분출구멍을 가지며, 높은 곳에 위치하는 가스 분출구멍들은 낮은 곳의 가스분출구멍의 표면보다 더 중심에 가까이 위치한다.

Description

플라즈마 처리장치
제1도는 본 발명의 한 실시예에 관한 플라즈마 처리장치를 나타낸 개략사시도.
제2도는 제1도에 나타낸 처리장치의 단면도.
제3도는 제1도에 나타낸 처리장치의 평면도.
제4도는 가스공급 노즐위치에 대한 막형성의 평가를 행하기 위하여 노즐과 웨이괴의 위치관계를 나타낸 도.
제5도는 노즐 앞끝단과 웨이퍼의 높이방향의 거리에 대한 막두께의 면내 균일성을 나타낸 그래프.
제6도는 노즐의 길이에 대한 막두께의 면내 균일성을 나타낸 그래프.
제7(a)도 내지 제7(c)도는 제6도에 나타낸 그래프의 결과를 설명하기위한 도.
제8도는 본 발명의 제 2 실시예에 관한 장치를 개략적으로 나타낸 단면도.
제9도는 제8도에 나타낸 장치의 일부의 변형예를 확대하여 나타낸 면.
제10도는 제 3 실시예에 관한 가스분출면이 테이퍼형상으로 형성된 가스공급헤드를 나타낸 사시도.
제11도는 제10도에 나타낸 가스공급헤드의 단면도.
제12도는 가스공급헤드자체를 돔형상으로 형성한 본 발명의 장치예를 나타낸 개략단면도.
제13도는 가스공급헤드자체를 테이퍼형상으로 형성한 본 발명의 장치예를 나타낸 개략단면도.
제14도는 천정부로부터 매단 가스공급헤드의 가스분출면을 돔형상으로한 본 발명의 장치예를 나타낸 개략단면도.
제15도는 본 발명에 관한 플라즈마 처리장치의 다른 실시예를 나타낸 단면도.
제16도는 제15도에 나타낸 장치의 안테나부재를 나타낸 평면도.
제17도는 1턴의 안테나부재를 나타낸 평면도.
제18도는 본 발명의 플라즈마 처리장치의 또다른 실시예를 나타낸 단면도.
제19도는 본 발명의 플라즈마 처리장치의 또다른 실시예를 나타낸 단면도.
제20도는 안테나부재의 배치상태를 변경하였을 때의 처리비율곡선의변화를 나타낸 도.
제21도는 본 발명의 플라즈마 처리장치의 또다른 실시예를 나타낸 단면도.
제22도는 제21도에 나타낸 처리장치의 안테나부재의 확대단면도.
제23도는 본 발명의 플라즈마 처리장치의 또다른 실시예를 나타낸 단면도.
제24도는 본 발명의 플라즈마 처러장치의 또다른 실시예를 나타낸 단면도.
제25도는 본 발명의 플라즈마 처리장치의 또다른 실시예를 나타낸 단면도.
제26도는 본 발명의 플라즈마 처리장치의 또다른 실시예를 나타낸 단면도.
제27도는 종래의 플라즈마처러장치를 나타내는 단면도.
제28도는 본발명의 선출원인 일본특원평5-317375에 대한 부분파단 개략구성도이다.
* 도면의 주요부분에 대한 부호의 설명
2 : 플라즈마 CVD장치 4 : 처리용기
6 : 서셉터 8 : 서셉터 지지대
10 : 절연재 12 : 급전로
14 : 전환스위치 18 : 세라믹히터
20 : 냉각자켓 22 : 안테나부재
24 : 상부절연판 26 : 하부절연판
28 : 급전선 30,213 : 매칭회로
32,205 : 고주파전원 33 : 배기구
34A,34B,34C : 공급노즐수단 36A,36B,36C : 처리가스 분출구멍
38 : 가스공급관 40 : 처리가스원
42 : 처리가스 공급노즐 44 : 첨가가스 분출구멍
46 : 가스공급관 48 : Ar가스원
50 : 산소가스원 52 : 원료가스 공급노즐
54 : 가스공급헤드 54A : 가스분출면
56A,56B : 원료가스 공급통로 58A, 58B : 처리가스 분출구멍
60 : 첨가가스 공급통로 62 : 첨가가스 분출구멍
64A,64B : 금속제 파이프 66A : 윗측 헤드부
66B : 아래측 헤드부 70 : 가스공급헤드
72 : 확산구멍 74A,74B : 확산판
102 : 플라즈마 에칭장치 104 : 처리용기
106 : 안테나부재 108A,개8B : 고주파전원
110 : 천장부 112 : 바닥부
114 : 시일부재 116 : 처리실(반출입구)
117 : 게이트밸브 118. 처리가스 공급관
119 : 가스배기관 120 : 서셉터
122 : 고주파전원 124 : 2연속 스위치
126 : 세라믹히터 128 : 냉매
130 : 냉각쟈켓 132 : 안테나부
132A : 안둘레 끝단 132B : 바깥둘레 끝단
134 : 배선 136A,136B : 매칭박스
138 : 안테나 보호커버 139. 불활성가스 도입관
140 : 절연성 시일부재 142. 코일부
143,215 : 가스분출구 144 : 처리비율곡선
146 : 보호층 150 : 안내통
152 : 샤워헤드 154 : 통기구멍
156 : 정류판 203 : 상부전극
204 : 하부전극 207 : 정전척
208 : 반도체웨이퍼 211 : RF 필터
212 : VDC모니터
본 발명은, 피처리체가 수용된 처리용기 내에 처리가스를 공급하여 피처리체를 처리하는 플라즈마 처리장치에 관한 것이다.
일반적으로, 반도체 제조공정에 있어서는, 피처리체로서의 반도체 웨이퍼에 대하여 각종의 처리, 예를 들면 막형성처리가 행하여지고, 이 막형성방법으로서 화학적 방법과 물리적 방법을 병용한 플라즈마 CVD (Chemical Vaper Deposition)가 알려져 있다.
이 처리를 행하는 플라즈마 처리장치는, 예를 들면 2매의 평판형상의 전극을 평행하게 처리용기 내에 위치시키고, 아래쪽의 전극상에는 반도체 웨이퍼를 얹어놓으며, 이들 전극 사이에 플라즈마 발생용 고주파전극으로부터 예를 들면 13.56MHz의 고주파전압을 인가함으로써 플라즈마를 발생시키고, 이에 의해서 웨이퍼 표면에 막형성처리를 하게 되어 있다.
상술한 바와 같이 평행평판전극 사이에 발생한 플라즈마는, 전계가 한쪽의 전극으로부터 다른 쪽의 전극으로 향한 교번자계(交番磁界)로 이루어지므로, 이 전계를 따라서 자력에 의하여 전자가 이동되고, 이 이동중에 기체분자와 충돌하며 이것에 의해서 열적으로 여기되기 어려운 기체가 활성화하여 소망의 막형성을 행하도록 되어 있다.
웨이퍼면내에 막형성을 균일한 두께로 형성하는 것은, 반도체제품의 수율향상을 위하여는 대단히 중요하다. 막형성의 두께는 원료가스 또는 처리가스 공급방법에 크게 영향되는 것이 실정이다.
이 원료가스 공급방법으로서는, 처리용기의 둘레벽으로부터 공급노즐을 내부로 끼워 통하게 하고, 이것으로부터 웨이퍼표면을 향하여 원료가스를 공급하는 방법이나, 윗쪽의 전극에 평판형상의 샤워헤드구조를 가지게 하고, 이것으로부터 표면측을 향하여 원료가스를 공급하는 방법이 알려져 있다.
그러나, 상술한 바와 같이 처리실의 둘레벽으로부터 간단히 원료가스를 공급하는 것만으로는 막형성에 기여하는 반응종이 웨이퍼 중심부근까지 충분히 도달하기 어려워, 웨이퍼면내에 균일막으로 막을 형성하는 것이 어려웠다.
또한, 제27도에 나타낸 바와 같이, 종래의 플라즈마처리장치(201)는, 챔버(202)내에 상부전극(203)과 하부전극(204)을 상호간에 대향하도록 설치하고, 하부전극(204)의 윗면에 설치된 정전척(207)에 의하여 반도체웨이퍼(208)를 흡착하고, 상부전극(203)에 형성된 가스 유통로(224) 및 가스분출구(215)를 통하여 플라즈마 생성용의 가스를 챔버(202)내로 도입함과 함께, 고주파전원(205)으로부터의 고주파 전력을 매칭회로(213) 및 블로킹 콘덴서(206)를 통하여 하부전극(204)에 인가하고, 상기 상부전극(203)과 하부전극(204)의 사이에 플라즈마를 발생시켜, 반도체웨이퍼(208)에 대하여 플라즈마 에칭하고 있다.
그러나, 단순한 평판형상의 샤워헤드구조에서는, 공급가스의 흐름이 흐트러지는 경우도 발생하며, 이 경우에도 막두께의 웨이퍼면내 균일성의 확보가 비교적 어려웠다.
또한, 본 발명자는, 선출원(특원평 5 - 317375 호)에 있어서, 제28도에 나타난 바와 같이, 처리용기 내의 상부 또는 천정부 바깥측에 안테나부재를 설치하고 앞으로의 전자파에 의해서 플라즈마를 유기하는 소위 유도결합형의 플라즈마 형성방법을 제안하였다.
이것에 의하면, 1 × 10-3Torr이하의 저압하에서도 플라즈마를 발생시키고 또한 플라즈마의 균일성을 높일 수 있으므로, 플라즈마 에칭이나 플라즈마막 형성처리의 처리특성을 높일 수 있으나, 이 유도결합형의 플라즈마 처리장치에 있어서 상술한 샤워헤드구조를 채용한 경우에는, 안테나부재로부터의 전자파의 일부가 유전체로 이루어지는 샤워헤드구조에 흡수되어 버리고, 플라즈마 생성효율의 저하를 초래하게 된다. 그 때문에, 유도결합형의 플라즈마 처리장치의 경우에는, 플라즈마 생성효율의 저하를 방지하기 위하여 원료가스 등은 처리용기의 둘레벽으로부터 도입하지 않을 수 없고, 그 때문에 상술한 바와 같이 막두께의 면내 균일성을 충분히 확보할 수 없게 된다는 문제가 발생하였다.
특히, 반도체 웨이퍼가 대구경화하고, 예를 들면 8인치 웨이퍼와 같은 커다란 웨이퍼가 되면 웨이퍼 중심부와 주변부와의 가스농도의 균일화가 커다란 과제가 된다.
본 발명의 목적은, 피처리체가 대구경화하여도 그 막두께의 면내 균일을 향상시킬 수 있는 플라즈마 처리장치를 제공하는 데 있다.
이하에, 본 발명에 관한 플라즈마 처리장치의 한 실시예를 첨부도면에 의거하여 상술한다.
제1도는, 본 발명의 한 실시예에 관한 플라즈마 처리장치를 나타낸 개략사시도, 제2도는 제1도에 나타낸 처리장치의 단면도, 제3도는 제1도에 나타낸 처리장치의 평면도, 제4도는 가스공급 노즐위치에 대한 막형성의 평가를 행하기 위하여 노즐과 웨이퍼와의 위치관계를 나타낸 도면, 제5도는노즐 앞끝단과 웨이퍼의 높이방향의 거리에 대한 막두께의 면내 균일성을 나타낸 그래프, 제6도는 노즐의 길이에 대한 막두께의 면내 균일성을 나타낸 그래프, 제7도는 제6도에 나타낸 그래프의 결과를 설명하기 위한 설명도이다.
본 실시예에 있어서는, 본 발명에 관한 플라즈마 처리장치를 플라즈마 CVD장치에 적용한 경우에 대하여 설명한다.
이 플라즈마 CVD장치(2)의 처리용기(4)는, 평탄한 천정부 및 바닥부도 포함하여 예를 들면 알루미늄이나 스테인레스 등의 도전성재료에 의해서 원통체 형상으로 형성되어 있다. 이 처리용기(4)는 접지되어 있다. 처리용기(4)의 내부에는, 이 중심에 상부전극 및 피처리체 또는 웨이퍼 얹어놓는 대로서의 서셉터(6)가 동축적으로 설치되어 있다. 이 서셉터(6)는, 위에 웨이퍼를 그 피처리면을 윗쪽으로 향하여 지지하도록 배치되어 있으나, 다른 방향으로, 예를 들면 웨이퍼의 피처리면을 가로 또는 아래를 향하여 지지하는 것이어도 좋다.
이 서셉터(6)는, 예를 들면 알루마이트 처리한 알루미늄 등에 의해서 대략 원주형상으로 형성되어 있고, 이 상부는 측방향으로 돌출하며, 또한 윗면이 평탄 또는 주변으로 향함에 따라서 아래쪽으로 조금 화살모양으로 굽은 극율(極率)을 가지는 예를 들면 도시하지 않은 원판형상의 웨이퍼지지대로 되어 있다. 이 서셉터(6)의 하부는, 같은 알루미늄 등에 의해서 원주형상으로 이루어진 서셉터 지지대(8)에 의해서 지지되어 있다. 이 서셉터 지지대(8)는, 처리용기(4)의 바닥부에 절연재(10)를 통해서 설치되어 있다. 이렇게 하여, 서셉터(6)는 처리용기(4)와 전기적으로 절연한 상태에서 이 안에 지지되어 있다.
이 서셉터(6)는, 처리용기의 바닥벽으로부터 이것과는 절연하여 도출된 급전로(12)에 의해서, 처리용기의 외부에서 전환스위치(14)를 통해서 고주파전원(16)과 어스에 선택적으로 접속이 가능하게 이루어져 있다.
이 서셉터(6)의 웨이퍼 지지대는, 위에는 정전척기구 등에 의해서 피처리체로서의 반도체 웨이퍼(W)를 얹어놓고 유지하게 되어 있다.
이 서셉터(6)과 서셉터 지지대(8)와의 사이에는, 서셉터(6) 위에 지지된 웨이퍼(W)를 가열 혹은 온도조정하기 위한 예를 들면 세라믹 히터(18)가 설치되고, 또한 서셉터 지지대(8)에는 가열한 웨이퍼를 냉각할 목적으로 예를 들면 냉각수를 흐르게 하는 냉각쟈켓(20)이 설치되어 있다. 이 히터(18)는, 처리용기 밖으로 설치된 도시하지 않은 전원 및 온도제어기에 접속되고, 이들에 의해서 소정의 온도로 가열되며, 또한 쟈켓(20)은 처리용기 밖에 설치된 냉각수원 및 냉각기에 접속되어 소정온도의 냉각수가 순환된다.
처리용기(4) 내의 상부에는, 1턴 혹은 2턴정도의 소용돌이형상으로 감겨진 예를 들면 동제의 안테나부재(22)가, 용기(4) 및 서셉터(6)와 동축적으로 설치되어 있다. 이 안테나부재(22)는, 용기 천정부로부터의 절연 및 플라즈마 스패터에 의한 중금속 오염방지목적으로, 예를 들면 석영으로 이루어진 상부절연판(24)과 하부절연판(26)에 의해서 끼워넣어지고, 이들 부재(24,26)에 의해서 천정부에 부착 고정되어 있다. 이 안테나부재(22)의 양끝단은, 용기(4)의 천정부로부터 절연되어 도출된 급전선(28)을 통해서 매칭박스 또는 매칭회로(30) 및 전자파 발생용의 고주파전원(32)이 접속되어 있다. 이 결과, 고주파전원(32)으로부터의 고주파전류를 안테나부재(22)에 흐름으로써 용기(4) 내의 처리공간(5)을 향하여 전자파를 방사할 수 있게 되어 있다.
처리용기(4)의 바닥부에는, 도시하지 않은 진공펌프에 접속된 여러개의 배기구(33)가 설치되어 있다. 이들 배기구는, 예를 들면 4개가 서로 둘레방향으로 소정간격을 가지며 배열 설치되어 있다. 용기(4) 둘레벽의 일부에는, 웨이퍼(W)의 반입 반출을 행하는 개폐가 가능하게 이루어진 도시하지 않은 게이트 밸브가 설치되어 있다.
처리용기(4)의 둘레벽으로부터는 처리가스로서의 원료가스, 예를 들면 실란가스를 도입하기 위하여 8개의 원료가스 공급노즐 어셈블리 또는 수단(34)이 내부에 방사형상으로 등간격으로 8방향으로 끼워 통하여 있다.
노즐이 끼워져 통하는 방향은 8방향으로 한정되지 않고, 2방향이상이면 몇 개라고 좋고, 용기 둘레방향으로 대략 균등한 각도로 배치된다.
구체적으로는, 각 공급노즐수단(34)은, 처리가스를 공급하기 위한 공급 통로를 구성하는 것으로, 예를 들면 석영 등의 절연체에 의해서 파이프형상으로 형성되고, 둘레벽에 상하방향을 따라서 여러단, 예를 들면 도시예에 있어서는, 3단에 걸쳐서 부착되고, 수평으로 뻗은 노즐(34A,34B,34C)이 도시하지 않은 시일부재 등에 의해서 기밀하게 설치되어 구성되어 있다. 이 노즐의 단수는 3단으로 한정되지 않고, 2단 또는 3단이상이어도 좋고 웨이퍼 사이즈 등의 처리조건에 의해서 결정된다.
각 노즐(34A,34B,34C)의 기초단부는, 가스공급관(38)을 통해서 처리가스로서 예를 들면 실란 등의 원료가스를 저장하는 처리가스원(40)에 접속되어 있다. 이 처리가스원(40)은, 각각의 노즐수단에 독립하여 설치되어 있어도, 공통으로 설치되어 있어도 좋다. 후술하는 바와 같이 노즐(34A,34B,34C)은, 길이가 다르므로, 이들 노즐에 동시에 처리가스를 공급하면, 노즐로부터 처리공간(5)에 분사되는 처리가스의 초기분사 타이밍이 어긋나 버린다. 타이밍의 어긋남이 문제가 되는 경우에는, 예를 들면, 가스 공급관(38)의 각각의 노즐로의 분기공급관에 밸브를 설치해 두고, 이 밸브의 개방타이밍을 노즐의 길이에 맞추도록 할 수 있다.
각 노즐수단(34)의 3단에 걸쳐서 설치된 각 노즐(34A,34B,34C)의 앞끝단의 처리가스 분출구멍(36A,36B,36C)은, 상단에 위치하는 것일수록, 처리용기의 중심측에 위치되어 있다. 따라서, 최하단의 공급노즐(34C)의 처리가스 분출구멍(36C)보다도, 그 위의 단(중단)의 공급노즐(34B)의 처리가스 분출구멍(36B)은 용기중심측에 위치되고, 이 분출구멍(36B)보다도, 그 위의 단(상단)의 공급노즐(34A)의 처리가스 분출구멍(36A)은 또한 용기중심측에 위치된다. 즉, 윗끝단의 노즐(34A)이 가장 길고, 하단의 노즐(34)이 가장 짧게 설정되어 있다.
최하단의 공급노즐(34C)의 가스분출구멍(36C)은, 처리용기의 둘레벽 내면과, 서셉터(6) 위에 지지된 웨이퍼(W)의 모서리와의 사이에 위치하도록 하고, 수평거리로 하여 가스분출구멍(36C)의 수직끝단면과 웨이퍼 엣지와의 사이의 거리(L1)는 25mm정도로 설정되어 있다. 이것에 의해서 웨이퍼면 위의 처리공간내에 원료가스를 균일하게 공급하여 막형성의 면내 균일성을 향상시키는 것이 가능하게 된다.
또한, 최상단의 처리가스 공급노즐(34A)의 더 윗쪽에 위치하도록 하고, 처리용기(4)의 둘레벽에는, 방사형상의 각 노즐에 대응시켜서 배치되어 수평방향으로 뻗은, 같은 석영제의 8개의 첨가가스 공급노즐(42)이 수평방향으로 끼워져 통하여 있으며, 이 노즐의 기초단부는, 가스공급관(46)을 통해서 첨가가스, 예를 들면 Ar가스를 저장하는 Ar가스원(48) 및 산소가스원(50)에 접속되어 있다. 이 노즐(42)의 앞끝단부인 첨가가스 분출구멍(44)은, 각 처리가스 분출구멍(36A,36B,36C)의 윗쪽에 위치되어 있고, 상기 첨가가스 분출구멍(44)으로부터 분출하는 Ar가스, 산소가스 등의 첨가가스를 이 아래쪽으로 위치하는 처리가스 분출구멍(36A, 36B, 36C)으로부터 분출되는 원료가스와 효율좋고 균일하게 혼합시킬 수 있게 되어 있다. 이 실시예에서는, 첨가가스 공급노즐(42)과 최상단의 처리가스 공급노즐(34A)과는 동일길이로 설정되어 있으나, 어느 쪽이 길어도 좋다.
여기에서, 상술한 바와 같이 처리가스 분출구멍(36A,36B,36C)을 여러단 설치하여도 상단에 위치하는 분출구멍 등, 용기중심측에 위치시킴으로써 형막형성의 면내 균일성을 개선할 수 있는 이유를 제4도 내지 제7도에 의거하여 설명한다.
제4도에 있어서 웨이퍼(W)의 윗면과 원료가스 공급노즐(편의상 상기와는 다른 부호(52)가 붙어 있다)의 중심축과의 사이의 수직방향의 거리(G)를 변경한 경우 및 원료가스 공급노즐(52)의 길이(L)을 변경하여 노즐 앞끝단면과 웨이퍼 엣지와의 사이의 거리를 변경한 경우에 대하여 각각 형막형성의 면내 균일성을 조사하였다. 또한, 용기 둘레벽의 내면과 웨이퍼 엣지와의 사이는 100mm로 설정되어 있고, 웨이퍼 사이즈는 5인치이다. 노즐직경은 3.2mm이며, 원료가스로서는 실란과 산소가스를 사용하였다.
먼저, 노즐의 수직방향의 거리(G)를 각종 변화시켜서 막형성을 하였을때의 면내 균일성에 대하여 평가하였다. 이 때, 노즐의 길이(L)를 75mm로 고정함으로써 노즐 앞끝단과 웨이퍼 하우징과의 사이를 25mm으로 설정하였다. 이 때의 거리(G)에 대한 막두께의 면내 균일성을 측정하고, 그 결과를 제5도에 나타내었다. 제5도에 있어서, 흰 동그라미는 원료가스로서의 실란이 10sccm, 산소가스가 13sccm의 유량의 경우이고, 검은 동그라미는 실란을 15sccm의 유량으로 변경한 경우의 측정결과를 나타낸다.
제5도로부터 명확히 알 수 있듯이, 노즐의 수직방향의 거리(G)를 크게하는 만큼, 면내 균일성이 향상하고, 거리(G)를 거의 75mm이상으로 설정함으로써 막두께의 균일성이 거의 일정하게 되는 것을 판명한다. 단, 이 경우, 면내 균일성은 향상하는데 그래프에는 나타나 있지 않으나, 형막형성의 퇴적율이 거리(G)를 크게 함에 따라서 저하하므로 과도하게 거리(G)를 크게 설정하는 것은 바람직하지 않다.
다음으로, 노즐의 수직방향의 거리(G)를 75mm로 고정하고, 노즐의 길이(L)를 여러가지로 변화시키어 막형성을 하였을 때의 면내 균일성에 대하여 평가하였다. 이 때의 막두께의 면내 균일성의 측정결과를 제6도 및 제7(a)도 ∼ 제7(c)도에 나타낸다.
제6도로부터 명확히 알 수 있듯이, 노즐(52)의 길이를 길게 함으로써, 즉, 노즐의 분사구가 용기중심으로 향함에 따라서, 노즐의 길이가 75mm되는 곳까지는 면내 균일성은 양호해지고, 거리(L)가 75mm일 때(노즐 앞끝단과 웨이퍼 엣지간의 거리는 거의 25mm), 면내 균일성은 최고량이 되며, 또한 노즐(52)을 길게 함에 따라서 이번에는 면내 균일성이 열화하는 것이 판명된다.
이 때의 웨이퍼면 내의 막두께를, 거리 L = 0, L = 75, L =100의 3점에서 실제로 조사한 결과 제7(a)도, 제7(b)도, 제7(c)도에 나타낸 결과를 얻었다.
제7(a)도에 나타낸 바와 같이 거리 L = 0 mm의 경우는, 웨이퍼 중심근방의 막두께가 조금 작고, 제7(b)도에 나타낸 바와 같이 거리 L = 75mm의 경우는, 막두께가 웨이퍼 직경방향을 따라서 거의 균일하고 양호한 결과가 되며, 제7(c)도에 나타낸 바와 같이 거리 L = 100mm의 경우는, 웨이퍼 중심근방 및 웨이퍼 주변근방의 막두께가 작고, 그 중간부의 막두께가 크게 되어 있다.
따라서, 웨이퍼 중심근방의 막두께를 크게 하기 위해서는, 노즐 앞끝단을 용기내 중심근방까지 삽입하고. 즉, 길이(L)를 길게 하고, 또한 웨이퍼중심 근방이외의 부분으로의 영향을 억제하기 위하여 노즐 앞끝단을 웨이퍼면으로부터 멀리 떨어지게 하고, 즉 거리(G)를 길게 하고, 또한, 웨이퍼 둘레부의 막두께를 어느 정도 크게 하기 위해서는 웨이퍼 엣지보다도 수평방향으로 조금 떨어진 위치로 또한, 앞의 중심부측의 웨이퍼 위치보다도 낮은 위치의 노즐 앞끝단을 위치시키는 것이 좋은 것이 판명되었다.
이상과 같은 이유에서, 상술한 바와 같이, 처리가스 분출구멍을 여러단에 걸쳐서 설치하고, 상단측에 위치하는 분출구멍 등, 용기중심측에 위치시킴으로써, 막형성효율을 높게 유지한 상태에서 형막형성의 면내 균일성을 개선할 수 있는 것이 명백해진다.
다음으로, 이상과 같이 구성된 본 실시예의 동작에 대하여 설명한다. 먼저, 도시하지 않은 게이트 밸브를 통해서 반도체 웨이퍼(W)를, 도시하지 않은 아암에 의해서 처리용기(4)내에 수용하고, 이것을 서셉터(6) 위에 얹어놓고 유지시킨다.
그리고, 이 처리용기(4) 내를 배기구(33)로부터 진공배기함으로써 진공상태로 하고, 각 원료가스 공급노즐(34A,34B,34C)로부터, 예를 들면 실란 등의 원료가스를 공급함과 동시에 이 윗쪽에 위치하는 첨가가스 공급노즐(42)로부터는, Ar가스와 산소가 혼합된 첨가가스를 공급하여 내부를 프로세스압, 예를 들면 1 × 10-7Torr 정도의 좨 낮은 압력상태로 유지하고, 동시에 플라즈마 발생용의 고주파전원(32)으로부터 예를 들면 13.56MHz의 고주파를 안테나부재(32)에 인가한다.
그러면, 안테나부재(6)의 인덕턴스성분의 유도작용에 의해서 처리공간(5)에 전자파가 발사됨과 동시에, 안테나부재(6)와 처리용기(4)와의 사이의 용량성분의 작용에 의해서 처리공간(5)에는 교번전계가 발생하고, 이 결과 처리공간(5)에는 Ar가스가 여기되어 플라즈마가 발생하며, 이 결과, 열적으로 여기되기 어려운 원료가스나 산소가 활성화되어 반응종이 생기어 SiO2의 형막형성이 웨이퍼표면에 퇴적하게 된다.
이 경우, 종래의 평행평하전극형의 장치와 비교하여 플라즈마는 1 ×10-2Torr ∼ 1 × 10-6Torr의 사이에, 바람직하게는, 1 × 10-3Torr∼1 × 10-7Torr의 사이의 꽤 낮은 압력하에서도 발생하므로, 막형성시의 반응종의 산란도 적어서 방향성이 일정해있고, 균일한 두께의 막형성을 할 수가 있다.
특히, 본 실시예에 있어서는 원료가스를 공급하는 원료가스 공급노즐(34A,34B,34C)를 여러단에 걸쳐서 설치하고, 그리고, 상단에 위치하는 노즐만큼 길게 하고 그 앞끝단의 처리가스 분출구멍을 처리공간(S)의 중심측에 위치시키도록 하고 있으므로, 웨이퍼 주변부 근방에 위치하는 처리가스 분출구멍, 예를들면 36C로부터의 원료가스는 주로 웨이퍼 둘레부의 막형성에 기여하고, 웨이퍼 중앙부근방에 위치하는 처리가스 분출구멍, 예를 들면 36B, 36A로부터의 원료가스는 주로 웨이퍼의 둘레부와 중앙부의 사이의 중간부 근방 및 웨이퍼 중앙부근방의 막형성에 기여하여, 결과적으로, 웨이퍼면내에 걸쳐서 균형좋게 막형성을 할 수 있고, 막두께의 면내 균일성을 대폭적으로 개선할 수 있다.
이 경우, 처리가스 분출구멍이 처리공간(S)의 중심측에 위치하도록 여러 단으로 함으로써 그 분사구멍을 웨이퍼면으로부터 차례로 떨어진 높은 위치에 설치하도록 하고 있으므로, 웨이퍼 중심측에 위치하는 처리가스의 분사구멍, 예를 들면 36A 로부터의 원료가스가 형막형성에 과도하게 기여하는 것을 방지하고 있어, 결과적으로, 상술한 바와 같이 막두께의 면내 균일성을 대폭적으로 개선할 수 있다.
또한, 본 실시예에 있어서는, 원료가스와 비교하여 분자량이 큰 Ar가스나 산소 등의 첨가가스 공급노즐(42)을 각 원료가스 공급노즐(34A,34B,34C)의 윗쪽에 위치시키고 있으므로, 공급된 원료가스와 첨가가스가 좋게 혼합되고, 따라서, 이 점보다도 막두께의 면내 균일성을 한층 개선할 수 있다.
따라서, 웨이퍼가 대구경화하여도 이것에 대응할 수 있고, 예를 들면 8인치 또는 그 이상의 대직경 웨이퍼의 막두께의 면내 균일성도 향상시킬 수 있다.
각 공급노즐은 각각 둘레벽보다 방사형상으로 삽입되고 그 앞끝단이 안테나부재(22)의 너무 아래쪽으로 위치하지 않게 되어 있으므로, 안테나부재(22)로부터의 전자파가 너무 흡수되지 않고, 이 전력을 효율적으로 플라즈마발생에 기여시킬 수 있다.
상기 실시예에 있어서는, 제3도에 나타낸 바와 같이 방사형상으로 8방향으로부터 노즐을 삽입시키어 설치한 경우를 예를 들면 설명하였으나, 이것에 한정되지 않고, 노즐을 원통형상의 처리용기의 둘레방향을 따라서 균등하게 배치하면, 3,4,5,6,7방향 등 그 수에 한정되지 않는다.
또한, 실시예에 있어서는 3단에 걸쳐서 원료가스 공급노즐(34A,34B,34C)을 설치한 경우를 예를 들어 설명하였으나, 이 단수도 한정되지 않고, 2단 또는 4단 이상으로 설정하도록 하여도 좋다.
또한, 상기 실시예에서는, 첨가가스로서 Ar가스와 산소를 혼합한 상태에서 첨가가스 공급노즐(42)로부터 용기 내에 도입하도록 하였으나, 이들 첨가가스를 혼합하지 않고 각자의 노즐로부터 각각 단독으로 도입하게 하여도 좋다.
또한, 안테나부재(22)를 처리용기(4) 내에 설치되어 있기 때문에, 방사된 전자파는 용기실에 반사하여 플라즈마화를 위한 전력으로서 사용되므로, 에너지효율을 높일 수 있다.
상기 실시예에 있어서는, 원료가스 공급노즐수단(34)이나 첨가가스 공급노즐(42)로서 파이프형상의 석영제 노즐을 내부에 삽입시켜 형성하도록 하였으나, 이것에 한정되지 않고 이하와 같이 구성하여도 좋다. 또한, 제2도에 나타낸 부분과 동일부분에 대하여는 동일부호를 붙이어 설명을 생략한다.
제8도는 제 2 실시예에 관한 CVD장치를 나타내며, 제9도는 원료가스공급수단의 한 예를 나타낸 도면이다
처리용기(4) 내의 상부로, 석영유리로 이루어진 절연판(24,26)으로 덮인 안테나부재(22)의 아래쪽에는, 같은 석영유리에 의해서 형성되고, 아래면의 가스분출면(54A)이 돔형상으로 형성된 가스공급헤드(54)가 설치되어 있다 즉, 이 분사면(54A)은 중심부가 가장 높고, 둘레로 향함에 따라서 낮아지고 있다. 이 가스공급헤드(54)에는, 상하방향으로 복수단, 도시예에 있어서는 상하 2단에 원료가스공급통로(56A,56B)가 수평방향을 따라서 형성되고 원료가스공급수단이 여러개, 예를 들면 8개 방사형상으로 배열 설치되어 구성되어 있고, 각 통로의 앞끝단은 가스분출면(54A)에 있어서 처리가스 분출구멍(58A,58B)으로서 처리공간(S)에 노출해 있다. 따라서, 이 경우에도, 상단에 위치하는 원료가스 공급통로(56A)의 처리가스 분출구멍(58A)은, 이 하단에 위치하는 원료가스 공급통로(56B)의 처리가스 분출구멍(58B)보다도 처리용기 내의 중심측에 위치되게 된다
그리고, 상단의 원료가스 공급통로(56A)의 윗쪽에는, 상술한 실시예와 마찬가지로, 첨가가스를 도입하기 위한 첨가가스 분출구멍(58A)의 더 윗쪽에 있어서 첨가가스 분출구멍(62)으로서 구성되게 된다. 이 경우에 있어서도 처리가스 분출구멍(58A,58B)은, 상단에 위치하는 분출구멍 등 처리용기 내의 중심측에 위치시키고 있으므로, 제2도에 나타낸 장치와 같은 작용효과를 발휘하고, 형막형성 두께의 면내 균일성을 향상시킬 수 있고, 또한 웨이퍼의 대구경화에도 대응할 수 있다.
또한, 제8도에 나타낸 장치예에 있어서는, 가스공급헤드(54)에 형성한 원료가스 공급통로(56A,56B)나 첨가가스 공급통로(60)를 그대로 가스통로로서 구성하였으나, 이것에 한정되지 않고, 예를 들면 제9도에 나타낸 확대도와 같이 각 가스공급통로(56A,56B)에 금속제 파이프(64A,64B)를 끼워 통하게 하고, 이 안으로 가스를 흐르게 하는 구성으로 하여도 좋다. 이 경우에는, 금속제 파이프(64A,64B)로의 플라즈마 스패터를 피하기 위하여 파이프 앞끝단은, 각 가스공급통로(56A,56B)의 앞끝단의 처리가스 분출구멍(58A,58B)으로부터 처리공간(S)측으로 돌출하지 않도록 그보다도 약간 후퇴시킨 곳에 위치시켜 둔다. 그리고, 각 금속제 파이프(64A,64B) 내에서 플라즈마방전이 발생하지 않도록 각각을 접지에 접속하여 두도록 한다. 또한, 제9도에는 나타나 있지 않으나 첨가가스 공급통로(60) 내도 원료가스 공급통로(56A,56B) 내와 마찬가지로 구성되어 있는 것은 물론이다.
또한, 이상의 각 실시예에 있어서는 각 가스공급통로는 전체가 대략 수평방향을 따라서 형성되어 있으나, 각 가스공급통로의 앞끝단부를 서셉터의 중심방향을 향하여 아래쪽으로 굴곡시키도록 하여 형성하여도 좋다.
제8도 및 제9도에 나타낸 예에 있어서는, 가스공급헤드(54)의 가스분사면(54A)을 돔현상으로 형성한 경우에 대하여 설명하였으나, 이것에 한정되지 않고, 예를 들면 제10도 및 제11도에 나타낸 바와 같이 테이퍼형상으로 형성하여도 좋다. 제10도는 가스공급헤드의 변형예를 나타낸 사시도, 제11도는 제10도에 나타낸 가스공급헤드의 단면도이다.
이 실시예에 있어서는, 석영유리제의 가스공급헤드(54)의 안둘레면인 가스분사면(54A)은, 돔형상이 아니고 다각추 또는 원추체의 사면의 일부를 형성하도록 테이퍼형상으로 형성되어 있고, 이 가스공급헤드(54)에, 제8도에 나타낸 것과 마찬가지로 복수단, 예를 들면 2단의 원료가스 공급통로(56A,56B) 및 첨가가스 공급통로(60)가 설치되어 있다. 특히, 이 실시예에 있어서는, 각 가스공급통로(56A,56B,60)의 앞끝단부를 서셉터의 중심방향으로 향하여 비스듬히 아래방향으로 굴곡시키고 있고, 분출가스를 효율적으로 서셉터 윗쪽의 처리공간(5)을 향하게 되어 있고, 이 점으로부터 웨이퍼상에, 즉 피처리면 위에 형성되는 형성막의 두에의 면내 균일성을 한층 향상시키는 것이 가능하게 된다.
또한, 이상 설명한 각 실시예에 있어서는, 처리용기 내의 상부에, 절연판(24,26)에 의해서 덮힌 안테나부재(22)를 설치하도록 하였으나, 이것에 한정되지 않고, 제12도 및 제13도에 나타낸 바와 같이 가스공급헤드를 구성하는 절연부재 내에 안테나부재를 매립하여 덮게 하여도 좋다. 제12도에 있어서는, 석영유리제의 가스공급헤드(54)를, 소정의 두께를 가진 돔형상으로 형성하고 또한 이 헤드(54)를 상측헤드부(66A)와 하측헤드부(66B)에 상하방향으로 2분할이 가능하게 하고 있다. 이 하측헤드부(66B)의 반구형상의 아래면을 가스 분출면(54A)으로 하고 있다.
이들 상측 및 하측헤드부(66A,66B)의 접합부에 안테나부재(22)를 수용할 수 있는 나선형상의 흠(68)을 설치하고, 이것에 안테나부재(22)를 수용한 상태에서 상측 및 하측헤드부(66A,66B)를 접합하고, 그리고 용기에 장착하고 있다.
이 돔형상의 공급헤드(54)에는, 제8도에 나타낸 것과 같은 원료가스공급통로(56A,56B)가 복수단, 예를 들면 2단 설치되고, 또한 그 상단에는 첨가가스 공급통로(60)가 설치되어 있다. 이것에 의해서, 상단측의 원료가스 공급통로(56A)의 앞끝단의 처리가스 분출구멍(58A)를 하단측의 원료가스 공급통로(56B)의 앞끝단의 처리가스 분출구멍(58B)보다도 처리용기의 중심측에 위치시키고 있다.
이 경우에도 제2도와 같은 작용효과를 발휘할 뿐만 아니라, 가스공급
헤드(54) 내에 안테나부재(22)를 편입하도록 하였으므로, 예를 들면 제8도에 나타낸 장치예에서 필요로 한 상부 및 하부절연판(24,26)을 필요로 하지 않을 수 있어 구조를 간단화할 수 있다.
또한, 제13도는 제12도에 나타낸 소정의 두께의 돔형상의 가스공급헤드(54)로 바꾸고, 소정의 두께의 테이퍼형상의 가스공급헤드(54)를 설치하고 있다. 이 경우도, 소정의 두께의 테이퍼형상의 가스공급헤드(54)를 상측헤드부(66A)와 하측헤드부(66B)의 상하로 분리가능하게 이들의 접합부에 안테나부재(22)를 개재시키고, 또한, 이 가스공급헤드(54)에 여러단에 걸쳐서 원료가스 공급통로(56A,56B)를 설치하고, 그 상단에 첨가가스 공급통로(60)를 설치한 점은 제12도에 나타낸 실시예와 같은 구조이다.
또한, 종래의 샤워헤드구조에 있어서는 가스분출구 근방에 발생한 단차부분에 막이 부착하여 파티클의 원인이 되었으나, 상술한 바와 같이 가스분출면을 돔형상 또는 테이퍼형상으로 함으로써 가스분출구근방에 단차부분이 없어지고, 막형성을 방지할 수 있으므로 파티클의 감소에 기여할 수 있다.
상기 각 실시예에 있어서는, 가스분출면을 돔형상 또는 테이퍼형상으로하여 상단측의 처리가스 분출구멍을 하단측의 처리가스 분출구멍보다도 처리용기의 중심측에 위치시키도록 하였으나, 이 분출구명의 위치관계를 만족하는 것이면 가스분출면의 형상은 상술한 것에 한정되지 않고, 예를 들면 계단형상의 단차형상으로 형성하여도 좋다.
이상의 각 실시예에 있어서는, 처리용기 내의 둘레벽측으로부터 원료가스 공급통로나 첨가가스 공급통로를 삽입 내지 공급하는 경우에 대하여 설명하였으나, 이것에 한정되지 않고, 예를 들면 제14도에 나타낸 바와 같이 처리용기(4) 내의 천정부에 상부, 하부절연판(24,26)에서 끼워넣은 안테나 부재(22)를 설치하고, 이 천정부로부터 원료가스나 첨가가스를 공급하기 위한 예를 들면 석영유리제의 가스공급헤드(70)를 매달아 지지하게 하여 샤워헤드구조로 하여도 좋다. 이 경우, 통상의 종래의 샤워헤드구조는 하부전극에 대향하는 아래면의 가스분출면은 평판플레이트형상으로 형성되어 있으나, 본 실시예에 있어서는 가스분출면(54A)은, 예를 들면 제8도나 제12도에 나타낸 구조와 마찬가지로 돔형상으로 형성되어 있고, 이 가스분출면(54A)에 여러개의 처리가스 분출구멍(58A,58B)을 형성한다. 따라서, 이 경우에도 각 처리가스 분출구멍중, 상단측에 위치하는 분출구멍(58A)은, 하단측에 위치하는 분출구멍(58B)보다도 처리용기의 중심측에 위치하므로, 제8도나 제 12 도에 나타낸 구조와 같은 작용효과를 발휘할 수 있다. 이 경우, 가스공급헤드(70) 내에는, 다수의 확산구멍(72)을 가지는 1매 또는 2매의 확산판(74A,74B)를 설치함으로써, 처리공간(5)에 대한 공급가스의 공급량의 균일화를 도모할 수 있다 여러개의 확산판을 설치하는 경우에는 도시한 바와 같이 한 쪽의 확산판의 확산구멍(72)과 다른 쪽의 확산판의 확산구멍(72)과 시프트하도록 각각의 확산판을 설계하는 것이 바람직하다.
또한, 이상의 각 실시예에 있어서는, 안테나부재(22)를 용기 내에 수용한 경우에 대하여 설명하였으나, 이것을 시일드하여 용기의 바깥측, 예컨대 천정부의 윗면측에 위치시키도록 하여도 좋다.
또한, 각 실시예에 있어서는, 원료가스로서 실란가스(SiH4)를 사용하고, 첨가가스로서 Ar가스와 산소를 사용한 경우를 예를 들면 설명하였으나, 이들 가스에 한정되지 않는 것은 물론이다.
이상 설명한 바와 같이, 본 발명의 플라즈마 처리장치에 의하면, 다음과 같이 우수한 작용효과를 발휘할 수 있다.
처리용기 내에 처리가스 분출구멍을 여러단에 걸쳐서 설치함과 동시에 상단측에 위치하는 처리가스 분출구멍을 하단측에 위치하는 처리가스 분출구멍보다도 처리용기의 중심측으로 위치시키도록 하였으므로, 피처리체 윗쪽의 플라즈마농도나 반응종의 농도를 균일화시킬 수 있고, 따라서, 피처리체의 표면에 형성되는 형성막의 두께의 면내 균일성을 대폭적으로 향상시킬 수 있다.
따라서, 피처리체의 직경이 커져도 처리가스 분출구멍을 여러단 설치함으로써 이것에 대응시키는 것이 가능해지고, 형막형성의 두께의 면내 균일성을 높게 유지할 수 있다.
가스분출면이 돔형상 또는 테이퍼형상의 가스공급헤드에 여러단의 처리가스 분출구멍을 설치함으로써, 상술한 바와 같이 상단측의 처리가스 분출구멍은 하단측의 처리가스 분출구멍보다도 처리용기의 중심측에 위치되고, 이 결과, 마찬가지로 막두께의 면내 균일성을 향상시킬 수 있다.
또한, 처리가스 분출구멍의 상단측에 첨가가스 분출구멍을 설치함으로서, 처리용기내로 도입된 처리가스(원료가스)와 첨가가스를 균일하게 혼합시킬 수 있고, 따라서, 막두께의 면내 균일성을 한층 향상시킬 수 있다.
이하에, 본 발명에 관한 플라즈마 처리장치의 다른 실시예를 첨부도면에 의거하여 상술한다.
제15도는 본 발명에 관한 플라즈마 처리장치의 한 예를 나타낸 단면도, 제16도는 제15도에 나타낸 장치의 안테나부재를 나타낸 평면도이다.
본 실시예에 있어서는, 본 발명에 관한 플라즈마 처리장치를 플라즈마 에칭장치에 적응한 경우에 대하여 설명한다.
이 플라즈마 에칭장치(102)는, 처리용기(104) 내의 천정부 내측에 안테나부재(106)를 설치하고, 이 안테나분재(106)의 양끝단에 플라즈마 발생용의 고주파전원(108)을 접속하고 있다. 이 처리용기(104)는 알루미늄이나 스테인레스등의 도전성재료에 의해서 원통체형상으로 형성되어 있고, 이 천정부(110) 및 바닥부(112)도 알루미늄이나 스테인레스 등의 도전성재료에 의해서 형성되고, 이 바닥부(112)는, 처리용기(104)의 하단개구부에 시일부재(114)를 통해서 기밀하게 부착되어 내부를 밀폐하고 있다. 따라서, 처리용기(104) 내에는 밀폐된 처리실(116)이 형성되어 있다.
이 처리용기(104)의 둘레벽, 천정부(110) 및 바닥부(112)를 알루미늄에 의해서 형성하는 경우에는, 그 내측표면에 내부식성 코팅을 행하기 위하여 알루마이트처리가 행하여진다. 이 처리용기(104)는, 전기적으로는 접지상태로 이루어져 있다.
처리용기(104)의 둘레벽에는, 피처리체로서 예를 들면 반도체 웨이퍼(W)를 반출입하는 반출입구(116)가 형성되어 있고, 이 반출입구(116)에는 기밀하게 개폐가능하게 이루어진 게이트(117)가 설치되어 있다. 이 게이트 밸브(117)의 반대측의 처리용기 둘레벽에는, 도시하지 않은 처리가스원으로부터, 처리가스, 예를 들면 HF가스 등을 도시하지 않은 매스플로우 콘트롤러를 통해서 처리실(116) 내로 도입하기 위한 처리가스 공급관(118)이 설치되어 있다. 이 처리가스 공급관(118)은, 이것에 의해서 고주파파워를 흡수하지 않도록 석영이나 실리콘등의 반도체에 의해서 구성하는 것이 바람직하다. 이 공급관(118)은 제15도에서는 단일부재로서 편의상 도시하고 있으나, 실제는 제1도 및 제2도에서 원료가스 공급노즐집합체(14)로서 설명한 바와 같은 소정의 간격을 가지고 배열 설치된 여러개의 원료가스 공급노즐에 의해서 구성되어 있다. 처리용기(104)의 바닥부(112)에는, 둘레방향으로 소정간격을 가지고 배열 설치된 여러개의 가스배기관(119)이 접속되어 있고, 도시하지 않은 진공펌프에 의해서 처리용기(104)내를 진공흡인이 가능하게 하고 있다.
처리용기(104) 내에는, 피처리체로서의 반도체 웨이퍼(W)를 얹어놓기 위한 원판형상의 서셉터(120)가 배치되고, 이 얹어놓는 면에는 정전척(도시하지않음) 등의 웨이퍼 유지부재가 설치되어 웨이퍼를 예컨대 쿨롱의 힘에 의해서 확실하게 흡착유지하게 되어 있다.
상기 서셉터(120)는, 절연된 배선을 통해서, 고주파전원(122)과 상호에 개폐가 역으로 이루어진 2연속 스위치(124)로 이루어진 병렬회로에 접속되고, 서셉터(120)를 접지할 것인지, 이것에 고주파전원을 인가할 것인지 선택할 수 있게 되어 있다.
이 서셉터(120)의 하부에는, 반도체 웨이퍼(W)의 온도를 조절하기 위한 온도조절장치, 예를 들면 세라믹 히터(126)가 설치되고, 또한 이 세라믹히터(126)의 하부에는 예를 들면 액체질소 등의 냉매(128)를 유통시킬 수 있는 냉각쟈켓(130)이 설치되고, 이 냉각쟈켓(130)과 상기 세라믹 히터(126)를 조합시킴으로써, 웨이퍼온도를 액체질소의 온도에 가까운 저온영역으로부터 고온영역의 범위 내에서 임의의 온도로 설정할 수 있게 되어 있다.
상기 서셉터(120)로부터 소정의 간격을 두고 이것과 대향시켜서 배치한 안테나부재(106)는, 금 또는 동과 같은 도전성분재로 이루어진 단면원형부재를 제16도에 나타낸 예를 들면 소용돌이형상으로 2턴평면적으로 감아서 이루어진 안테나부(132)로 이루어지고, 이 안테나부(132)의 안둘레끝단(132A)과 바깥둘레끝단(132b)은, 배선(134)을 통해서 플라즈마 발생용의 고주파전원(108)과 플라즈마 안정발생을 위한 용량을 조정하는 매칭박스(36)가 접속되어 있다. 따라서, 이 안테나부재(106)로부터 그 아래쪽의 처리실(116)을 향하여 전파를 불사시킴과 동시에 이 안테나부재(106)와 처리용기 둘레벽 또는 서셉터(120)와의 사이에 전계를 발생시키고, 이것에 의해서, 소위 유도결합방식에 의해서 처리실(116) 내에 플라즈마를 세울 수 있다.
이 경우, 안테나부재(106)는 단면원형의 것에 한정되지 않고, 단면장방 형사의 폭넓은 판형상 부재를 감은 것 등을 사용할 수 있다. 또한, 안테나부재(106)의 감는 수로서는 2턴의 것에 한정되지 않고, 제17도에 나타낸 바와같이 1턴의 것 또는, 2턴 이상 소용돌이 형상으로 감은 것도 이용할 수 있고, 양쪽 모두 그 바깥직경은, 웨이퍼의 직경과 동일하거나, 또는 그보다 크게 설정하여 웨이퍼 펴면전체에 걸쳐서 플라즈마밀도의 균일성을 확보한다. 또한, 플라즈마 발생용의 고주파전원(108)의 주파수는 1~200MHz의 범위내에서 설정되고, 바람직하게는, 2MHz, 13.5MHz 또는 56MHzwjd도로 설정된다.
처리용기(104) 내에 배치되어 있는 안테나부재(106) 전체는, 예를 들면 석영이나 세라믹 등의 유전체물질 또는 절연재로 이루어지고, 처리실(106)과는 내부가 기밀하게 유지된 안테나 보호커버 또는 박스(138)안에 배열 설치되어 있다. 구체적으로는, 이 안테나 보호커버(138)는 안테나부재(106)전체를 수용할 수 있는 크기의 원반형상의 용기로서 구성되고, 그 중심부에는 알곤가스 등의 불활성가스를 도입하기 위한 불활성가스 도입관(139)이 상하로 관통되고, 이 도입관(139)의 하부에 부착되어 있다. 이 불활성가스 도입관(139)은, 이것에 의해서 고주파전력을 흡수하지 않도록 석영이나 실리콘 등의 반도체에 의해서 구성하는 것이 바람직하다.
이 불활성가스 도입관(139)의 상부는, 처리용기(104)의 천장부(110)의 중심에 설치한 관통구멍에, 예를 들면 절연성 시일부재(140)를 통해서 기밀하게 관통시켜 설치되어 있고, 이 도입관(139)은 도시하지 않은 매스플로우 콘트롤러를 통해서 불활성가스원으로 접속되어 있다. 따라서, 상기 안테나보호커버(138)는, 상기 불활성가스 도입관(139)에 의해서 처리용기(104)에 지지되고, 처리용기내에서 웨이퍼(W)와 소정간격을 가지고 대면하도록 유지되어 있다. 안테나 보호커버(138)의 부착상태는 이것에 한정되지 않는 것이 물론이고, 예를 들면, 안테나 보호커버(138)는 직접 또는 주지의 부착부재를 개재하여 처리용기(104)에 부착될 수 있다.
상기 안테나 보호커버(138)를 구성하고 있는 판의 두께(L1)는, 내부에 수용하는 안테나부재(106)가 플라즈마에 의해서 스패터되고 중금속이 처리실(116) 내로 튀어나오는 것을 방지할 수 있고, 또한 안테나부재(106)로부터 발사되는 전파가 형상을 바꾸지 않고 처리실(116)내에 전반할 수 있는 두께, 예를들면 수mm정도로 설정되어 있다.
또한, 처리용기(104)의 안지름은, 예를 들면 피처리체가 8인치 웨이퍼의 경우에는 50cm정도로 설정하고, 그 때, 서셉터 표면과 안테나 보호커버(138)의 아래면과의 간격(L2)은 30∼150mm의 범위내에 설정한다.
이와 같이 안테나부재(106)를 처리용기(104) 내에 수용하고, 이것과 서셉터(120)와의 사이를 작게 함으로서 적은 전력으로 효율적으로 플라즈마를 일으키는 것이 가능하게 된다.
여기에서, 안테나부재(106)를 수용용기(104)내가 아니고, 이 외측, 예를들면 천정부(110)의 윗면측에 설치하는 것도 생각할 수 있으나, 이 경우에는 이하의 점보다 그다지 바람직하지는 않다. 상술한 바와 같이 안테나부재(106)를 천정부(110)의 외측면의 외부대기중에 설치하면, 플라즈마 처리시에 안테나부재를 구성하는 재료에 의한 중금속오염의 문제는 해결되나, 안테나부재(106)로부터 발생하는 전파를 처리실(116) 내에 효율적으로 전달시키기 위하여 천장부의 구성재료를 알루미늄이나 스테인레스 스틸 등의 도전성재료로부터 석영판 등의 도전체로 바꾸지 않으면 안된다.
이 경우, 석영판은, 내외의 압력차를 견딜 수 있도록 수cm나 되는 두께로 설정하지 않으면 안 될 뿐만 아니라, 웨이퍼 사이즈에도 의하나, 12인치 웨이퍼용의 처리용기의 경우에는 이 직경을 50cm정도로 설치하지 않으면 안 되어, 석영판이 대단히 고가의 것이 되어 버린다.
또한, 상술한 바와 같이 석영판을 내압성의 것으로 하여도, 이 석영판의 외측면은 평상온의 대기에 접하고, 내측면은 온도가 비교적 높은 처리실 내 분위기에 접하므로 앞뒤양면의 온도차에 의한 파손이 발생할 가능성도 있고, 특히, SiH4등의 유해처리가스를 사용하고 있는 경우에는 이 가스가 대기중으로 샐 위험성도 있다.
또는, 안테나부재를 처리용기 바깥으로 설치함으로써, 안테나부재와 웨이퍼와의 사이의 거리가 매우 커져버릴 뿐만 아니라, 고주파전력은 석영판을 투과하여 공급되므로, 인가하는 고주파전력이 불충분하거나 또는 에너지손실이 많아지며, 효율적인 RF전력의 인가를 할 수 없게 될 우려가 있다.
또한, 처리용기 외에 안테나부재를 배치함으로써 장치주변으로의 전자파의 누출을 방지하기 위하여 시일드대책을 하지 않으면 안 되어, 장치자체의 구조가 복잡화되어 버린다.
또한, 천정부의 바깥측에 안테나부재를 설치하므로, 플라즈마 처리용의 처리가스나 불활성가스는 모두 둘레벽부로부터 처리실 내로 도입하지 않을 수 없고, 에칭처리나 CVD처리의 웨이퍼면내의 균일성을 충분히 확보할 수 없을 우려가 있다.
다음으로, 이상과 같이 구성된 본 실시예의 장치의 동작에 대하여 설명한다.
먼저, 게이트 밸브(117)를 통해서 반도체 웨이퍼(W)를, 도시하지 않은 반송아암에 의해서 처리실(116)내로 반송하고, 이것을 서셉터(120)의 얹어놓는 면에 얹어놓고 정전척의 쿨롱의 힘에 의해서 흡착유지한다.
이 처리실(116) 내는, 가스배기관(119)에 접속되는 진공펌프(도시하지않음)에 의해서 진공흡입되고, 처리실(116) 내로는 둘레벽에 설치한 처리가스공급관(118) 및 천정부(110)의 중앙부에 기밀하게 관통시키어 설치된 불활성가스 도입관(139)으로부터 각각 HF가스 등의 처리가스 및 Ar가스 등의 불활성가스를 공급하여 예를 들면 1 × 10-3Torr정도의 매우 낮은 압력상태로 유지하고, 동시에 플라즈마 발생용의 고주파전원(103)으로부터 예를 들면 13.56MHz의 고주파를 안테나부재(106)의 양끝단에 인가한다.
이 결과, 안테나부재(106)의 인덕턴스성분의 유도작용에 의해서 아래쪽 모든면을 향하여 전파가 발사됨과 동시에, 서셉터(120)에도 고주파전원(122)으로부터 RF전력을 인가하고 있는 경우에는 이 안테나부재(106)와 서셉터(120)의 사이의 용량성분의 작용에 의해서 교번전계가 발생하고, 이 결과, 처리실(116)내에는 처리가스나 Ar가스가 활성화되고 이온화하여 플라즈마가 발생하고, 플라즈마 방전여기에 의해서 발생한 활성종에 의해서 웨이퍼표면에 이방성이 대단히 높은 에칭을 할 수 있다. 또한, 이 경우, 서셉터(120)에는 상술한 바와 같이 RF전력을 인가시켜도 좋고, 이것을 접지시켜도 좋다.
활성종 중의 음이온은, 예를 들면 전파와 전계의 작용에 의해서 나선형상으로 선회하면서 서셉터(120)측으로 이동한다. 이 음이온이 이동할 수 있는 거리는, 종래의 평행평판전극을 사용한 장치와 비교하여 길어지고, 이 때문에 이동사이에 가스분자와 충돌하여 많은 이온이 발생하게 된다. 따라서, 그 만큼 플라즈마의 발생효과가 좋아지고 에칭율을 향상시키는 것이 가능해진다.
또한, 이 플라즈마는 1 × 10-3~ 1 × 10-6Torr의 범위내의 매우 낮은 압력하에서도 발생하므로, 에칭시의 활성종의 산란도 적어서 방향성이 맞추어지고, 따라서, 상술한 바와 같이 이방성이 높은, 즉 형상이 샤프한 에칭가공을 행할 수 있고, 예를 들면 64M 나 256M DRAM으로 요구되는 미세가공을 하는 것이 가능해진다.
특히, 본 실시예에 있어서는 안테나부재(106)를 처리용기(104) 내로 수용하도록 하였으므로, 이것과 웨이퍼(W)와의 사이의 거리(L2)가 짧아지고, 안테나부재(106)로부터 발사한 전파가 거의 감쇠하지 않고 바로 처리실(116) 내의 가스에 조사되어 이것을 여기하므로 플라즈마의 생성효율은 대폭적으로 높일 수 있으며, 또한, 플라즈마의 고밀도화도 달성할 수 있다. 또한, 이 경우, 안테나부재(106) 전체는, 안테나보호커버(138)에 의해서 덮여져 있으므로, 안테나부재(106)는 활성종에 의해서 스패터되지 않고, 웨이퍼가 중금속 오염되지도 않는다.
또한, 안테나부재(106)를 처리용기(104)내로 수용함으로써, 처리용기(104)의 천정부(110)가 비므로, 이 부분에 불활성가스 도입판(139) 등의 가스도입관을 설치하여 처리실(116) 내의 중심부에 가스를 직접 도입할 수 있고, 따라서, 처리실(116) 내의 웨이퍼표면 대략 전역을 걸쳐서 가스를 균등하게 분산시키어 플라즈마를 균일하게 발생시킬 수 있고, 면내 균일성을 향상시킬 수 있다.
또한, 안테나부재(106)를 처리용기(104)의 바깥측으로 배치하여 천정부(110)를 두꺼운 원반형상의 석영으로 구성하는 경우와 비교하여, 본 실시예에 의하면 석영판을 없애고 이 천정부분을 예를 들면 알루미늄 등에 의해서 둘레벽과 일체화구조로 할 수 있고, 대폭적인 코스트 다운을 꾀할 수 있을 뿐만 아니라, 석영판을 사용함으로써 발생하는 파손의 문제 및 유해가스의 누출문제도 해결할 수 있다. 또는, 안테나부재(106)로부터 발생한 전파는 도전성재료로 이루어지는 처리용기(104)에 의해서 시일드되므로, 특별한 시일드대책을 개별적으로 독립하여 행할 필요도 없다.
상기 실시예에 있어서는, 안테나부재(106)로서 도체를 평면형상으로 소용돌이형상으로 감아서 이루어지는 평면형상 안테나부(132)를 사용한 경우에 대하여 설명하였으나, 이에 대신하여, 제18도에 나타낸 바와 같은 통형상의 코일부(142)를 사용하도록 하여도 좋다. 또한, 이후에 설명하는 실시예의 도시예 중에는 세라믹 히터나 냉각쟈켓 등이 생략되어 있으나, 이것을 설치하여도 좋은 것을 물론이다. 이 실시예에 있어서는 동 등의 도전성재료로 이루어지는 약간 굵은 선재를, 여러회, 도시예에 있어서는 3회 나선형상으로 감아서 통형상의 코일부(142)를 구성하고 있다. 이 경우, 코일부(142)의 안지름은, 처리할 웨이퍼(W)의 직경과 같은 동일하거나 또는 그것보다 약간 크게 설정하여 플라즈마가 웨이퍼면내에 대략 균일하게 발생하도록 구성하는 것이 바람직하다.
이 통형상의 안테나부재(106)는, 처리용기(104)의 천정부(110)의 중앙부를 윗쪽으로 원통형상으로 돌출시키어 형성한 안테나 수용돌출부(110A) 내로 수용하고, 코일부(142)의 중심축이 웨이퍼(W)의 표면과 직교하는 방향이 되도록 코일부(142)는 배치되어 있다
또한, 코일부(142) 전체도, 예를 들면 석영 등의 유전체로 이루어진 내부가 중공이 된 이중원통 링형상의 안테나 보호커버(138)에 의해서 덮여져 있다. 이 이중원통 링형상의 안테나 보호커버(138)의 중심은, 하단이 개구되고 가스분출구멍(143)이 되어 있으며, 윗끝단은 폐쇄되고 이 부분에, 중간이 절연성 시일부재(140)를 통해서 수용돌출부(110A)에 부착된 불활성가스 도입관(139)의 하단에 접속되어 있고, 안테나부재(106) 전체는 처리용기에 지지되어 있다. 다른 부분의 구성은 제15도에 나타낸 장치와 마찬가지로 구성되어 있다.
이 실시예에 있어서는 불활성가스 도입관(139)을 통해서 내부에 도입된 불활성가스는, 원통형상 안테나부재(106)의 중심공간부를 흘러내려가면서 안테나부재(106)으로부터의 전파에 의해서 여기되어 플라즈마화되고, 하단의 가스분출구(143)로부터 유출할 때에 처리가스와 접촉하고, 이것을 활성화하고 활성종이 형성되게 된다. 이 경우에도, 1 × 10-3Torr ∼ 1 × 10-6Torr의 범위내의 매우 낮은 압력하에서도 플라즈마가 발생하고, 이방성이 높은 날카로운 에칭가공을 행할 수 있을 뿐만 아니라, 효율적으로 플라즈마를 발생시킬 수 있고, 제15도에 나타낸 실시예와 같은 작용효과를 발휘할 수 있다.
제15도 및 제18도에 나타낸 실시예는 평면형상의 안테나부재 및 통형상의 코일형상 안테나부재를 각각 단독으로 설치한 경우에 대하여 설명하였으나, 제19도에 나타낸 바와 같이 이들 평면형상의 안테나부재와 통형상의 코일형상의 안테나부재를 조합하도록 구성하여도 좋다.
이 실시예에 있어서는, 제l8도에 나타낸 바와 같은 코일형상의 안테나부(142)의 상단부에 제15도에 나타낸 바와 같은 평판형상의 안테나부(132)를 배치하여 안테나부재(106)를 구성하고, 전체를 제18도에 나타낸 것과 마찬가지로 석영 등으로 이루어진 안테나 보호커버(135)로 덮고 있다. 평판형상의 안테나부(132) 및 코일형상의 안테나부(142)의 각 양끝단은, 각각 별개의 플라즈마 발생용의 고주파전원(108A,108B)가 매칭박스(136A,136B)를 통해서 접속되어 있다.
이 경우, 각 고주파전원(108A,108B)은, 주파수가 1MHz∼200MHz의 범위내이면 임의로 설정할 수 있고, 예를 들면 2MHz과 13.56MHz, 또는 50MHz와 13.56MHz의 조합과 같이 임의로 선택할 수 있도록 구성되어 있다. 이들 2개의 평판형상의 안테나부재(106)와 코일통형상의 코일부재(142)를 직렬로 접속하고, 이 전체에 1개의 플라즈마 발생용의 고주파전원을 인가하도록 하여도 좋다.
이와 같이 평판형상의 안테나부재(106)와 통형상의 코일부재(142)를 조합한 경우에도 앞의 제 1 및 제 2 실시예와 같은 작용효과를 발휘할 수 있다.
특히, 평판형상의 안테나부재(106)를 단독으로 사용하고, 제20도에 나타낸 바와 같이 에칭율이나 CVD처리의 경우에는 CVD 막형성율 등의 처리율곡선(44)이 웨이퍼중심에서 점점 낮아지고, 그 둘레부를 피크로서 웨이퍼 둘레부로 향함에 따라서 서서히 저하하고 있으며, 엄밀하게는 균일상태로 되어 있지 않다. 그래서, 통형상의 코일부재(142)로 이루어진 안테나부재를 보조안테나부재로서 조합하여 사용함으로써 웨이퍼 둘레부의 비율저하가 수정되어 처리율곡선(144)이 일점쇄선으로 나타낸 바와 같이 대략 직선상태가 되고, 처리, 예를 들면 에칭의 면내 균일성을 한층 달성할 수 있다.
상술한 장치에 있어서는, 먼저, 안테나부재를 형성하고, 이 전체를 용기 형상의 안테나 보호커버(138) 내에 수용하여 덮도록 구성하였으나, 이것에 한정되지 않고, 예를 들면 제21도 내지 제24도에 나타낸 바와 같이 안테나부재를 구성하는 도전성선재자체를, 예를 들면 석영 등의 유전체로 이루어진 소정두께의 보호층(146)에 의해서 직접 표면코팅하여 안테나 보호커버로 하여도 좋다.
제21도, 제23도, 제24도에 나타낸 각 안테나부재(106)의 배치는, 제15도, 제18도, 제19도에 나타낸 안테나부재와 각각 대응해 있다. 제22도는, 제21도의 일부를 확대한 단면도로, 예를 들면 두께 수mm정도의 보호층(146)에 의해서 표면코팅된 안테나부재(106)는. 처리용기(104)의 천장부(110)의 아래면에 지지부재(48)에 의해서 매달려 지지되어 있다. 이 보호층(146)의 두께는, 상술한 바와 같이 스패터에 의한 중금속오염을 방지할 수 있는 만큼의 얇기로 설정되어 있다 이와 같은 보호층(146)은, 예를 들면 실리콘산화물의 용액에 안테나 가는 선을 침적하여 결정 성장시킴으로써 형성할 수 있다.
제23도 및 제24도에 나타낸 장치에에 있어서는, 천정부(110)의 중심에 설치한 불활성가스 도입판(139)으로부터 도입한 불활성가스가 안테나 수용돌출부(110A) 내를 흘러내릴 때에, 코일부(142)의 요철에 의해서 난류상태가 되지 않도록 하기 위해서, 도입관(139)의 하단에는, 예를 들면 석영으로 이루어진 원통형상의 플라즈마 안내통(150)이 연결되어 있고, 안내통(150)의 하단의 가스분출구(143)로부터는 제18도 및 제19도에 나타낸 경우와 마찬가지로 정류상태의 플라즈마가 처리실(116) 내로 유하한다.
이상 설명한 각 실시예에 있어서는 불활성가스 도입관(139)으로부터의 불활성가스는, 직접처리용기 내로 도입되었으나, 이것에 한정되지 않고 예를 들면 제25도 및 제26도에 나타낸 바와 같이 불활성가스 도입관(139)의 앞끝단에 예를 들면 석영으로 이루어진 주지한 샤워헤드(152)를 설치하도록 하여도 좋다. 이 샤워헤드(152)는, 다수의 통기구멍(154)을 가지거나 또는 서로 소정간격을 가지고 평행하게 배열 설치된 여러개의 정류판(156)을 가지고 있으며, 웨이퍼면내 전역에 걸쳐서 균일하게 불활성가스를 공급하도록 되어 있다.
제25도에 나타낸 실시예에 있어서는, 처리용기(104)의 천장부(110)의 아래면과 제21도에 나타낸 바와 같이 그 표면이 보호층(146)에 의해서 직접코팅된 1턴의 안테나부재(106)와의 사이에, 천정부(110)에 지지된 샤워헤드(152)가 배치되어 있다.
제26도에 나타낸 실시예에 있어서는, 천정부(110)의 아래면에 제15도에 나타낸 바와 같이 안테나 보호커버(138) 내에 수용된 안테나부재(106)가 배치되고, 또한 이 아래면에 상기 샤워헤드(152)가 지지되어 배치되어 있다.
이들 제25도 및 제26도에 나타낸 장치도, 앞의 실시예와 같은 작용효과를 발휘할 수 있다. 특히, 샤워헤드(152)를 사용함으로써 웨이퍼면내 전역에 걸쳐서 불활성가스를 공급할 수 있고, 플라즈마처리의 면내 균일성을 한층 향상시킬 수 있다.
이상의 각 실시예에 있어서는, 각 안테나부재의 양끝단에 플라즈마 발생용의 고주파전원을 인가하도록 구성하였으나, 이것에 한정되지 않고, 각 안테나부재의 한 끝단에만 고주파전원을 인가하고, 다른 끝단을 개방끝단이 되도록 구성하여도 좋다.
또한, 안테나부재의 감는 수도 상기 각 실시예에 한정되지 않는 것도 물론이고, 필요에 따라서 또한 감는 수를 증가하여도 좋다.
상기 각 실시예에 있어서는, 주로 플라즈마에 의한 에칭처리를 예를 들어 설명하였으나, 이것에 한정되지 않고, 플라즈마 CVD처리, 플라즈마 에칭처리등의 다른 처리에도 적용할 수 있는 것은 물론이다.
또한, 피처리체로서 반도체 웨이퍼를 예를 들어 설명하였으나, 이것에 한정되지 않고 LCD기판 등의 다른 기판에도 적용할 수 있다.

Claims (14)

  1. 둘레벽을 가지며, 내부가 배기되는 처리용기와, 이 처리용기 내에 피처리면을 가지는 피처리체를 지지하는 수단과, 이 처리용기 내에 피처리체를 위한 처리가스를 공급하는 수단과, 처리용기 내에 전자파를 발생시키고 상기 처리가스의 플라즈마를 발생시키는 수단을 구비하며, 상기 처리가스 공급수단은, 처리용기 내에서, 피처리체의 피처리면과 수직인 방향으로 여러단에 걸쳐서 배치된 여러개의 처리가스 분출구멍을 구비하며, 상단측에 위치하는 상기 가스분출구멍을 하단측에 위치하는 상기 처리가스 분출구멍보다도 피처리면의 중심측에 위치시키고 있는 플라즈마 처리장치.
  2. 제1항에 있어서, 상기 처리가스 공급수단은, 상기 처리용기 내에 여러단에 걸쳐서 배치되고, 상기 처리가스 분출구멍을 각각 가지는 여러개의 공급노즐을 가지며, 각 단의 공급노즐은 피러치면과 직교하여 피처리면의 중심을 통하는 중심축을 중심으로 방사형상으로 배열되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  3. 제2항에 있어서, 상기 공급노즐은 처리용기의 둘레벽으로부터 피처리체의 피처리면에 평행하게 뻗어 있고, 뻗어나온 끝단에 상기 처리가스 분사구멍을 가지며, 상단의 것이 하단의 것보다도 긴 것을 특징으로 하는 플라즈마 처리장치.
  4. 제3항에 있어서, 상기 각각의 단은 같은 수의 공급노즐을 가지는 것을 특징으로 하는 플라즈마 처리장치.
  5. 제4항에 있어서, 상기 공급노즐의 가스분출구멍으로부터 동시에 같은 유량으로 처리가스를 분사시키는 수단을 가지는 것을 특징으로 하는 플라즈마 처리장치.
  6. 제1항에 있어서, 상기 하단에 위치하는 처리가스 분출구멍은, 상기 처리용기의 둘레벽과, 상기 피처리체의 모서리의 사이에 위치되는 것을 특징으로 하는 플라즈마 처리장치.
  7. 제1항에 있어서, 상기 상단의 처리가스 분출구멍보다도 피처리체로부터 피처리체의 피처리면과 실질적으로 수직인 방향으로 떨어져 배치되어 처리용기 내에 첨가가스를 공급하기 위한 첨가가스 분출구멍을 첨가가스 분출구멍을 가지는 첨가가스공급 도입수단을 더욱 구비하는 것을 특징으로 하는 플라즈마 처리장치.
  8. 제7항에 있어서, 상기 처리가스 공급수단은, 막형성가스를 공급하는 수단이며, 상기 첨가가스 공급수단은 불활성가스 또는 산소 또는 이들의 혼합가스를 공급하는 수단인 것을 특징으로 하는 플라즈마 처리장치.
  9. 제1항에 있어서, 상기 처리가스 공급수단은, 상기 처리용기 내에 설치되고, 피처리체와 간격을 가지고 대면한 가스분출면을 가지는 가스공급헤드를 가지며, 이 가스분출면에는 상기 처리가스 분출구멍이 여러단에 걸쳐서 형성되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  10. 제9항에 있어서, 상기 가스공급헤드는 석영으로 형성되어 있고, 가스분사면은 중심으로부터 둘레로 향함에 따라서 피처리체의 피처리면에 접근하도록 변형하여 있는 것을 특징으로 하는 플라즈마 처리장치.
  11. 제10항에 있어서, 상기 가스분사면은 만곡면인 것을 특징으로 하는 플라즈마 처리장치.
  12. 제10항에 있어서, 상기 기스분사면은 경사진 평면인 것을 특징으로 하는 플라즈마 처리장치.
  13. 둘레벽과 천정부를 가지며 내부가 10-2~ 10-7Torr 로 배기되는 처리용기와, 처리용기 내에 설치되며, 피처리면을 위로 향하여 피처리체를 지지하는 서셉터와, 상기 서셉터에 지지된 피처리체의 피처리면과 간격을 두고 대향하여 설치된 전자파 발생용의 안테나부재와, 이 안테나부재에 접속된 고주파전원과, 상기 처리용기에 처러가스를 공급하기 위한 공급수단과, 이 공급수단에 설치되고 상기 서셉터의 윗쪽에 상하방향으로 여러단에 걸쳐서 배치된 처리가스 분출구멍을 구비하며, 상단측에 위치하는 상기 가스분출구멍을 하단측에 위치하는 상기 처리가스 분출구멍보다 처리용기 중심측에 위치시키고 있는 플라즈마 처리장치.
  14. 제13항에 있어서, 상기 안테나부재는 처리용기 내에 설치되며, 이 안테나부재를 커버하고, 이것을 처리가스로부터 보호하는 보호수단을 가지는 것을 특징으로 하는 플라즈마 처리장치.
KR1019950009915A 1994-04-26 1995-04-26 플라즈마처리장치 KR100300097B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP11030194 1994-04-26
JP94-110301 1994-04-26
JP16745194A JP3243125B2 (ja) 1994-06-27 1994-06-27 処理装置
JP94-167451 1994-06-27

Publications (2)

Publication Number Publication Date
KR950034531A KR950034531A (ko) 1995-12-28
KR100300097B1 true KR100300097B1 (ko) 2001-11-30

Family

ID=26449954

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950009915A KR100300097B1 (ko) 1994-04-26 1995-04-26 플라즈마처리장치

Country Status (3)

Country Link
US (1) US5522934A (ko)
KR (1) KR100300097B1 (ko)
TW (1) TW311326B (ko)

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
WO1996031997A1 (fr) * 1995-04-07 1996-10-10 Seiko Epson Corporation Equipement de traitement de surface
US5683517A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
KR970072061A (ko) * 1996-04-16 1997-11-07 김광호 반도체 제조 공정에 사용되는 확산로
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
KR100493684B1 (ko) * 1996-06-28 2005-09-12 램 리서치 코포레이션 고밀도플라즈마화학기상증착장치및그방법
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
WO1998000576A1 (en) * 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
DE69736081T2 (de) * 1996-09-27 2007-01-11 Surface Technoloy Systems Plc Plasmabearbeitungsvorrichtung
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
KR100466867B1 (ko) * 1997-07-03 2005-04-19 삼성전자주식회사 증착속도가일정한플라즈마인헨스드화학기상증착장치
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
KR100370440B1 (ko) * 1998-03-05 2003-02-05 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6185839B1 (en) 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6440220B1 (en) * 1998-10-23 2002-08-27 Goodrich Corporation Method and apparatus for inhibiting infiltration of a reactive gas into porous refractory insulation
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
WO2001037314A1 (en) * 1999-11-15 2001-05-25 Lam Research Corporation Materials and gas chemistries for processing systems
JP4222707B2 (ja) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 プラズマ処理装置及び方法、ガス供給リング及び誘電体
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
KR100688479B1 (ko) * 2000-08-21 2007-03-08 삼성전자주식회사 균일한 클리닝 가스 공급을 위한 플라즈마 화학 기상 증착챔버
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
KR100436941B1 (ko) * 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
US6706142B2 (en) * 2000-11-30 2004-03-16 Mattson Technology, Inc. Systems and methods for enhancing plasma processing of a semiconductor substrate
US7098599B2 (en) * 2000-12-27 2006-08-29 Japan Science & Technology Corporation Plasma generator
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
KR20040019293A (ko) 2001-05-24 2004-03-05 셀레리티 그룹 아이엔씨 소정 비율의 프로세스 유체를 제공하는 방법 및 장치
US6652711B2 (en) 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
KR20030041217A (ko) * 2001-11-19 2003-05-27 주성엔지니어링(주) Icp 발생 장치의 안테나 전극
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
DE60329292D1 (de) * 2002-03-08 2009-10-29 Canon Anelva Corp Verfahren und Vorrichtung zum Herstellen von Metall-Schichten
AU2003233655A1 (en) * 2002-05-23 2003-12-12 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US20040129210A1 (en) * 2003-01-03 2004-07-08 Applied Materials, Inc. Gas nozzle for substrate processing chamber
EP1589793B1 (en) * 2003-01-16 2014-06-04 Japan Science and Technology Agency Plasma generation device
WO2004095502A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
JP4372443B2 (ja) * 2003-04-01 2009-11-25 東京エレクトロン株式会社 処理装置および処理方法
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7344755B2 (en) 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
KR101001743B1 (ko) * 2003-11-17 2010-12-15 삼성전자주식회사 헬리컬 자기-공진 코일을 이용한 이온화 물리적 기상 증착장치
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050211544A1 (en) * 2004-03-29 2005-09-29 Seagate Technology Llc Electrical biasing of gas introduction means of plasma apparatus
US7584942B2 (en) * 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US8361013B2 (en) * 2004-04-19 2013-01-29 The Invention Science Fund I, Llc Telescoping perfusion management system
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
JP4642379B2 (ja) 2004-05-12 2011-03-02 東京エレクトロン株式会社 排気捕集装置
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
WO2007038967A1 (en) * 2005-10-05 2007-04-12 Pva Tepla Ag Down-stream plasma etching with deflectable plasma beam
CN101351871B (zh) * 2005-11-02 2010-08-18 松下电器产业株式会社 等离子体处理装置
WO2007118204A2 (en) * 2006-04-06 2007-10-18 Applied Materials, Inc. Reactive sputtering zinc oxide transparent conductive oxides onto large area substrates
US20070235320A1 (en) 2006-04-06 2007-10-11 Applied Materials, Inc. Reactive sputtering chamber with gas distribution tubes
WO2007129520A1 (ja) * 2006-05-08 2007-11-15 Panasonic Corporation 大気圧プラズマ発生装置及び発生方法
CN104821269B (zh) * 2006-05-22 2017-05-10 吉恩株式会社 感应耦合等离子体反应器
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
US7674662B2 (en) * 2006-07-19 2010-03-09 Applied Materials, Inc. Process for making thin film field effect transistors using zinc oxide
US8002946B2 (en) 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8017029B2 (en) 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7967930B2 (en) 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8012366B2 (en) 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080113107A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for containment shielding during pecvd deposition processes
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080236491A1 (en) * 2007-03-30 2008-10-02 Tokyo Electron Limited Multiflow integrated icp source
US7927713B2 (en) 2007-04-27 2011-04-19 Applied Materials, Inc. Thin film semiconductor material produced through reactive sputtering of zinc target using nitrogen gases
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
EP2183780A4 (en) 2007-08-02 2010-07-28 Applied Materials Inc THIN FILM TRANSISTORS USING THIN FILM SEMICONDUCTOR MATERIALS
CZ17940U1 (cs) * 2007-09-13 2007-10-15 Špatenka@Petr Aplikátor mikrovlnného generátoru plazmatu, a mikrovlnný generátor plazmatu zahrnující tento aplikátor
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8980066B2 (en) * 2008-03-14 2015-03-17 Applied Materials, Inc. Thin film metal oxynitride semiconductors
US8143093B2 (en) * 2008-03-20 2012-03-27 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US7879698B2 (en) * 2008-03-24 2011-02-01 Applied Materials, Inc. Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
US8035370B2 (en) * 2009-03-10 2011-10-11 The Boeing Company Systems and methods to stir an electromagnetic (EM) field
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
CN102640294B (zh) * 2009-09-24 2014-12-17 应用材料公司 将湿式处理用于源极-漏极金属蚀刻从而制造金属氧化物或金属氮氧化物tft的方法
US8840763B2 (en) * 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
JP5592098B2 (ja) * 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5740203B2 (ja) 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
JP5718011B2 (ja) * 2010-10-13 2015-05-13 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
JP5745812B2 (ja) * 2010-10-27 2015-07-08 東京エレクトロン株式会社 プラズマ処理装置
US9398680B2 (en) * 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
WO2014119177A1 (ja) * 2013-01-30 2014-08-07 京セラ株式会社 ガスノズルおよびこれを用いたプラズマ装置
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
JP6297509B2 (ja) * 2015-01-26 2018-03-20 東京エレクトロン株式会社 基板処理装置
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
JP6472356B2 (ja) * 2015-09-11 2019-02-20 東京エレクトロン株式会社 熱処理装置
CN108292588B (zh) * 2015-12-04 2022-02-18 应用材料公司 用以防止hdp-cvd腔室电弧放电的先进涂层方法及材料
US10727089B2 (en) * 2016-02-12 2020-07-28 Lam Research Corporation Systems and methods for selectively etching film
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US20180308661A1 (en) 2017-04-24 2018-10-25 Applied Materials, Inc. Plasma reactor with electrode filaments
US10510515B2 (en) 2017-06-22 2019-12-17 Applied Materials, Inc. Processing tool with electrically switched electrode assembly
US11355321B2 (en) 2017-06-22 2022-06-07 Applied Materials, Inc. Plasma reactor with electrode assembly for moving substrate
US11114284B2 (en) * 2017-06-22 2021-09-07 Applied Materials, Inc. Plasma reactor with electrode array in ceiling
US20190157048A1 (en) * 2017-11-17 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and method for forming semiconductor device structure
US20200258718A1 (en) * 2019-02-07 2020-08-13 Mattson Technology, Inc. Gas Supply With Angled Injectors In Plasma Processing Apparatus
IL281747B2 (en) * 2021-03-22 2024-04-01 N T Tao Ltd System and method for creating plasma with high efficiency

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US301933A (en) * 1884-07-15 Sash-li ft
US4383177A (en) * 1980-12-24 1983-05-10 International Business Machines Corporation Multipole implantation-isotope separation ion beam source
US4384938A (en) * 1982-05-03 1983-05-24 International Business Machines Corporation Reactive ion etching chamber
US4992301A (en) * 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
EP0379828B1 (en) * 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
JPH0358171A (ja) * 1989-07-26 1991-03-13 Mitsubishi Electric Corp 画像検索装置
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5217559A (en) * 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
JPH04362091A (ja) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
JP2931820B2 (ja) * 1991-11-05 1999-08-09 東京エレクトロン株式会社 板状体の処理装置及び搬送装置
JPH05243155A (ja) * 1992-02-27 1993-09-21 Mitsubishi Electric Corp スパッタ装置
JPH05317375A (ja) * 1992-05-25 1993-12-03 Matsushita Electric Works Ltd 振動式マッサージ機のヘッド部
JPH0669160A (ja) * 1992-08-21 1994-03-11 Mitsubishi Heavy Ind Ltd プラズマ化学エッチング装置

Also Published As

Publication number Publication date
US5522934A (en) 1996-06-04
TW311326B (ko) 1997-07-21
KR950034531A (ko) 1995-12-28

Similar Documents

Publication Publication Date Title
KR100300097B1 (ko) 플라즈마처리장치
KR100241171B1 (ko) 플라즈마 강화 화학적 처리 장치 및 그 장치를 작동시키는 방법
JP3691528B2 (ja) 高密度プラズマcvd及びエッチングリアクタ
US20160160351A1 (en) Liner assembly and substrate processing apparatus having the same
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
KR100268158B1 (ko) 유도 결합된hdp-cvd반응기
US6712020B2 (en) Toroidal plasma source for plasma processing
KR100988085B1 (ko) 고밀도 플라즈마 처리 장치
KR101011580B1 (ko) 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스
EP0884760B1 (en) Electron-beam excited plasma generator
US20020078893A1 (en) Plasma enhanced chemical processing reactor and method
US4686113A (en) Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method
JP3243125B2 (ja) 処理装置
JPH11135438A (ja) 半導体プラズマ処理装置
US20130000847A1 (en) Plasma processing apparatus
JP3181473B2 (ja) プラズマ処理装置
US11195696B2 (en) Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same
JPH0813169A (ja) プラズマ処理装置
KR980013546A (ko) 플라즈마 발생 및 스퍼터링용 코일
US20050000429A1 (en) Spiral gas flow plasma reactor
KR100493684B1 (ko) 고밀도플라즈마화학기상증착장치및그방법
JP2002118104A (ja) プラズマ処理装置
JP2001291704A (ja) 処理装置、プラズマ処理装置及びこれらのクリーニング方法
KR200240816Y1 (ko) 플라즈마 처리 장치
KR20230108221A (ko) 펄스 자기장을 사용한 플라즈마 균일성 제어

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130913

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20140530

Year of fee payment: 14

EXPY Expiration of term