JP2022174154A - ガラスベースの空基板集積導波路デバイス - Google Patents

ガラスベースの空基板集積導波路デバイス Download PDF

Info

Publication number
JP2022174154A
JP2022174154A JP2022139159A JP2022139159A JP2022174154A JP 2022174154 A JP2022174154 A JP 2022174154A JP 2022139159 A JP2022139159 A JP 2022139159A JP 2022139159 A JP2022139159 A JP 2022139159A JP 2022174154 A JP2022174154 A JP 2022174154A
Authority
JP
Japan
Prior art keywords
esiw
pattern
waveguide
copper
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022139159A
Other languages
English (en)
Other versions
JP2022174154A5 (ja
Inventor
ジェブ エイチ. フレミング
H Flemming Jeb
ロジャー クック
Cook Roger
カイル マクウェシー
Mcwethy Kyle
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
3D Glass Solutions
Original Assignee
3D Glass Solutions
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 3D Glass Solutions filed Critical 3D Glass Solutions
Publication of JP2022174154A publication Critical patent/JP2022174154A/ja
Publication of JP2022174154A5 publication Critical patent/JP2022174154A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C3/00Glass compositions
    • C03C3/04Glass compositions containing silica
    • C03C3/076Glass compositions containing silica with 40% to 90% silica, by weight
    • C03C3/095Glass compositions containing silica with 40% to 90% silica, by weight containing rare earths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01PWAVEGUIDES; RESONATORS, LINES, OR OTHER DEVICES OF THE WAVEGUIDE TYPE
    • H01P3/00Waveguides; Transmission lines of the waveguide type
    • H01P3/12Hollow waveguides
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C10/00Devitrified glass ceramics, i.e. glass ceramics having a crystalline phase dispersed in a glassy phase and constituting at least 50% by weight of the total composition
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C14/00Glass compositions containing a non-glass component, e.g. compositions containing fibres, filaments, whiskers, platelets, or the like, dispersed in a glass matrix
    • C03C14/006Glass compositions containing a non-glass component, e.g. compositions containing fibres, filaments, whiskers, platelets, or the like, dispersed in a glass matrix the non-glass component being in the form of microcrystallites, e.g. of optically or electrically active material
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • C03C17/36Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions at least one coating being a metal
    • C03C17/40Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions at least one coating being a metal all coatings being metal coatings
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C4/00Compositions for glass with special properties
    • C03C4/04Compositions for glass with special properties for photosensitive glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01PWAVEGUIDES; RESONATORS, LINES, OR OTHER DEVICES OF THE WAVEGUIDE TYPE
    • H01P11/00Apparatus or processes specially adapted for manufacturing waveguides or resonators, lines, or other devices of the waveguide type
    • H01P11/001Manufacturing waveguides or transmission lines of the waveguide type
    • H01P11/002Manufacturing hollow waveguides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01PWAVEGUIDES; RESONATORS, LINES, OR OTHER DEVICES OF THE WAVEGUIDE TYPE
    • H01P3/00Waveguides; Transmission lines of the waveguide type
    • H01P3/12Hollow waveguides
    • H01P3/121Hollow waveguides integrated in a substrate
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2214/00Nature of the non-vitreous component
    • C03C2214/04Particles; Flakes
    • C03C2214/05Particles; Flakes surface treated, e.g. coated
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2214/00Nature of the non-vitreous component
    • C03C2214/08Metals
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/11Deposition methods from solutions or suspensions
    • C03C2218/115Deposition methods from solutions or suspensions electro-enhanced deposition
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/154Deposition methods from the vapour phase by sputtering
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • C03C2218/328Partly or completely removing a coating
    • C03C2218/33Partly or completely removing a coating by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/34Masking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6627Waveguides, e.g. microstrip line, strip line, coplanar line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/15Ceramic or glass substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01PWAVEGUIDES; RESONATORS, LINES, OR OTHER DEVICES OF THE WAVEGUIDE TYPE
    • H01P5/00Coupling devices of the waveguide type
    • H01P5/08Coupling devices of the waveguide type for linking dissimilar lines or devices
    • H01P5/10Coupling devices of the waveguide type for linking dissimilar lines or devices for coupling balanced lines or devices with unbalanced lines or devices
    • H01P5/107Hollow-waveguide/strip-line transitions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Geochemistry & Mineralogy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Dispersion Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Optical Integrated Circuits (AREA)
  • Control Of Motors That Do Not Use Commutators (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Manufacturing Of Printed Wiring (AREA)
  • Waveguides (AREA)

Abstract

【課題】ガラスベースの空基板集積導波路デバイスを提供する。【解決手段】感光性ガラスセラミック基板において機械的及び熱的に安定化された、低損失の高Q空基板集積導波路デバイス及び/又はシステムを作成する方法を含む。感光性ガラスセラミックプロセスにより、高性能、高品質、及び/又は低コスト構造が可能になる。コンパクトな低損失RF空基板集積導波路デバイスは、RFシステムの、特に、ポータブルシステムの基本的な技術要件である。【選択図】図4

Description

関連出願の相互参照
なし。
本発明は概して、ガラスベースの空基板集積導波路デバイスの分野に関する。より具体的には、本発明は、同じ基板上又は1つのパッケージ内のRF容量性共振フィルタにおけるフリンジ容量からの寄生容量の低減に関する。
連邦政府による資金提供を受けた研究の記載
なし。
本発明の範囲を限定することなく、その背景をフリンジ容量からの寄生容量の低減に関連して説明する。
伝送媒体線路とのトレードオフは、その減衰特性で始まる。伝統的な空導波路(EW,Empty waveguide)デバイスは多くの用途において用いられてきたが、これらは他の伝統
的な導波路デバイスに対してかなりの数の利点及び欠点を有する。図1は、先行技術の伝統的な導波路デバイス10の画像を示す。
Belenguer, et al.によって開発されたもの、A.Belenguer, H. Esteban, and V. E. Boria, “Novel emptysubstrate integrated waveguide for high-performance microwave integratedcircuits,” IEEE Trans. Microw. Theory Techn., vol. 62,no. 4, pp. 832-839, 2014、及びB. A. Belenguer, J. L. Cano,H. Esteban, E. Artal, and V. E. Boria, “Empty substrateintegrated waveguide technology for E plane high-frequency and high-performancecircuits,” Radio Sci., vol. 52, no. 1, pp. 49-69, 2017のような、空基板集積導波路(ESIW,empty substrate integrated waveguide)デバイスを含むいくつかの進歩がなされてきた。初期の取り組みでは、ESIWの底部を支持する基板を用いるESIWを示している。他のバージョンでは、ESIWの底部としてプリント回路基板(PCB,printed circuit board)上の金属板を用い、これにより、P
CB基板の上部に、又はその一部としてあることによる基板損失をなくした。PCB上の金属板という設計により、基板に関連する誘電損失が排除又は実質的に削減されたが、PCB基板の表面粗さ及び機械的歪みに関連する追加の損失がもたらされた。一般に、表面粗さの損失は誘電損失より少ないが、歪みからのPCBの機械損失は、ESIWデバイスが完全に非機能的になるのに十分に大きくなる可能性がある。図2は、先行技術の集積された矩形基板20の寸法定義を示す。
伝統的なESIWデバイスの利点は、(1)少ない金属を用いて、マイクロストリップ又はストリップラインデバイスの場合よりはるかに大きい信号を運んだ、(2)低コストで製造することができ、標準のプリント回路基板(PCB)の基板を用いて製造が容易であり得る、(3)他の平面伝送線路より低い伝送損失及び高いQ共振器及びフィルタ、(4)伝統的な導波路より低いカットオフ周波数、及び(5)より高いピーク電力処理能力、を含む。しかしながら、伝統的なESIWデバイスのこれらの利点は、これらとともに、(1)ビアの間隔がどれだけ狭いかに基づく、かなりの漏れ損失、(2)基板集積導波路(SIW,substrate integrate waveguide)デバイスの全体的な誘電損失(損失正接
)の要因となる充填又は部分的に充填されたガイド構造の誘電損失、結果として、誘電体充填SIWはミリメートル波周波数用に適用可能とは見なされない、(3)時間の経過に
つれて歪まない滑らかな基板が選択されれば、自立型金属基板の導電性による損失がゼロに近くなる、(4)ESIWは、誘電体充填SIWと比較して大きなフットプリントを有する、(5)ESIWデバイスの銅箔上部及び底部の外面の粗さ。銅箔/板(Rogers 5880基板上で0.3μm)の、及び内面(0.4μm)上の粗さ、この粗さがRF損失の要
因となる、を含む、いくつかの重大な欠点をもたらす。
ESIWは、誘電率が1であり損失正接がゼロである誘電体充填導波路(DFW,dielectric filledwaveguide)として考えることができる。TE10モードでは、寸法「b
」は導波路のカットオフ周波数に影響しないため、重要ではない。基板は任意の厚さにすることができ、これは誘電損失にのみ影響する(厚くなる=損失が低くなる)。矩形の空基板集積導波路では、任意のモードのカットオフ周波数が次の式によって求められる。
空基板集積導波路は、次の式によって導かれる。
Figure 2022174154000002
ここで、cは光速であり、m、nはモード番号であり、a、bは導波路の垂直及び水平寸法である。
ESIW設計に関する公開された文献では、次の2つの条件を満たすことが要求される。
Figure 2022174154000003
誘電体のないSIW(DSIW,dielectricless SIW)又は空SIWは、2016年に最初に発表された。先行技術のこの設計において、厚い基板がミリングされ、金属化され、次いでプリプレグ層(予備含浸複合繊維、エポキシのような熱硬化性ポリマーマトリクス材料が存在する)を貼り付けた上部金属カバーで覆われ、そのためほとんど誘電体がな
い。
最初期のESIWはBelenguerによって提案され、彼らは基板において誘電体の一部を
除去して誘電体において消費される電力を削減した。空になった基板は、上部及び底部導電層で閉じなければならなかった。これらの層は簡素で低コストのFR4基板とすることができ、著者らは、プリプレグPCBを用いてこれらの層を中央基板に貼り付けることを提案した。導波路の壁を形成するビアホールは、めっきスルー、埋め込み、又はブラインドタイプのいずれかとすることができる。ビア及び横壁は、PCBの標準的な手順を用いて金属化される。結果として、導波路の横壁が形成される。導波路は、主要PCB基板に2つの金属カバーを取り付けることによって閉じる。カバーの一方が上部導波路壁として作用する一方、他方は導波路30の下面になる(先行技術の図3を参照)。これらの異なる層間の電気接続は非常に高品質でなければならず、そうでなければ、デバイスは適切に機能しないだろう。この高品質の層間接続は、はんだ付けによって達成される。スズはんだペーストを用いて異なる層をはんだ付けした。このはんだペーストは、主層の上部及び底部に分布している。構造を組み立て、最後に、はんだペーストをリフローオーブンで乾燥させる。
しかしながら、これらの改善にもかかわらず、現在のESIWの重大な欠点なしに、一般的に利用可能な機器及び方法を用いて製造することができる低損失RF ESIW構造の必要性が残っている。
A. Belenguer, H. Esteban, and V. E. Boria, "Novel empty substrate integrated waveguide for high-performancemicrowave integrated circuits,"IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp.832-839, 2014 A. Belenguer, J. L. Cano, H. Esteban, E.Artal, and V. E. Boria, "Empty substrate integratedwaveguide technology for E plane high-frequency and high-performance circuits," Radio Sci., vol. 52, no. 1, pp. 49-69, 2017
一実施形態において、本発明は、アンテナ及びRF信号発射要素を含む空基板集積導波路(ESIW)デバイスを作製する方法であって、リチウムイオンを含むウエハ上にESIWパターン、ESIWサポート、RF信号発射、周辺のグランドパターン、及び1又は2以上の導波路のエッジを形成する、ステップと、銀イオンが銀ナノ粒子に合体することが可能になる温度で銀イオンの存在下で露出した前記パターンをアニーリングし、温度を520℃~620℃の間に上昇させて銀ナノ粒子の周りに酸化リチウムを形成するステップと、ウエハの上面をフォトレジストでコーティングするステップと、グランドパターンを露出させたまま、パターンを露光及び現像して前記導波路のパターンを保護するステップと、ウエハの裏面にブランケットフォトレジストをスピンオンし、露出したグランドセラミック部分をHF浴においてエッチングするステップと、フォトレジストを除去して1又は2以上のグランドパターン開口及びセラミック導波路を残すステップと、すべてのグランド開口が充填されるまで、開口したグランドパターン上に銅を電気めっきするステップと、ウエハの裏面をフォトレジストでコーティングするステップと、1又は2以上の小さなエッチングリリースフィーチャを備えた矩形要素を露光及び現像するステップと、200Å~2,000Åのチタン金属を堆積させて第1のチタン層を形成し、続いてウエハの裏面へ銅を1μm堆積させるステップと、フォトレジストを除去して矩形の銅要素を残し、グランドパターンの銅及び導波路発射要素に電気的に接続される前記ESIW構造の底部を形成するステップと、1又は2以上のエッチングリリースフィーチャを備えたセラ
ミックESIWパターンを超えるサイズであるフォトレジストにおいて矩形要素を露光及び現像するステップと、ESIW構造の剛性を向上させるために銅で露出した銅領域上に5~50μmの間の銅を電気めっきするステップと、200Å~2,000Åのチタン金属を堆積させて第2のチタン層を形成し、続いてウエハの上面上へ銅を0.5μm~1μm堆積させるステップと、ウエハの上面をフォトレジストでコーティングするステップと、上面ESIWパターンを露光及び現像するステップと、標準のフォトレジストストリッパ、銅エッチャント及びチタンエッチャントを用いてフォトレジストを除去し、あらゆる露出した堆積金属をエッチングし、電気めっきされた銅のESIWパターンを残すステップと、0.5%~50%のHF超音波浴にウエハを置くステップと、ウエハを洗浄してHFを除去し、アンテナ及びRF信号発射要素を含む空基板集積導波路デバイスを得るステップと、を含む、本質的にこれらからなる、又はこれらからなる、方法を含む。一態様において、ESIWは、40より大きいQを有する。他の一態様において、ESIWは、同じ周波数でプリント回路基板導波路デバイスより低いカットオフ周波数を有する。他の一態様において、ESIWは、同じ周波数でプリント回路基板導波路デバイスより高いピーク電力処理能力を有する。他の一態様において、第1のチタン層、第2のチタン層、又は第1及び第2の両方のチタン層は、300Åの厚さを有する。
他の一実施形態において、本発明は、アンテナ及びRF信号発射要素に接続された、位相整合、時間遅延、クロスオーバー又はフィルタ要素の少なくとも1又は2以上を含む空基板集積導波路システムを作成する方法であって、ESIWパターンを形成するステップであって、ESIWパターン、ESIWサポート、RF信号発射、周辺グランドパターン及び導波路のエッジを形成するステップと、銀イオンが銀ナノ粒子に合体することが可能になる温度で露出したパターンをアニーリングするステップと、露出したパターンを520℃~620℃の間で加熱される温度範囲でアニーリングし、銀ナノ粒子の周りに酸化リチウムを形成するステップと、ウエハの上面をフォトレジストでコーティングし、グランドパターンを露出させたまま、パターンを露光及び現像して前記導波路のパターンを保護するステップと、ウエハの裏面及びHF浴上にブランケットフォトレジストをスピンオンして、露出したグランドセラミック部分をエッチングするステップと、フォトレジストを除去してグランドパターン開口及びセラミック導波路を残すステップと、すべてのグランド開口が充填されるまで、開口したグランドパターン上に銅を電気めっきするステップと、ウエハの裏面をフォトレジストでコーティングするステップと、1又は2以上の小さなエッチングリリースフィーチャを備えた矩形要素を露光及び現像するステップと、200Å~2,000Åのチタン金属を堆積させて第1のチタン層を形成し、続いてウエハの裏面へ銅を1μm堆積させるステップと、フォトレジストを除去して、グランドパターンの銅及び導波路発射要素に電気的に接続されるESIW構造の底部のために矩形の銅要素を残すステップと、1又は2以上のエッチングリリースフィーチャを備えたセラミックESIWパターンの大きなサイズであるフォトレジストにおいて矩形要素を露光及び現像するステップと、ESIW構造の剛性を向上させるために銅で露出した銅領域上に5~50μmの間の銅を電気めっきするステップと、200Å~2,000Åのチタン金属を堆積させて第2のチタン層を形成し、続いてウエハの上面上へ銅を0.5μm~1μm堆積させるステップと、ウエハの上面をフォトレジストでコーティングするステップと、上面ESIWパターン、並びにRF信号発射要素とアンテナ要素、及び位相整合要素、時間遅延要素、又はフィルタ要素のためのパターンを露光及び現像するステップと、標準のフォトレジストストリッパ、銅エッチャント及びチタンエッチャントを用いてフォトレジストを除去し、あらゆる露出した堆積金属をエッチングし、電気めっきされた銅のESIWパターンを残すステップと、超音波浴における0.5%~50%のHF内へウエハを入れるステップと、ウエハを洗浄してHFを除去するステップと、を含む、本質的にこれらからなる、又はこれらからなる、方法を含む。他の一態様において、ESIWは、20、30、35、36、37、38、39、又は40より大きいQを有する。他の一態様において、ESIWは、同じ周波数でプリント回路基板導波路デバイスより低いカットオフ周波数を有
する。他の一態様において、ESIWは、ESIWより高いピーク電力処理能力を有する。他の一態様において、第1のチタン層、第2のチタン層、又は第1及び第2の両方のチタン層は、300Åの厚さを有する。
一実施形態において、本発明は、感光性(photodefinable)ガラスセラミック基板において機械的及び熱的に安定化された、低損失の高Q空基板集積導波路デバイス及び/又はシステムを含む。一態様において、ESIWは、20、30、35、36、37、38、39、又は40より大きいQを有する。他の一態様において、ESIWは、同じ周波数でプリント回路基板導波路デバイスより低いカットオフ周波数を有する。他の一態様において、ESIWは、プリント回路ESIWより高いピーク電力処理能力を有する。
本発明の特徴及び利点のより完全な理解のため、ここで本発明の詳細な説明を添付の図とともに参照する。
先行技術の伝統を用いる伝統的な空導波路デバイスを示す図である。 先行技術の集積された矩形基板の寸法定義を示す図である。 先行技術のBelenguerによって公開されたPCBにおける基本的な空表面集積導波路構造を示す図である。 プリント回路基板に作製されたマイクロストリップラインから空基板集積導波路(ESIW)の接続を示す図である。 本発明のESIWシステムの一部となり得るRFフィルタを示す上面図である。 本発明のESIWシステムの一部となり得るRFフィルタを示す斜視図である。 本発明のESIWシステムの一部となり得る空洞RFフィルタを示す上面図である。 本発明のESIWシステムの一部となり得る空洞RFフィルタを示す斜視図である。 本発明のESIWシステムの一部となり得るRFフィルタカプラを示す上面図である。 本発明のESIWシステムの一部となり得るRFフィルタカプラを示す斜視図である。
本発明の様々な実施形態の作製及び使用を以下で詳細に議論するが、本発明は、多種多様な具体的な文脈において具現化することができる多くの適用可能な発明の概念を提供するということが理解されるべきである。本明細書で議論する具体的な実施形態は、本発明を作製及び使用する具体的な方法の単なる例示であり、本発明の範囲を限定するものではない。
本発明の理解を容易にするため、いくつかの用語を以下に定義する。本明細書で定義する用語は、本発明に関連する領域における当業者によって通常理解されるような意味を有する。「a」、「an」及び「the」のような用語は、単数のエンティティのみを指すように意図されたものではなく、具体的な例を例示に用いることができる一般的な部類を含む。本明細書の用語は、本発明の具体的な実施形態を説明するために用いられるが、それらの使用法は、特許請求の範囲に概説されたときを除いて、本発明を限定するものではない。
本発明は概して、ガラスベースの空基板集積導波路デバイスの分野に関する。より具体的には、本発明は、同じ基板上又は1つのパッケージ内のRF容量性共振フィルタにおけ
るフリンジ容量からの寄生容量の低減に関する。いくつかの態様において、本発明は、接続された伝送線路共振フィルタのフリンジ容量低減である。
感光性ガラス構造は、他の要素システム又はサブシステムと併せた集積電子要素のようないくつかのマイクロマシニング及び微細加工プロセスのために提案されてきた。半導体、絶縁又は導電性基板上での薄膜付加プロセスを用いる半導体微細加工は、高価で低収率且つ性能のばらつきが大きい。付加的なマイクロトランスミッションの一例を、Tian et al.によるSemiconductor MicrofabricationProcessesの記事に見ることができるが、こ
れは、高価な資本設備、一般にそれぞれ百万ドルを超える費用がかかり、数百万から数十億より多くの費用がかかる超クリーンで高生産のシリコン製造施設が要求される、フォトリソグラフィ及び反応性イオンエッチング又はイオンビームミリングツールに依存する。本発明は、反応性イオンエッチング又はイオンビームミリングの必要性なしに、低損失でRF周波数に対する均一な応答のための費用効果の高いガラスセラミック電子個別デバイスを又は受動デバイスのアレイとして提供する。
本発明は、機械的安定化及び電気的絶縁を備えた多数の構造を感光性ガラスセラミックに形成する際に用いるためにAPEXガラス構造に低損失RF空基板集積導波路(ESIW)構造を製造するための新規な方法を含む。概して、本発明は、感光性ガラスセラミック基板の複数の平面に作成するESIW構造を含み、このようなプロセスは、(a)励起エネルギーへ曝露して、基板又はエネルギー源のいずれかの向きを変更することによって様々な角度で曝露が起こるようにすること、(b)ベイクステップ及び(c)エッチングステップを使用する。
感光性ガラス基板は、60~76重量%のシリカ、KOとNaOの組み合わせの6重量%~16重量%を備えた少なくとも3重量%のKO、AgO及びAuOからなる群から選択される少なくとも1つの酸化物の0.003~1重量%、0.003~2重量%のCuO、0.75重量%~7重量%のB、及び6~7重量%のAl、とBの組み合わせ、及び13重量%を超えないAl、8~15重量%のLiO、及び0.001~0.1重量%のCeO、の組成を含むガラス基板とすることができる。他の一態様において、感光性ガラス基板は、35~76重量%のシリカ、3~16重量%のKO、0.003~1重量%のAgO、8~15重量%のLiO、及び0.001~0.1重量%のCeO、の組成を含むガラス基板である。他の一態様において、感光性ガラス基板は、少なくとも0.1重量%のSb又はAsを含む感光性ガラス基板、0.003~1重量%のAuOを含む感光性ガラス基板、CaO、ZnO、PbO、MgO、SrO及びBaOからなる群から選択される酸化物の1~18重量%を含む感光性ガラス基板、の少なくとも1つである。
本発明は、低損失で、感光性ガラスセラミック基板において機械的及び熱的に安定化された、高Q空基板集積導波路デバイス及び/又はシステムを含む。概して、このESIWは、20、30、35、36、37、38、39、又は40より大きいQを有する。他の一態様において、ESIWは、同じ周波数でプリント回路基板導波路デバイスより低いカットオフ周波数を有する。他の一態様において、ESIWは、プリント回路ESIWより高いピーク電力処理能力を有する。
本発明は、感光性ガラスセラミック基板について垂直並びに水平面の両方に機械的支持要素を含む低損失RF ESIW構造をこのように製造することを生み出す能力を生み出した。
全般的なプロセス。
ステップ1.感光性ガラスセラミック基板又はウエハをラップ及び研磨する。
ステップ2.感光性ガラスセラミック基板を次いでESIWパターン、ESIWサポート及び周辺のグランドパターンに露出させる。ESIWパターンは、ガラスのない領域を画定する導波路の基本パターンである。ESIW支持要素は、円筒形パターンとすることができ、5μm~150μmまでの範囲の直径を有することができるが、好ましくは直径が35μmである。周辺のグランドパターンは、導波路のエッジを画定するパターンである。310nmの光の約20J/cmの2~20分間の露光を用いてパターンを露光する。
ステップ3.銀イオンを銀ナノ粒子に合体させるため、420℃~520℃の間で加熱された温度範囲で10分~2時間の間、そして520℃~620℃の間で加熱された温度範囲で10分と2時間との間アニーリングし、銀ナノ粒子の周りに酸化リチウムを形成する。
ステップ4.ウエハの上面をフォトレジストでコーティングし、グランドパターンを露出させたまま、パターンを露光及び現像して導波路のパターンを保護する。
ステップ5.ウエハの裏面へブランケットフォトレジストをスピンオンし、冷却したウエハをHF浴内へ入れて、露出したグランドセラミック部分をエッチングする。
ステップ6.標準のフォトレジストストリッパを用いて、フォトレジストを除去してグランドパターン開口及びセラミック導波路領域をウエハに残す。
ステップ7.ウエハを銅の電気めっき浴内へ入れ、すべてのグランド開口が充填されるまで、開口したグランドパターンを銅でめっきする。
ステップ8.ウエハの裏面をフォトレジストでコーティングし、小さなエッチングリリースフィーチャを備えた矩形要素を露光及び現像すると、これがESIWの裏面になる。
ステップ9.スパッタリングシステムのようなメタライゼーションツールを用いて200Å~2,000Åのチタン金属、例えば、300Åを堆積させ、続いてウエハの裏面へ銅を1μm堆積させる。
ステップ10.標準のフォトレジストストリッパを用いてフォトレジストを除去し、グランドパターンの銅に電気的に接続されるESIW構造の底部のために矩形の銅要素を残す。
ステップ11.ウエハの裏面をフォトレジストでコーティングし、エッチングリリースフィーチャを備えたセラミックESIWパターンの大きなサイズである矩形要素を露光及び現像する。
ステップ12.ウエハを銅の電気めっき浴内へ入れ、ESIW構造の剛性を向上させるために5~50μmの間の銅で露出した銅領域をめっきする。
ステップ13.スパッタリングシステムのようなメタライゼーションツールを用いて200Å~2,000Åのチタン金属、例えば、300Åを堆積させ、続いてウエハの上面上へ銅を0.5μm~1μm堆積させる。
ステップ14.ウエハの上面をフォトレジストでコーティングし、上面ESIWパター
ン、並びにRF信号発射要素、位相整合要素、時間遅延要素、フィルタ要素及びアンテナ要素のためのパターンを露光及び現像する。
ステップ15.標準のフォトレジストストリッパ、銅エッチャント及びチタンエッチャントを用いてフォトレジストを除去し、あらゆる露出した堆積金属をエッチングし、電気めっきされた銅のESIWパターンを残す。
ステップ16.ウエハを次いで超音波浴における0.5%~50%のHF内へ入れる。
1mmと250μmとの間の厚さである感光性ガラス基板又はウエハで始め、200Åと10Åとの間、好ましくは50Åの二乗平均平方根(RMS,root mean square)表面粗さまで感光性ガラス基板をラップ及び研磨する。感光性ガラスセラミック基板を次いで、ESIWサポート及び周辺のグランドパターンを含むESIWパターンに露出させる。ESIWパターンは、導波路画定領域の基本的な矩形パターンである。矩形パターンの体積は、0%~100%の間の誘電体の範囲とすることができる。通常、矩形パターンの体積は誘電材料を有することがない。ESIW支持要素は、5μm~200μmまでの範囲の直径を備えた、しかし好ましくは直径が35μmの円筒形パターン、六角形又は他のパターンとすることができる。矩形の周辺は導波路のエッジを画定し、グランドに電気的に接続することができる。これらのパターンは、310nmの光の約20J/cmの2~20分の露光を用いて露光する。露光後、感光性ガラス基板は、420℃~520℃の間で加熱された温度範囲で10分~2時間の間アニーリングされる。このアニーリングプロセスにより、銀イオンが銀ナノ粒子に合体する。感光性ガラス基板は、520℃~620℃の間で加熱された温度範囲で10分と2時間との間アニーリングされ、酸化リチウムを移動させて銀ナノ粒子の周りに形成する。感光性ガラス基板の上面が次いでフォトレジストでコーティングされ、グランドパターンを露出させたまま、パターンを露光及び現像して導波路のパターンを保護する。感光性ガラス基板の裏面はブランケットフォトレジストでコーティングされ、次いでHF浴内へ入れて露出したグランドセラミック部分をエッチングする。HFエッチャント浴は、室温で2%と20%との間、しかし大抵10%の濃度を有することができる。図4を参照すると、本発明の空基板集積導波路40を示している。標準のフォトレジストストリッパを用いてフォトレジストを除去し、グランドパターン開口及びセラミック導波路領域をウエハに残す。ウエハを銅の電気めっき浴内へ入れ、すべてのグランド開口が充填されるまで、開口したグランドパターンを銅でめっきする。銅めっきは、5μm~50μmまでの範囲とすることができるが、好ましくは20μmの厚さであり得る。感光性ガラス基板の裏面がフォトレジストでコーティングされる。小さなエッチングリリースフィーチャを含む矩形要素が露光及び現像される。これがESIWの裏面になる。これらのエッチングリリースフィーチャは、サイズが10~200μmの間の、ESIWセラミックパターン全体をカバーして0.05と1mmとの間で均等に間隔を空けた、矩形、円形又は方形の開口とすることができる。スパッタリングシステム又は他のメタライゼーションツールのようなメタライゼーションツールを用いて200Å~2,000Åのチタン金属、例えば、300Åを堆積させ、続いて0.5μm~15μmの間、例えば、1μmの銅を感光性ガラス基板の裏面へ堆積させる。次いで標準のフォトレジストストリッパを用いてフォトレジストを除去し、グランドパターンの銅に電気的に接続されるESIW構造の底部のために矩形の銅要素を残す。次に、感光性ガラス基板の裏面をフォトレジストでコーティングし、エッチングリリースフィーチャを備えたセラミックESIWパターンの5~35%、しかし好ましくは15%大のサイズである矩形要素を露光及び現像する。
感光性ガラス基板を銅の電気めっき浴に入れ、ESIW構造の剛性を向上させるために5~100μmの間の銅で露出した銅領域をめっきする。スパッタリングシステムのようなメタライゼーションツールを用いて200Å~2,000Åのチタン金属、好ましくは
300Åを堆積させ、続いて感光性ガラス基板の上面上へ銅を0.5μm~5μm、例えば、1μm堆積させる。感光性ガラス基板は次いで上面がフォトレジストでコーティングされ、上面ESIWパターンで露光及び現像される。このパターンは、RF信号発射要素、位相整合要素、時間遅延要素、フィルタ要素及びアンテナ要素のためのパターンを含む。感光性ガラス基板を次いで銅の電気めっき浴内へ入れ、5~100μmの間の銅で露出した銅領域をめっきする。感光性ガラス基板上のフォトレジストを標準のフォトレジストストリッパ、銅エッチャント及びチタンエッチャントを用いて除去し、フォトレジストを除去し、あらゆる露出した堆積金属をエッチングして、電気めっきされた銅のESIWパターンを残す。感光性ガラス基板を次いで0.5%~50%、好ましくは10%のHF溶液内へ入れる。エッチングプロセスは、超音波浴の使用によって加速することができる。超音波浴は、28Khz~200Khzまでの周波数でパルス、スイープ及び固定モードで動作させてESIW構造を解放するとともに画定されたセラミック構造のすべてを除去することができる。感光性ガラス基板を次いで脱イオン水(DI,deionized water)リ
ンス浴内へ入れてエッチングプロセス基板を停止する。感光性ガラス基板は、リンスされて乾燥したウエハである。感光性ガラス基板は次いでウエハダイシングシステムに移されてESIWデバイス/システムを解放する。ESIWデバイスは、RF信号発射要素と組み合わせられた簡素なアンテナである。ESIWシステムは、アンテナ及びRF信号発射要素に加えて、位相整合要素、時間遅延要素、フィルタ要素を含む。
図4は、本発明の空基板集積導波路40を示しており、基板42、この場合は感光性ガラス基板がデバイスへと形成されている。相互接続領域44及び導波路領域45が導波路40と集積されている。エッジグランドビア48(EGV,edge ground via)は、除去
されたガラスの各側のアンカーポイントビアであり、上部メタライゼーション50及び下部メタライゼーション52に接触する。上部メタライゼーション50では、追加の回路又はフィーチャが可能になるようにプロセスを設計することができる。穿孔領域54に加えて不支持金属領域56も示されており、これはエッジグランドビア48と電気的に接触していない。スルービアのための拡大図58が示され、下部メタライゼーション領域52をカットイン又はアンダーカットするための選択肢も示されている。
図5Aは、本発明のESIWシステムの一部となり得るRFフィルタの上面図、図5Bは斜視図を示す。図6Aは、本発明のESIWシステムの一部となり得る空洞RFフィルタの上面図、図6Bは斜視図を示す。図7Aは、本発明のESIWシステムの一部となり得るRFフィルタカプラの上面図、図7Bは斜視図を示す。5A~7Bの上面図及び斜視図のそれぞれを用いて、図4に示すデバイスを形成することができる。
本明細書において議論した任意の実施形態は、本発明の任意の方法、キット、試薬、又は組成物に関して実施することができ、逆もまた同様であると考えられる。さらに、本発明の組成物を用いて本発明の方法を達成することができる。
本明細書に記載の特定の実施形態は、本発明の限定としてではなく例示として示されているということが理解されよう。本発明の主な特徴は、本発明の範囲から逸脱することなく様々な実施形態において使用することができる。当業者は、ただの日常的な実験を用いて、本明細書に記載の具体的な手順に対する多数の均等物を認識する、又は確認することができるであろう。このような均等物は、本発明の範囲内にあると見なされ、特許請求の範囲によってカバーされる。
本明細書に記載のすべての刊行物及び特許出願は、本発明が関係する当業者の技能のレベルを示している。すべての刊行物及び特許出願が、各個々の刊行物又は特許出願が参照により組み込まれると具体的且つ個々に示された場合と同程度に、参照により本明細書に組み込まれる。
特許請求の範囲及び/又は明細書において「含む(comprising)」という用語と併せて用いられるときの「a」又は「an」という単語の使用は、「1」を意味することができるが、これは「1又は2以上」、「少なくとも1つ」、及び「1又は1より多い」の意味とも一致する。特許請求の範囲における「又は」という用語の使用は、本開示は代替物及び「及び/又は」のみに言及する定義をサポートしているが、代替物のみを指すように明示的に示され、又はこれらの代替物が相互に排他的でない限り、「及び/又は」を意味するように用いられる。本願を通して、「約」という用語は、ある値が、この方法がその値を決定するために使用され、デバイスについての固有の誤差の変動又は研究対象間に存在する変動を含むということを示すために用いられる。
本明細書及び請求項において用いられるとき、「comprising(含む)」(及び「comprise」及び「comprises」のような、comprisingの任意の形態)、「having(有する)」(及び「have」及び「has」のような、havingの任意の形態)、「including(含む)」(及び「includes」及び「include」のような、includingの任意の形態)又は「containing(含む)」(及び「contains」及び「contain」のような、containingの任意の形態)は、包括的すなわちオープンエンドであり、追加の、記載されていない要素又は方法ステップを除外しない。本明細書で提供される構成物及び方法のいずれかの実施形態において、「comprising(含む)」は、「consisting essentially of(本質的に~からなる)」又は「consisting of(~からなる)」に置き換えることができる。本明細書で用いられるとき、「consisting essentially of(本質的に~からなる)」という句には、指定された完全体(integer)又はステップ、並びに特許請求さ
れた発明の特徴又は機能に実質的に影響を及ぼさないものが要求される。本明細書で用いられるとき、「consisting(構成する)」という用語は、記載された完全体(integer)(例えば、特徴、要素、特色、特性、方法/プロセスステップ又は限定)又は
完全体(integer)(例えば、特徴、要素、特色、特性、方法/プロセスステップ、又は
限定)の群のみの存在を示すために用いられる。
本明細書で用いられるような「又はこれらの組み合わせ」という用語は、その用語に先行する列挙された項目のすべての順列及び組み合わせを指す。例えば、「A、B、C、又はこれらの組み合わせ」は、A、B、C、AB、AC、BC、又はABCの少なくとも1つを、そして特定の文脈において順序が重要であれば、BA、CA、CB、CBA、BCA、ACB、BAC、又はCABも含むように意図されている。この例で続けると、BB、AAA、AB、BBC、AAABCCCC、CBBAAA、CABABB、などのような、1又は2以上の項目又は用語の繰り返しを含む組み合わせが明示的に含まれる。当業者は、別段文脈から明らかでない限り、通常、任意の組み合わせにおける項目又は用語の数に制限がないということを理解するであろう。
本明細書で用いられるとき、限定はしないが、「約」、「実質的な」又は「実質的に」のような近似の言葉は、そのように修正されたとき、必ずしも絶対的又は完全ではないと理解される状態であるが、その状態を存在するものとして指定することを保証するのに十分に近いと当業者に見なされるであろう状態を指す。説明が変動し得る程度は、どれくらい大きく変化が起こり、それでも当業者に、修正された特徴を、修正されていない特徴の要求される特色及び能力を依然として有するものとして認識させることができるかに依存することになる。一般に、しかし先行する議論を条件として、「約」のような近似の語によって修正される本明細書の数値は、記載された値から少なくとも±1、2、3、4、5、6、7、10、12又は15%だけ変動し得る。
本明細書で開示及び特許請求された構成物及び/又は方法のすべては、本開示に照らして過度の実験なしに作製及び実行することができる。本発明の構成物及び方法を好ましい実施形態の観点において説明してきたが、本発明の概念、趣旨及び範囲から逸脱することなく、本明細書に記載の構成物及び/又は方法に、並びに方法のステップ又はステップのシーケンスにおいて変形を適用することができるということは当業者には明らかであろう。当業者に明らかなすべてのこのような同様の代替例及び修正例は、添付の特許請求の範囲によって定義されたような本発明の趣旨、範囲及び概念の範囲内であると見なされる。
特許庁、及び本願に関して発行されるいかなる特許のいかなる読者も本明細書に添付の特許請求の範囲を解釈するのを支援するため、出願人は、米国特許法第112条の段落(f)項の段落6、又は均等物が本願の出願の日に存在しているため、「のための手段」又は「のためのステップ」という言葉が特定の請求項において明示的に用いられていない限り、添付の請求項のいずれもこれを適用するように意図していないということを特記したい。
請求項のそれぞれについて、各従属請求項は、前の請求項が請求項の用語又は要素のための適切な先行詞を提供する限り、独立請求項及びそれぞれすべての請求項のための前の従属請求項のそれぞれの両方から従属することができる。
様々な異なるテクノロジー及び技術のいずれかを用いて情報及び信号を表現することができる(例えば、データ、命令、コマンド、情報、信号、ビット、シンボル、及びチップを、電圧、電流、電磁波、磁場又は粒子、光学場又は粒子、或いはこれらの任意の組み合わせによって表現することができる)ということが当業者によって理解されるであろう。同様に、本明細書に記載の様々な例示的な論理ブロック、モジュール、回路、及びアルゴリズムステップを、用途及び機能性に応じて、電子ハードウェア、コンピュータソフトウェア、又は両方の組み合わせとして実装することができる。また、本明細書に記載の様々な論理ブロック、モジュール、及び回路は、汎用プロセッサ(例えば、マイクロプロセッサ、従来のプロセッサ、コントローラ、マイクロコントローラ、ステートマシン又はコンピューティングデバイスの組み合わせ)、デジタル信号プロセッサ(「DSP,digital signal processor」)、特定用途向け集積回路(「ASIC,applicationspecific integrated circuit」)、フィールドプログラマブルゲートアレイ(「FPGA,fieldprogrammable gate array」)又は他のプログラマブルロジックデバイス、ディスクリートゲート又はトランジスタロジック、ディスクリートハードウェアコンポーネント、或いは本明細書に記載の機能を実行するように設計されたこれらの任意の組み合わせで実装又は実行することができる。同様に、本明細書に記載の方法又はプロセスのステップは、ハードウェアにおいて、プロセッサによって実行されるソフトウェアモジュールにおいて、又はこの2つの組み合わせにおいて直接具現化することができる。ソフトウェアモジュールは、RAMメモリ、フラッシュメモリ、ROMメモリ、EPROMメモリ、EEPROMメモリ、レジスタ、ハードディスク、リムーバブルディスク、CD-ROM、又は当技術分野において知られている任意の他の形式の記憶媒体に存在することができる。
本明細書で開示及び特許請求されたシステム、デバイス、コンピュータプログラム、構成物及び/又は方法のすべては、本開示に照らして過度の実験なしに作製及び実行することができる。本発明のシステム、デバイス、コンピュータプログラム、構成物及び方法を、好ましい実施形態の観点において説明してきたが、本発明の概念、趣旨及び範囲から逸脱することなく、本明細書に記載のシステム、デバイス、コンピュータプログラム、構成物及び/又は方法に、並びに方法のステップ又はステップのシーケンスにおいて変形を適用することができるということは当業者には明らかであろう。当業者に明らかなすべてのこのような同様の代替例及び修正例は、添付の特許請求の範囲によって定義されたような本発明の趣旨、範囲及び概念の範囲内であると見なされる。

Claims (1)

  1. 明細書に記載の発明。
JP2022139159A 2019-04-05 2022-09-01 ガラスベースの空基板集積導波路デバイス Pending JP2022174154A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962829882P 2019-04-05 2019-04-05
US62/829,882 2019-04-05
PCT/US2020/026673 WO2020206323A1 (en) 2019-04-05 2020-04-03 Glass based empty substrate integrated waveguide devices
JP2021557964A JP7140435B2 (ja) 2019-04-05 2020-04-03 ガラスベースの空基板集積導波路デバイス

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021557964A Division JP7140435B2 (ja) 2019-04-05 2020-04-03 ガラスベースの空基板集積導波路デバイス

Publications (2)

Publication Number Publication Date
JP2022174154A true JP2022174154A (ja) 2022-11-22
JP2022174154A5 JP2022174154A5 (ja) 2023-04-11

Family

ID=72667425

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021557964A Active JP7140435B2 (ja) 2019-04-05 2020-04-03 ガラスベースの空基板集積導波路デバイス
JP2022139159A Pending JP2022174154A (ja) 2019-04-05 2022-09-01 ガラスベースの空基板集積導波路デバイス

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2021557964A Active JP7140435B2 (ja) 2019-04-05 2020-04-03 ガラスベースの空基板集積導波路デバイス

Country Status (7)

Country Link
US (1) US11962057B2 (ja)
EP (1) EP3935687B1 (ja)
JP (2) JP7140435B2 (ja)
KR (1) KR20210147040A (ja)
AU (1) AU2020253553A1 (ja)
CA (2) CA3172853A1 (ja)
WO (1) WO2020206323A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021211855A1 (en) 2020-04-17 2021-10-21 3D Glass Solutions, Inc. Broadband inductor
KR102453374B1 (ko) * 2020-10-19 2022-10-12 한국전자기술연구원 감광성 유리를 이용한 반도체 패키지 및 그 제조방법

Family Cites Families (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL69675C (ja) 1943-12-08
GB619779A (en) 1946-01-18 1949-03-15 Gen Aniline & Film Corp Improvements in iron powder and cores produced therefrom
BE478714A (ja) 1946-09-09
US2515941A (en) 1946-09-09 1950-07-18 Corning Glass Works Photosensitive opal glass
BE493137A (ja) 1949-01-07
BE513836A (ja) 1951-08-30
US2628160A (en) 1951-08-30 1953-02-10 Corning Glass Works Sculpturing glass
US2971853A (en) 1953-03-05 1961-02-14 Corning Glass Works Ceramic body and method of making it
US3281264A (en) 1963-01-31 1966-10-25 Coast Metals Inc Method of gold-plating aluminum
US3292115A (en) 1964-09-11 1966-12-13 Hazeltine Research Inc Easily fabricated waveguide structures
JPS5321827B2 (ja) 1973-02-12 1978-07-05
GB1407151A (en) 1973-02-13 1975-09-24 Okikiolu G O System assemblies of energized components having tapering form for developing progressively increasing electromagnetic energy fields
US3993401A (en) 1975-02-10 1976-11-23 Minnesota Mining And Manufacturing Company Retroreflective material including geometric fresnel zone plates
US3985531A (en) 1975-03-19 1976-10-12 Corning Glass Works Spontaneously-formed fluormica glass-ceramics
US4029605A (en) 1975-12-08 1977-06-14 Hercules Incorporated Metallizing compositions
US4131516A (en) 1977-07-21 1978-12-26 International Business Machines Corporation Method of making metal filled via holes in ceramic circuit boards
US4413061A (en) 1978-02-06 1983-11-01 International Business Machines Corporation Glass-ceramic structures and sintered multilayer substrates thereof with circuit patterns of gold, silver or copper
JPS56155587A (en) 1980-05-02 1981-12-01 Fujitsu Ltd Printed circuit board
JPS57200042A (en) 1981-06-02 1982-12-08 Hoya Corp Exposure method for chemically machinable photosensitive glass
US4537612A (en) 1982-04-01 1985-08-27 Corning Glass Works Colored photochromic glasses and method
JPS5939949U (ja) 1982-09-08 1984-03-14 アルプス電気株式会社 高周波回路装置
US5078771A (en) 1989-02-07 1992-01-07 Canyon Materials, Inc. Method of making high energy beam sensitive glasses
US4514053A (en) 1983-08-04 1985-04-30 Corning Glass Works Integral photosensitive optical device and method
JPH0738677B2 (ja) 1984-05-07 1995-04-26 株式会社東芝 画像形成装置
JPS61149905A (ja) 1984-12-25 1986-07-08 Fujitsu Ltd 光合分波器
JPS61231529A (ja) 1985-04-08 1986-10-15 Agency Of Ind Science & Technol 光制御型光スイツチ装置
JPS62202840A (ja) 1986-03-03 1987-09-07 Toshiba Corp 感光性ガラスの加工方法
US4692015A (en) 1986-03-14 1987-09-08 Xerox Corporation Short focal lens array with multi-magnification properties
JPS63128699A (ja) 1986-11-19 1988-06-01 株式会社日立製作所 感光性ガラス−セラミツク多層配線基板
US4788165A (en) 1987-10-07 1988-11-29 Corning Glass Works Copper-exuding, boroaluminosilicate glasses
CA1320507C (en) 1987-10-07 1993-07-20 Elizabeth A. Boylan Thermal writing on glass or glass-ceramic substrates and copper-exuding glasses
US4942076A (en) 1988-11-03 1990-07-17 Micro Substrates, Inc. Ceramic substrate with metal filled via holes for hybrid microcircuits and method of making the same
JP2737292B2 (ja) 1989-09-01 1998-04-08 富士通株式会社 銅ペースト及びそれを用いたメタライズ方法
US5147740A (en) 1990-08-09 1992-09-15 Rockwell International Corporation Structure and process for fabricating conductive patterns having sub-half micron dimensions
US5215610A (en) 1991-04-04 1993-06-01 International Business Machines Corporation Method for fabricating superconductor packages
BE1004844A7 (fr) 1991-04-12 1993-02-09 Laude Lucien Diego Methodes de metallisation de surfaces a l'aide de poudres metalliques.
US5212120A (en) 1991-06-10 1993-05-18 Corning Incorporated Photosensitive glass
US5395498A (en) 1991-11-06 1995-03-07 Gombinsky; Moshe Method for separating biological macromolecules and means therfor
JPH05139787A (ja) 1991-11-19 1993-06-08 Seikosha Co Ltd 感光性ガラスの加工方法
US5374291A (en) 1991-12-10 1994-12-20 Director-General Of Agency Of Industrial Science And Technology Method of processing photosensitive glass
JPH05206706A (ja) 1992-01-30 1993-08-13 Reader Denshi Kk インターデジタル型バンドパスフィルタ
US6258497B1 (en) 1992-07-29 2001-07-10 International Business Machines Corporation Precise endpoint detection for etching processes
US5371466A (en) 1992-07-29 1994-12-06 The Regents Of The University Of California MRI RF ground breaker assembly
US5312674A (en) 1992-07-31 1994-05-17 Hughes Aircraft Company Low-temperature-cofired-ceramic (LTCC) tape structures including cofired ferromagnetic elements, drop-in components and multi-layer transformer
US6017681A (en) 1992-11-09 2000-01-25 Fujitsu Limited Method of coupling optical parts and method of forming a mirror
GB2290171B (en) 1994-06-03 1998-01-21 Plessey Semiconductors Ltd Inductor chip device
JPH0826767A (ja) 1994-07-13 1996-01-30 Nippon Glass Kk ソーダ石灰シリカ系感光性ガラス及びその製造方法
JPH08179155A (ja) 1994-12-26 1996-07-12 Ricoh Co Ltd レンズと光ファイバとの結合方法及びレンズ基板の作成方法
JP3438383B2 (ja) 1995-03-03 2003-08-18 ソニー株式会社 研磨方法およびこれに用いる研磨装置
HUP9801679A3 (en) 1995-03-10 2001-01-29 Meso Scale Technologies Llc Co Process and agent for multi-array, multi-specific electrochemiluminescence testing
US5919607A (en) 1995-10-26 1999-07-06 Brown University Research Foundation Photo-encoded selective etching for glass based microtechnology applications
US5733370A (en) 1996-01-16 1998-03-31 Seagate Technology, Inc. Method of manufacturing a bicrystal cluster magnetic recording medium
JPH107435A (ja) 1996-06-26 1998-01-13 Ngk Spark Plug Co Ltd ガラスセラミック配線基板およびその製造方法
DE69739716D1 (de) 1996-09-26 2010-02-04 Asahi Glass Co Ltd Schutzplatte für ein Plasma-Display und Verfahren zur Herstellung derselben
US6562523B1 (en) 1996-10-31 2003-05-13 Canyon Materials, Inc. Direct write all-glass photomask blanks
JPH10199728A (ja) 1997-01-07 1998-07-31 Murata Mfg Co Ltd 薄膜型コイル部品及びその製造方法
US5850623A (en) 1997-03-14 1998-12-15 Eastman Chemical Company Method for standardizing raman spectrometers to obtain stable and transferable calibrations
JP2000512810A (ja) 1997-04-25 2000-09-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 封入型多層コンデンサを製造する方法及び封入型多層コンデンサ
US5998224A (en) 1997-05-16 1999-12-07 Abbott Laboratories Magnetically assisted binding assays utilizing a magnetically responsive reagent
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6417754B1 (en) 1997-12-08 2002-07-09 The Regents Of The University Of California Three-dimensional coil inductor
JPH11176815A (ja) 1997-12-15 1999-07-02 Ricoh Co Ltd ドライエッチングの終点判定方法およびドライエッチング装置
US6598291B2 (en) 1998-03-20 2003-07-29 Viasystems, Inc. Via connector and method of making same
US6115521A (en) 1998-05-07 2000-09-05 Trw Inc. Fiber/waveguide-mirror-lens alignment device
WO1999063557A1 (fr) 1998-05-29 1999-12-09 Nissha Printing Co., Ltd. Bobine annulaire imprimee et procede de fabrication correspondant
US6171886B1 (en) 1998-06-30 2001-01-09 Eastman Kodak Company Method of making integrated hybrid silicon-based micro-actuator devices
US6046641A (en) 1998-07-22 2000-04-04 Eni Technologies, Inc. Parallel HV MOSFET high power stable amplifier
JP2000199827A (ja) 1998-10-27 2000-07-18 Sony Corp 光導波装置およびその製造方法
US6136210A (en) 1998-11-02 2000-10-24 Xerox Corporation Photoetching of acoustic lenses for acoustic ink printing
JP2000228615A (ja) 1999-02-05 2000-08-15 Tokin Corp Lcバンドパスフィルタ
JP3360065B2 (ja) 1999-03-24 2002-12-24 エルジー電子株式会社 感光性ガラス基板を利用したマイクロ構造物の製造方法
US6485690B1 (en) 1999-05-27 2002-11-26 Orchid Biosciences, Inc. Multiple fluid sample processor and system
JP3756041B2 (ja) 1999-05-27 2006-03-15 Hoya株式会社 多層プリント配線板の製造方法
FR2795745B1 (fr) 1999-06-30 2001-08-03 Saint Gobain Vitrage Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu
JP2001033664A (ja) 1999-07-21 2001-02-09 Hitachi Cable Ltd 光ファイバブロック
US6278352B1 (en) 1999-07-26 2001-08-21 Taiwan Semiconductor Manufacturing Company High efficiency thin film inductor
US7179638B2 (en) 1999-07-30 2007-02-20 Large Scale Biology Corporation Microarrays and their manufacture by slicing
US6538775B1 (en) 1999-09-16 2003-03-25 Reveo, Inc. Holographically-formed polymer dispersed liquid crystals with multiple gratings
US6403286B1 (en) 1999-11-04 2002-06-11 Corning Incorporated High aspect ratio patterning of glass film
US6579817B2 (en) 2000-04-26 2003-06-17 Matsushita Electric Industrial Co., Ltd. Dielectric ceramic composition and method for producing the same, and device for communication apparatus using the same
US6329702B1 (en) 2000-07-06 2001-12-11 Tyco Electronics Corporation High frequency carrier
US6495411B1 (en) 2000-07-13 2002-12-17 Promos Technology Inc. Technique to improve deep trench capacitance by increasing surface thereof
US6510264B2 (en) 2000-07-31 2003-01-21 Corning Incorporated Bulk internal bragg gratings and optical devices
US7829348B2 (en) 2000-09-22 2010-11-09 Iowa State University Research Foundation, Inc. Raman-active reagents and the use thereof
EP1330306A2 (en) 2000-10-10 2003-07-30 BioTrove, Inc. Apparatus for assay, synthesis and storage, and methods of manufacture, use, and manipulation thereof
US7033821B2 (en) 2000-11-08 2006-04-25 Surface Logix, Inc. Device for monitoring cell motility in real-time
KR100392956B1 (ko) 2000-12-30 2003-07-28 엘지전자 주식회사 플라즈마 디스플레이 패널의 격벽 제조방법
KR100368930B1 (ko) 2001-03-29 2003-01-24 한국과학기술원 반도체 기판 위에 높이 떠 있는 3차원 금속 소자, 그 회로모델, 및 그 제조방법
US6932933B2 (en) 2001-03-30 2005-08-23 The Aerospace Corporation Ultraviolet method of embedding structures in photocerams
US6824974B2 (en) 2001-06-11 2004-11-30 Genorx, Inc. Electronic detection of biological molecules using thin layers
WO2003001889A2 (en) 2001-06-29 2003-01-09 Meso Scale Technologies, Llc. Assay plates reader systems and methods for luminescence test measurements
US6771860B2 (en) 2001-06-29 2004-08-03 Xanoptix, Inc. Module mounted aligning optical connector
EP1411553A4 (en) 2001-07-12 2008-09-03 Hitachi Ltd ELECTRONIC CIRCUIT COMPONENT
US6843902B1 (en) 2001-07-20 2005-01-18 The Regents Of The University Of California Methods for fabricating metal nanowires
US20030025227A1 (en) 2001-08-02 2003-02-06 Zograph, Llc Reproduction of relief patterns
AU2002337788A1 (en) 2001-09-28 2003-04-07 Biovalve Technologies, Inc. Microneedle with membrane
KR100814806B1 (ko) 2001-10-15 2008-03-19 삼성에스디아이 주식회사 스페이서 제조 방법 및 이 스페이서를 갖는 평판 표시 소자
JP2003209411A (ja) 2001-10-30 2003-07-25 Matsushita Electric Ind Co Ltd 高周波モジュールおよび高周波モジュールの製造方法
US20040171076A1 (en) 2001-12-20 2004-09-02 Dejneka Matthew J. Detectable micro to nano sized structures, methods of manufacture and use
US7064103B2 (en) 2002-01-04 2006-06-20 Becton, Dickinson And Company Binding protein as biosensors
US6867089B2 (en) 2002-01-28 2005-03-15 Nanya Technology Corporation Method of forming a bottle-shaped trench in a semiconductor substrate
US7470518B2 (en) 2002-02-12 2008-12-30 Cellectricon Ab Systems and method for rapidly changing the solution environment around sensors
US20030156819A1 (en) 2002-02-15 2003-08-21 Mark Pruss Optical waveguide
JP2005520202A (ja) 2002-03-14 2005-07-07 コーニング インコーポレイテッド ファイバーアレイおよびファイバーアレイ作製方法
EP1499875A4 (en) 2002-04-30 2007-10-03 Univ Maryland FLUORESCENCE DETECTION
JP2003329877A (ja) 2002-05-14 2003-11-19 Nippon Sheet Glass Co Ltd 光モジュール
US6580054B1 (en) 2002-06-10 2003-06-17 New Wave Research Scribing sapphire substrates with a solid state UV laser
KR100846383B1 (ko) 2002-06-29 2008-07-15 주식회사 하이닉스반도체 캐패시터 제조 방법
JP2005538377A (ja) 2002-09-11 2005-12-15 シナメム コーポレイション 膜ベースアッセイ
US6911373B2 (en) 2002-09-20 2005-06-28 Intel Corporation Ultra-high capacitance device based on nanostructures
US6875544B1 (en) 2002-10-03 2005-04-05 Sandia Corporation Method for the fabrication of three-dimensional microstructures by deep X-ray lithography
US20040184705A1 (en) 2003-01-08 2004-09-23 Mikihiro Shimada Optical waveguide component and method of manufacturing the same
US6783920B2 (en) 2003-01-15 2004-08-31 The Aerospace Corporation Photosensitive glass variable laser exposure patterning method
DE10304606B3 (de) 2003-02-05 2004-06-03 Magnet-Physik Dr. Steingroever Gmbh Transformator zur Erzeugung hoher elektrischer Ströme
US7601491B2 (en) 2003-02-06 2009-10-13 Becton, Dickinson And Company Pretreatment method for extraction of nucleic acid from biological samples and kits therefor
WO2004073039A2 (en) 2003-02-11 2004-08-26 Oplink Communications, Inc. Ultra broadband inductor assembly
US7150569B2 (en) 2003-02-24 2006-12-19 Nor Spark Plug Co., Ltd. Optical device mounted substrate assembly
CN1784807B (zh) 2003-03-04 2013-03-20 诺福特罗尼有限公司 同轴波导微结构及其形成方法
US20040198582A1 (en) 2003-04-01 2004-10-07 Borrelli Nicholas F. Optical elements and methods of making optical elements
US6909137B2 (en) 2003-04-07 2005-06-21 International Business Machines Corporation Method of creating deep trench capacitor using a P+ metal electrode
US7579077B2 (en) 2003-05-05 2009-08-25 Nanosys, Inc. Nanofiber surfaces for use in enhanced surface area applications
EP1487019A1 (en) 2003-06-12 2004-12-15 Koninklijke Philips Electronics N.V. Electronic device and method of manufacturing thereof
KR100495219B1 (ko) 2003-06-25 2005-06-14 삼성전기주식회사 Ic칩 내장형 파워 엠프 모듈
JP4523299B2 (ja) 2003-10-31 2010-08-11 学校法人早稲田大学 薄膜コンデンサの製造方法
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US20050170670A1 (en) 2003-11-17 2005-08-04 King William P. Patterning of sacrificial materials
KR100780610B1 (ko) 2003-11-28 2007-11-29 주식회사 하이닉스반도체 반도체소자 제조 방법
US6830221B1 (en) * 2003-12-19 2004-12-14 The Aerospace Corporation Integrated glass ceramic spacecraft
US7316063B2 (en) 2004-01-12 2008-01-08 Micron Technology, Inc. Methods of fabricating substrates including at least one conductive via
JP4153442B2 (ja) 2004-02-02 2008-09-24 シャープ株式会社 光モジュールの製造方法
EP1738378A4 (en) 2004-03-18 2010-05-05 Nanosys Inc NANOFIBRE SURFACE BASED CAPACITORS
JP4394999B2 (ja) 2004-04-12 2010-01-06 大日本印刷株式会社 受動素子内蔵配線基板およびその製造方法
CN1262500C (zh) 2004-04-16 2006-07-05 武汉理工大学 制备纳米孔微晶玻璃/玻璃载体材料的方法
DE102004059252A1 (de) 2004-06-09 2006-01-19 Schott Ag Aufbau diffraktiver Optiken durch strukturierte Glasbeschichtung
US7176152B2 (en) 2004-06-09 2007-02-13 Ferro Corporation Lead-free and cadmium-free conductive copper thick film pastes
JP4622359B2 (ja) 2004-07-22 2011-02-02 コニカミノルタホールディングス株式会社 インクジェットヘッドの製造方法
US7064045B2 (en) 2004-08-30 2006-06-20 Miradia Inc. Laser based method and device for forming spacer structures for packaging optical reflection devices
US7132054B1 (en) 2004-09-08 2006-11-07 Sandia Corporation Method to fabricate hollow microneedle arrays
US20060147344A1 (en) 2004-09-30 2006-07-06 The University Of Cincinnati Fully packed capillary electrophoretic separation microchips with self-assembled silica colloidal particles in microchannels and their preparation methods
US7405698B2 (en) 2004-10-01 2008-07-29 De Rochemont L Pierre Ceramic antenna module and methods of manufacture thereof
JP4795677B2 (ja) 2004-12-02 2011-10-19 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体モジュール、ならびに半導体装置の製造方法
JP2006179564A (ja) 2004-12-21 2006-07-06 Nec Corp 半導体接続基板、半導体装置、半導体デバイス及び半導体基板並びに半導体接続基板の製造方法
DE102005003594B4 (de) 2004-12-31 2016-02-18 Schott Ag Verfahren zur Herstellung eines optischen Bauteils, verfahrensgemäß hergestelltes Bauteil sowie derartige Bauteile umfassende Einrichtung
KR100682919B1 (ko) 2005-01-20 2007-02-15 삼성전자주식회사 미세 금속 박막 패턴 형성 방법, 이를 채용한 생체물질고정용 기판 및 바이오칩
US7714688B2 (en) 2005-01-20 2010-05-11 Avx Corporation High Q planar inductors and IPD applications
US7964380B2 (en) 2005-01-21 2011-06-21 Argylia Technologies Nanoparticles for manipulation of biopolymers and methods of thereof
KR20060092643A (ko) 2005-02-18 2006-08-23 주식회사 하이닉스반도체 반도체 메모리 소자 및 그 제조 방법
JP2006236516A (ja) 2005-02-28 2006-09-07 Hitachi Ltd 光へッド、光情報再生装置及びその製造方法
KR100880800B1 (ko) 2005-04-18 2009-02-02 가부시키가이샤 무라타 세이사쿠쇼 고주파 모듈
JP2006324489A (ja) 2005-05-19 2006-11-30 Matsushita Electric Ind Co Ltd チップコイル及びその製造方法
US7355704B2 (en) 2005-06-13 2008-04-08 Solaris Nanosciences, Inc. Chemical and biological sensing using metallic particles in amplifying and absorbing media
JP2006352750A (ja) 2005-06-20 2006-12-28 Denso Corp アンテナコイル、それを用いた共振アンテナ及びカード型無線機
US7755291B2 (en) 2005-06-27 2010-07-13 Osram Sylvania Inc. Incandescent lamp that emits infrared light and a method of making the lamp
DE102005039323B4 (de) 2005-08-19 2009-09-03 Infineon Technologies Ag Leitbahnanordnung sowie zugehöriges Herstellungsverfahren
US7410763B2 (en) 2005-09-01 2008-08-12 Intel Corporation Multiplex data collection and analysis in bioanalyte detection
JP2006032982A (ja) 2005-09-02 2006-02-02 Semiconductor Energy Lab Co Ltd 薄膜の加熱処理方法
US20070080458A1 (en) 2005-10-11 2007-04-12 Tsuyoshi Ogawa Hybrid module and method of manufacturing the same
TW200721064A (en) 2005-11-29 2007-06-01 Novatek Microelectronics Corp Timing controller chip
US8003408B2 (en) 2005-12-29 2011-08-23 Intel Corporation Modification of metal nanoparticles for improved analyte detection by surface enhanced Raman spectroscopy (SERS)
GB2434913A (en) 2006-02-02 2007-08-08 Xsil Technology Ltd Support for wafer singulation
US7812416B2 (en) 2006-05-22 2010-10-12 Cardiomems, Inc. Methods and apparatus having an integrated circuit attached to fused silica
JP2007318002A (ja) 2006-05-29 2007-12-06 Matsushita Electric Ind Co Ltd 固体撮像装置及びその製造方法
WO2008091279A2 (en) 2006-06-28 2008-07-31 Northwestern University Etching and hole arrays
US7990679B2 (en) 2006-07-14 2011-08-02 Dais Analytic Corporation Nanoparticle ultracapacitor
JP4620643B2 (ja) 2006-08-16 2011-01-26 富士通オプティカルコンポーネンツ株式会社 インダクタ配線基板、インダクタ配線方法及びバイアスt回路
US8061017B2 (en) 2006-08-28 2011-11-22 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Methods of making coil transducers
US7965180B2 (en) 2006-09-28 2011-06-21 Semiconductor Energy Laboratory Co., Ltd. Wireless sensor device
US7847669B2 (en) 2006-12-06 2010-12-07 Georgia Tech Research Corporation Micro-electromechanical switched tunable inductor
US7556440B2 (en) 2006-12-22 2009-07-07 Lightwire Inc. Dual-lensed unitary optical receiver assembly
CN101611461B (zh) 2007-02-14 2012-03-21 株式会社村田制作所 叠层陶瓷电容器及其制造方法
WO2008105496A1 (ja) 2007-03-01 2008-09-04 Nec Corporation キャパシタ搭載インターポーザ及びその製造方法
KR100849791B1 (ko) 2007-03-12 2008-07-31 삼성전기주식회사 캐패시터 내장형 인쇄회로기판
JP2008225339A (ja) 2007-03-15 2008-09-25 Hitachi Cable Ltd 光学系接続構造、光学部材及び光伝送モジュール
TWI347616B (en) 2007-03-22 2011-08-21 Ind Tech Res Inst Inductor devices
CN101641749B (zh) 2007-03-26 2011-05-04 株式会社村田制作所 感光性电介质糊剂及使用其的电子部件
US8096147B2 (en) 2007-03-28 2012-01-17 Life Bioscience, Inc. Methods to fabricate a photoactive substrate suitable for shaped glass structures
JP4458296B2 (ja) 2007-03-30 2010-04-28 Tdk株式会社 誘電体共振器、誘電体フィルタ及びその特性調整方法
US8143431B2 (en) 2007-06-05 2012-03-27 Air Products And Chemicals, Inc. Low temperature thermal conductive inks
WO2008154931A1 (en) 2007-06-18 2008-12-24 Danmarks Tekniske Universitet (Technical University Of Denmark) Adsorbent beads suitable for use in separation of biological molecules
TW200905703A (en) 2007-07-27 2009-02-01 Delta Electronics Inc Magnetic device and manufacturing method thereof
US8492315B2 (en) 2007-08-28 2013-07-23 Life Bioscience, Inc. Method of providing a pattern of biological-binding areas for biological testing
WO2009062011A1 (en) 2007-11-07 2009-05-14 Masachusetts Institute Of Technology Method of forming a locally periodic 3d structure with larger-scale variation in periodic properties and applications thereof
JP5133047B2 (ja) 2007-12-28 2013-01-30 太陽誘電株式会社 電子部品の製造方法
US7792823B2 (en) 2008-01-15 2010-09-07 International Business Machines Corporation Maintained symbol table only index
US8129763B2 (en) 2008-02-07 2012-03-06 International Business Machines Corporation Metal-oxide-semiconductor device including a multiple-layer energy filter
WO2009111583A1 (en) 2008-03-04 2009-09-11 The Regents Of The University Of California Microlens arrays for enhanced light concentration
WO2009113168A1 (ja) 2008-03-12 2009-09-17 大日本印刷株式会社 三次元加工用加飾シート
WO2009126649A2 (en) 2008-04-07 2009-10-15 Life Bioscience, Inc. Method of providing particles having biological-binding areas for biological applications
US20110114496A1 (en) 2008-07-15 2011-05-19 Dopp Robert B Electrochemical Devices, Systems, and Methods
US7948342B2 (en) 2008-07-24 2011-05-24 Cutt-A-Watt Enterprises, Llc Electromotive rectification system
US20100022416A1 (en) 2008-07-25 2010-01-28 Life Bioscience, Inc. Assay plates, methods and systems having one or more etched features
KR101031134B1 (ko) 2008-09-11 2011-04-27 주식회사 동부하이텍 반도체 소자의 컨택 및 그 제조 방법
US20100237462A1 (en) 2009-03-18 2010-09-23 Benjamin Beker Package Level Tuning Techniques for Propagation Channels of High-Speed Signals
US8700134B2 (en) 2009-04-03 2014-04-15 Research Triangle Institute Cantilever-based MEMS optical scanning apparatus, system and method
KR100941691B1 (ko) 2009-04-10 2010-02-12 (주)제이스 감광성 유리 기판, 이의 제조 방법 및 반도체 프로브 칩
US7989248B2 (en) 2009-07-02 2011-08-02 Advanced Microfab, LLC Method of forming monolithic CMOS-MEMS hybrid integrated, packaged structures
NZ598290A (en) 2009-07-24 2014-12-24 Amazentis Sa Compounds, compositions and methods for protecting brain health in neurodegenerative disorders
US8560104B2 (en) 2009-10-14 2013-10-15 Stmicroelectronics, Inc. Modular low stress package technology
US8140038B2 (en) 2009-10-14 2012-03-20 Issc Technologies Corp. Adaptive receivers
TWI410380B (zh) 2009-11-11 2013-10-01 Ind Tech Res Inst 光敏玻璃微結構之製造方法及用以製造該微結構之系統
KR101616045B1 (ko) 2009-11-19 2016-04-28 삼성전자주식회사 반도체 소자 제조방법
US8479375B2 (en) 2010-01-13 2013-07-09 The Aerospace Corporation Method of making an embedded electromagnetic device
CN102869630A (zh) 2010-02-10 2013-01-09 生命生物科学有限公司 制造适合微细加工的光敏基底的方法
US20110217657A1 (en) 2010-02-10 2011-09-08 Life Bioscience, Inc. Methods to fabricate a photoactive substrate suitable for microfabrication
CN102947931A (zh) 2010-03-03 2013-02-27 佐治亚技术研究公司 无机中介片上的贯通封装过孔(tpv)结构及其加工方法
JP5868574B2 (ja) 2010-03-15 2016-02-24 富士通株式会社 半導体装置及びその製造方法
US8411459B2 (en) 2010-06-10 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Interposer-on-glass package structures
US9564320B2 (en) 2010-06-18 2017-02-07 Soraa, Inc. Large area nitride crystal and method for making it
US8492818B2 (en) 2010-09-14 2013-07-23 International Business Machines Corporation High capacitance trench capacitor
JP5644340B2 (ja) 2010-10-04 2014-12-24 株式会社デンソー キャパシタ構造体およびその製造方法
JP2014502052A (ja) 2010-12-03 2014-01-23 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 硫化/セレン化銅インジウムガリウムコーティングおよび膜を製造するためのインクおよび方法
US8502340B2 (en) 2010-12-09 2013-08-06 Tessera, Inc. High density three-dimensional integrated capacitors
US8835217B2 (en) 2010-12-22 2014-09-16 Intel Corporation Device packaging with substrates having embedded lines and metal defined pads
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP2012194455A (ja) 2011-03-17 2012-10-11 Enplas Corp レンズアレイ
US8247269B1 (en) 2011-06-29 2012-08-21 Fairchild Semiconductor Corporation Wafer level embedded and stacked die power system-in-package packages
US8772920B2 (en) 2011-07-13 2014-07-08 Oracle International Corporation Interconnection and assembly of three-dimensional chip packages
US8497558B2 (en) 2011-07-14 2013-07-30 Infineon Technologies Ag System and method for wafer level packaging
KR101167691B1 (ko) 2011-08-09 2012-07-20 주식회사 비티엔아이티솔루션스 감광성 유리 기판을 구비한 적층형 캐패시터, 이의 제조방법 및 이의 용도
GB201114686D0 (en) 2011-08-25 2011-10-12 Crown Packaging Technology Inc Package for containing food
US9287614B2 (en) 2011-08-31 2016-03-15 The Regents Of The University Of Michigan Micromachined millimeter-wave frequency scanning array
JP2013062473A (ja) 2011-09-15 2013-04-04 Toppan Printing Co Ltd 配線基板およびその製造方法
US8809998B2 (en) 2011-10-26 2014-08-19 International Business Machines Corporation Semiconductor device including in wafer inductors, related method and design structure
WO2013108651A1 (ja) 2012-01-16 2013-07-25 株式会社村田製作所 Rf信号用遮断装置
US9293269B2 (en) 2012-02-08 2016-03-22 Dais Analytic Corporation Ultracapacitor tolerating electric field of sufficient strength
US9285554B2 (en) 2012-02-10 2016-03-15 International Business Machines Corporation Through-substrate optical coupling to photonics chips
JP6011958B2 (ja) 2012-03-28 2016-10-25 株式会社エンプラス 光レセプタクルおよびこれを備えた光モジュール
JP2013217989A (ja) 2012-04-04 2013-10-24 Hitachi Chemical Co Ltd 光ファイバコネクタ
US8896521B2 (en) 2012-04-24 2014-11-25 Qualcomm Mems Technologies, Inc. Metal-insulator-metal capacitors on glass substrates
US20130308906A1 (en) 2012-05-21 2013-11-21 LaXense, Inc. System and method for dense coupling between optical devices and an optical fiber array
US8815638B2 (en) 2012-06-19 2014-08-26 E I Du Pont De Nemours And Company Method of manufacturing thick-film electrode
US10115671B2 (en) 2012-08-03 2018-10-30 Snaptrack, Inc. Incorporation of passives and fine pitch through via for package on package
US20140035935A1 (en) 2012-08-03 2014-02-06 Qualcomm Mems Technologies, Inc. Passives via bar
WO2014028022A1 (en) 2012-08-16 2014-02-20 Hewlett-Packard Development Company, L.P. Diagonal openings in photodefinable glass
US9755305B2 (en) 2012-08-16 2017-09-05 Ethertronics, Inc. Active antenna adapted for impedance matching and band switching using a shared component
US8872349B2 (en) 2012-09-11 2014-10-28 Intel Corporation Bridge interconnect with air gap in package assembly
WO2014043267A1 (en) 2012-09-12 2014-03-20 Life Bioscience, Inc. Methods of fabricating photoactive substrates suitable for electromagnetic transmission and filtering applications
US20140097913A1 (en) * 2012-10-09 2014-04-10 Mesaplexx Pty Ltd Multi-mode filter
US20140104284A1 (en) 2012-10-16 2014-04-17 Qualcomm Mems Technologies, Inc. Through substrate via inductors
KR102398191B1 (ko) 2012-10-19 2022-05-16 럿거스, 더 스테이트 유니버시티 오브 뉴저지 그래핀으로 강화된 고분자 매트릭스 복합체를 제조하기 위한 인 시츄 박리방법
US20140144681A1 (en) 2012-11-27 2014-05-29 Qualcomm Mems Technologies, Inc. Adhesive metal nitride on glass and related methods
US9035457B2 (en) 2012-11-29 2015-05-19 United Microelectronics Corp. Substrate with integrated passive devices and method of manufacturing the same
TWI565989B (zh) 2012-12-14 2017-01-11 鴻海精密工業股份有限公司 光纖連接器
US20140247269A1 (en) 2013-03-04 2014-09-04 Qualcomm Mems Technologies, Inc. High density, low loss 3-d through-glass inductor with magnetic core
US20140272688A1 (en) 2013-03-15 2014-09-18 Photronics, Inc. Grayscale lithography of photo definable glass
US9425761B2 (en) 2013-05-31 2016-08-23 Qualcomm Incorporated High pass filters and low pass filters using through glass via technology
JP6015567B2 (ja) 2013-06-12 2016-10-26 株式会社デンソー 貫通型コンデンサ
US9202888B2 (en) 2013-06-18 2015-12-01 Stephen P. Barlow Trench high electron mobility transistor device
JPWO2015019989A1 (ja) 2013-08-07 2017-03-02 Hoya株式会社 感光性ガラス成形体およびその製造方法
US9093975B2 (en) 2013-08-19 2015-07-28 Harris Corporation Microelectromechanical systems comprising differential inductors and methods for making the same
US9449753B2 (en) 2013-08-30 2016-09-20 Qualcomm Incorporated Varying thickness inductor
JPWO2015033826A1 (ja) 2013-09-04 2017-03-02 Hoya株式会社 ケイ酸塩セラミックス、板状基板および板状基板の製造方法
KR20160036666A (ko) 2013-09-27 2016-04-04 인텔 코포레이션 수동 부품용 중첩체 기판을 구비한 다이 패키지
WO2015052059A1 (en) 2013-10-07 2015-04-16 Koninklijke Philips N.V. Precision batch production method for manufacturing ferrite rods
US10222563B2 (en) 2013-12-19 2019-03-05 3M Innovative Properties Company Multimode optical connector
KR101519760B1 (ko) 2013-12-27 2015-05-12 전자부품연구원 금속 배선의 형성 방법 및 이에 의해 제조된 금속 배선 기판
US20150201495A1 (en) 2014-01-14 2015-07-16 Qualcomm Incorporated Stacked conductive interconnect inductor
US20170003421A1 (en) 2014-01-24 2017-01-05 3D Glass Solutions, Inc Methods of Fabricating Photoactive Substrates for Micro-lenses and Arrays
US9548350B2 (en) 2014-02-10 2017-01-17 Qualcomm Incorporated High quality factor capacitors and methods for fabricating high quality factor capacitors
EP3140838B1 (en) 2014-05-05 2021-08-25 3D Glass Solutions, Inc. Inductive device in a photo-definable glass structure
KR102233579B1 (ko) 2014-08-12 2021-03-30 삼성전자주식회사 극자외선 리소그래피용 펠리클
US10201901B2 (en) 2015-01-29 2019-02-12 Canon Kabushiki Kaisha Robot apparatus, method for controlling robot, program, and recording medium
US9647306B2 (en) 2015-03-04 2017-05-09 Skyworks Solutions, Inc. RF filter comprising N coaxial resonators arranged in a specified interdigitation pattern
US20160265974A1 (en) 2015-03-09 2016-09-15 Corning Incorporated Glass waveguide spectrophotometer
US9385083B1 (en) 2015-05-22 2016-07-05 Hrl Laboratories, Llc Wafer-level die to package and die to die interconnects suspended over integrated heat sinks
US9853624B2 (en) 2015-06-26 2017-12-26 Qorvo Us, Inc. SAW resonator with resonant cavities
US9712131B2 (en) 2015-09-15 2017-07-18 Karl L. Thorup High isolation power combiner/splitter and coupler
US10070533B2 (en) 2015-09-30 2018-09-04 3D Glass Solutions, Inc. Photo-definable glass with integrated electronics and ground plane
DE112015007202T5 (de) * 2015-12-21 2018-09-06 Intel Corporation Mikroelektronische vorrichtungen mit eingebetteten substrathohlräumen für datenübertragungen von vorrichtung zu vorrichtung
CN108474129B (zh) 2016-01-21 2020-12-25 应用材料公司 电镀硅穿孔的工艺和化学作用
US9819991B1 (en) 2016-02-29 2017-11-14 Amazon Technologies, Inc. Adaptive impedance matching interface
US10281424B2 (en) 2016-06-27 2019-05-07 Robert Bosch Gmbh Electrode arrangement with improved electron transfer rates for redox of molecules
US11043627B2 (en) 2016-07-01 2021-06-22 Intel Corporation Techniques for monolithic co-integration of thin-film bulk acoustic resonator devices and III-N semiconductor transistor devices
US9635757B1 (en) 2016-08-11 2017-04-25 Unimicron Technology Corp. Circuit board and manufacturing method thereof
EP3327806B1 (en) 2016-11-24 2021-07-21 Murata Integrated Passive Solutions Integrated electronic component suitable for broadband biasing
US10453913B2 (en) 2017-04-26 2019-10-22 Samsung Electronics Co., Ltd. Capacitor, semiconductor device and methods of manufacturing the capacitor and the semiconductor device
US10367243B2 (en) 2017-05-02 2019-07-30 Bae Systems Information And Electronic Systems Integration Inc. Miniature LTCC coupled stripline resonator filters for digital receivers
JP6503408B2 (ja) 2017-05-02 2019-04-17 オリンパス株式会社 導波管、導波管を有する画像伝送装置、導波管を有する内視鏡および内視鏡システム
JP7083600B2 (ja) 2017-05-25 2022-06-13 凸版印刷株式会社 キャパシタ内蔵ガラス回路基板及びその製造方法
TW201909245A (zh) 2017-07-24 2019-03-01 美商康寧公司 精密結構玻璃物件、積體電路封裝、光學元件、微流體元件及其製造方法
JP2019106429A (ja) 2017-12-11 2019-06-27 凸版印刷株式会社 ガラス配線基板、その製造方法及び半導体装置
CN111819913A (zh) * 2018-03-07 2020-10-23 奥特斯奥地利科技与系统技术有限公司 具有封装在带有屏蔽腔的紧凑型部件承载件中的电子部件的电子设备
JP6888105B2 (ja) 2018-04-10 2021-06-16 スリーディー グラス ソリューションズ,インク3D Glass Solutions,Inc Rf集積電力調整コンデンサ
EP3637448A4 (en) 2018-08-21 2020-10-07 Shenzhen Weitongbo Technology Co., Ltd. CAPACITOR AND MANUFACTURING METHOD FOR IT
US11139582B2 (en) * 2018-09-17 2021-10-05 3D Glass Solutions, Inc. High efficiency compact slotted antenna with a ground plane
US11552008B2 (en) 2018-11-28 2023-01-10 Intel Corporation Asymmetric cored integrated circuit package supports
US10680633B1 (en) 2018-12-21 2020-06-09 Analog Devices International Unlimited Compnay Data acquisition system-in-package
US10714434B1 (en) 2018-12-29 2020-07-14 Intel Corporation Integrated magnetic inductors for embedded-multi-die interconnect bridge substrates
US11502124B2 (en) 2019-01-16 2022-11-15 Intel Coropration Filter-centric III-N films enabling RF filter integration with III-N transistors
KR20210151955A (ko) 2019-07-08 2021-12-14 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 nand를 위한 커패시터들을 형성하는 구조 및 방법
CN210668058U (zh) 2019-12-09 2020-06-02 梅州市成就电子科技有限公司 一种宽频锥形电感
US11320847B2 (en) 2020-02-28 2022-05-03 Qualcomm Incorporated Voltage regulation integrated circuit (IC) with circuit components in an integrated three-dimensional (3D) inductor core and related methods of fabrication

Also Published As

Publication number Publication date
CA3135975C (en) 2022-11-22
CA3172853A1 (en) 2020-10-08
EP3935687B1 (en) 2023-12-13
EP3935687A1 (en) 2022-01-12
EP3935687A4 (en) 2022-12-07
AU2020253553A1 (en) 2021-10-28
WO2020206323A1 (en) 2020-10-08
CA3135975A1 (en) 2020-10-08
JP2022524646A (ja) 2022-05-09
KR20210147040A (ko) 2021-12-06
JP7140435B2 (ja) 2022-09-21
US11962057B2 (en) 2024-04-16
US20220173488A1 (en) 2022-06-02

Similar Documents

Publication Publication Date Title
KR102518025B1 (ko) 접지면을 갖는 고효율 컴팩트형 슬롯 안테나
JP7226832B2 (ja) 高効率rf回路のためのインピーダンス整合伝導構造
US11894594B2 (en) Coupled transmission line resonate RF filter
US10903545B2 (en) Method of making a mechanically stabilized radio frequency transmission line device
JP2022174154A (ja) ガラスベースの空基板集積導波路デバイス

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230403

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230403

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240520