JP2020080436A - シリコン及びシリコンゲルマニウムのナノワイヤ構造 - Google Patents

シリコン及びシリコンゲルマニウムのナノワイヤ構造 Download PDF

Info

Publication number
JP2020080436A
JP2020080436A JP2020036845A JP2020036845A JP2020080436A JP 2020080436 A JP2020080436 A JP 2020080436A JP 2020036845 A JP2020036845 A JP 2020036845A JP 2020036845 A JP2020036845 A JP 2020036845A JP 2020080436 A JP2020080436 A JP 2020080436A
Authority
JP
Japan
Prior art keywords
silicon
nanowire
forming
diagrams showing
structure according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020036845A
Other languages
English (en)
Other versions
JP6992830B2 (ja
Inventor
ジェイ. クーン,ケリン
J Kuhn Kelin
ジェイ. クーン,ケリン
キム,セヨン
Seiyon Kim
リオス,ラファイエル
Rios Rafael
エム. シーア,スティーヴン
M Cea Stephen
エム. シーア,スティーヴン
ディー. ジャイルズ,マーティン
D Giles Martin
ディー. ジャイルズ,マーティン
カッペラーニ,アンナリサ
Cappellani Annalisa
ラクシット,ティタシュ
Rakshit Titash
チャン,ピーター
Chang Peter
ラフマディ,ウィリー
Rachmady Willy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2020080436A publication Critical patent/JP2020080436A/ja
Application granted granted Critical
Publication of JP6992830B2 publication Critical patent/JP6992830B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Silicon Compounds (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】 マイクロエレクトロニクス構造及びそれを形成する方法を提供する。【解決手段】 それらの方法の実施形態は、スペーサに隣接したソース/ドレイン構造を有する基板と、スペーサ同士の間に配置されたナノワイヤチャネル構造と、を有するナノワイヤデバイスを形成することを含み、ナノワイヤチャネル構造は互いに上下に積み重ねられる。【選択図】 図5a

Description

開示の実施形態は、シリコン及びシリコンゲルマニウムのナノワイヤ構造に関する。
15nmノードより先までのマイクロエレクトロニクスデバイス寸法のスケーリング時に移動度向上及び短チャネル制御を維持することは、デバイス製造における難題をもたらすものである。デバイスを製造するために使用されるナノワイヤは、短チャネル制御の改善をもたらす。例えば、シリコンゲルマニウム(SiGe1−x)ナノワイヤチャネル構造(ただし、x<0.5)は、より高電圧での動作を利用する数多くの従来製品での使用に適した妥当なEgで移動度向上をもたらす。また、シリコンゲルマニウム(SiGe1−x)ナノワイヤチャネル(ただし、x>0.5)は、(例えば、移動式/手持式の分野における低電圧製品に好適な)より低いEgsで高められた移動度をもたらす。
ナノワイヤデバイス及びその製造方法を提供する。
一態様において、デバイスを製造する方法は、基板上にエピタキシャルシリコンゲルマニウムを形成し、前記エピタキシャルシリコンゲルマニウム上にエピタキシャルシリコンを形成し、前記エピタキシャルシリコンゲルマニウム上に配置された前記エピタキシャルシリコンをパターニングして複数のフィン構造を形成し、前記複数のフィン構造上にそれらを横切って複数のスペーサを形成し、前記複数のスペーサに隣接した前記基板のソース/ドレイン領域から前記フィン構造の一部を除去し、その後、前記ソース/ドレイン領域上にソース/ドレイン構造を形成し、前記スペーサ同士の間に配置された前記フィン構造から前記エピタキシャルシリコンの層及び前記エピタキシャルシリコンゲルマニウムの層のうちの一方を除去することを有する。
本明細書は、特定の実施形態に注目し且つ区別して要求する請求項で締めくくられるが、以下の図を含む添付図面とともに以下の実施形態の説明を読むことで、様々な実施形態の利点を更に容易に解明することができる。
実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係る構造を形成する方法を示す図である。 実施形態に係るシステムを示す図である。
以下の詳細な説明においては、実施され得る特定の実施形態を例として示す添付図面を参照する。それらの実施形態は、当業者がそれら実施形態を実施することができるように十分に詳細に説明される。理解されるように、様々な実施形態は、相異なるものであったとしても、必ずしも相互に排他的なものではない。例えば、1つの実施形態に関連してここに記載される特定の機能、構造又は特徴は、それらの精神及び範囲を逸脱することなく、その他の実施形態内で用いられ得る。また、理解されるように、開示の各実施形態内の個々の要素の位置又は構成は、それらの精神及び範囲を逸脱することなく変更され得る。故に、以下の詳細な説明は限定的な意味で解されるべきものではなく、実施形態の範囲は、適切に解釈される添付の請求項、並びに請求項の権利範囲に均等な範囲全体、によってのみ定められるものである。図面において、複数の図を通して、同一あるいは同様の機能は似通った参照符号によって参照することとする。
例えばナノワイヤデバイス構造などのマイクロエレクトロニクス構造の形成方法及び使用方法、並びに関連構造を説明する。これらの方法及び構造は、ソース/ドレイン構造を有する基板とソース/ドレイン構造間の複数のナノワイヤとを有するナノワイヤデバイスを形成することを含み得る。これら複数のナノワイヤチャネル構造は、互いに上下に積層される。ここに含まれる様々な実施形態は、15nmノードより先のデバイス寸法スケーリング時にも移動度向上及び短チャネル制御を可能にする。実施形態は更に、基板からのチャネルのアイソレーションの強化、スペーサ−ギャップ分離に付随するキャパシタンスの低減、及びナノワイヤを用いた縦方向アーキテクチャのスケーリングを可能にする。
図1a−1nは、例えばナノワイヤデバイス構造を形成するなどの、マイクロエレクトロニクス構造を形成する実施形態を例示している。図1aは基板100を示している。一実施形態において、基板100はバルクシリコン基板100を有し得る。他の実施形態において、基板100は、シリコン・オン・インシュレータ(SOI)基板を有し得るが、好適な如何なる種類の基板材料を含んでいてもよい。一実施形態において、基板100上に、エピタキシャル成長により、第1のシリコンゲルマニウム材料102が成長され得る。一実施形態において、第1のエピタキシャルシリコンゲルマニウム102上に、第一のシリコン材料104がエピタキシャル成長され得る。第1のシリコン層104上に第2層のシリコンゲルマニウム102’が形成され、第2のシリコンゲルマニウム102’上に第2層のシリコン104’が形成され得る。他の一実施形態において、基板上に形成される交互のエピタキシャルシリコンゲルマニウム層102/エピタキシャルシリコン層104の数は、具体的な用途に応じて様々になり得る。他の一実施形態において、層の順序は、エピタキシャルシリコン104及びエピタキシャルシリコンゲルマニウム102の交互層が基板100上に形成されるように逆にされてもよい。
一実施形態において、シリコンゲルマニウム/シリコン/シリコンゲルマニウム/シリコンのエピタキシャルスタック(積層体)120が、従来からのパターニング/エッチング技術を用いてパターニングされ得る(図1b)。例えば、スタック構造120は、例えばシャロートレンチアイソレーション(STI)プロセスにおけるものなどの、トレンチエッチプロセスにてエッチングされることができ、複数のフィン構造107を形成するように基板100内に複数のトレンチ101が形成され得る。形成されたフィン構造107の各々は、トレンチ101内に形成され得る酸化物103によって互いに分離され得る。
一実施形態において、フィン構造107は、ゲートオールアラウンド(gate all around;GAA、全周ゲート)ナノワイヤデバイスのデュアルチャネル部を有し得る。デバイス内のチャネルの数は、フィン構造107内の層数に依存することになる。フィン構造107はナノワイヤ構造を有し得る。スペーサ106が、フィン構造107に対して直交配置されて、フィン構造107上にこれらフィン構造107を横切って形成され得る(図1c)。一実施形態において、スペーサ106は、プロセスにおいてフィン構造107の材料に対して選択的な材料を有し得る。
一実施形態において、ゲート電極材料108が、スペーサ106同士内/間に、スペーサ106同士の間に位置するフィン構造107部分の周りに形成され得る。一実施形態において、ゲート電極材料がフィン構造107の一部の周りに形成されて、ゲートの両側にスペーサ106が形成されてもよい。ゲート108は、一部の例においてポリシリコンを有することができ、また、犠牲ゲート構造108を有していてもよい。一実施形態において、フィン構造107の一部が基板100から除去されて、ソース/ドレイン領域109が露出され得る(図1d)。一実施形態において、フィン構造107の該一部は、ソース/ドレイン領域109を露出させるように、ドライエッチングプロセスによってエッチングされ得る。一実施形態において、ソース/ドレイン領域109は、基板100又は底部ワイヤ(102又は104)の何れか上で終端するようにエッチングされ得る。具体的なデバイスニーズに応じて、必要に応じてのアンダーカット・ウェットあるいはドライエッチングプロセスを用いて、ゲート108領域/チップ(tip)オーバーラップ領域の更なる材料を除去してもよい。
一実施形態において、エピタキシャル成長技術を用いて、シリコン又はシリコンゲルマニウムのソース・ドレイン構造110が、ソース/ドレイン領域109に成長され(図1e)、スペーサ106間に配置されたフィン構造107の部分に結合され得る。一実施形態において、エピタキシャルソース/ドレイン構造110は、具体的な用途のデバイス型に応じて、NMOSデバイスではnドープされたシリコン、PMOSデバイスではpドープされたシリコン/シリコンゲルマニウムとし得る。ドーピングは、エピタキシャルプロセスにて、イオン注入によって、プラズマドーピングによって、固体ソース(源)ドーピングによって、あるいは技術的に知られたその他の方法によって導入され得る。
チップとソース/ドレインとのジャンクション(接合)は、異なるドーパント種及び濃度でドープされたエピタキシャルレイヤ群を組み合わせることによって工学設計されることができる。例えば、PMOSデバイスのシリコンチャネルに歪みを付与するためにシリコンゲルマニウムのソース/ドレインが使用される場合、ソース/ドレインのシリコンゲルマニウムエピタキシャル構造110を成長させる前に、先ず、シリコンエッチング停止層/チップ112を成長させることで、後続のシリコンゲルマニウムエッチング中にソース/ドレイン領域109をエッチングしてしまうことが回避される(図1f)。換言すれば、PMOSチップ材料は、後のシリコンゲルマニウムエッチングプロセスに耐性を有する必要がある。
基板100上に、ソース/ドレイン構造110、ゲート108及びスペーサ106を覆って、層間誘電体(ILD)(図示せず)が形成され得る。一実施形態において、化学的機械的研磨(CMP)により、犠牲ポリゲート108の頂部が開放され得る。そして、スペーサ材料106同士の間から犠牲ゲート電極材料108が除去され得る(図1g)。図1hは、スペーサ材料106間の内側の様子を示しており、2つのスペーサ(一方のみが示されている)間にフィン構造107が配置されている。一実施形態において、フィン構造107からシリコン層104、104’が選択的に除去され、シリコンゲルマニウムチャネル102、102’間にギャップ(間隙)111が空けられ得る(図1i)。一実施形態において、シリコン層104、104’は、シリコンゲルマニウムナノワイヤ構造102、102’をエッチングせずにシリコン104、104’を選択的に除去するウェットエッチングを用いて、選択的にエッチングされ得る。シリコンを選択的にエッチングすることには、例えば、水酸化アンモニウム及び水酸化カリウムを含む含水水酸化物ケミストリなどのエッチングケミストリが使用され得る。
他の一実施形態においては、フィン構造107及び側壁からシリコンゲルマニウム層102、102’が選択的に除去され、シリコンチャネル層104、104’間にギャップ(間隙)113が空けられ得る(図1j)。一実施形態において、シリコンゲルマニウム102、102’は、シリコンナノワイヤチャネル104、104’をエッチングせずにシリコンゲルマニウムを選択的に除去するウェットエッチングを用いて、選択的にエッチングされ得る。シリコンゲルマニウムを選択的にエッチングすることには、例えばカルボン酸/硝酸/HFケミストリ及びクエン酸/硝酸/HFなどのエッチングケミストリが使用され得る。故に、スペーサ106間で、フィン構造107からシリコン層が除去されてシリコンゲルマニウムナノワイヤ102、102’を形成するか、フィン構造107からシリコンゲルマニウム層が除去されてシリコンチャネルナノワイヤ104、104’構造を形成するか、の何れかが行われ得る。一実施形態において、例えば、インバータ構造内のNMOSのSi及びPMOSのSiGeのように、同一ウェハ上、同一ダイ内、あるいは同一回路上に、シリコンチャネル材料とシリコンゲルマニウムチャネル材料との双方が存在し得る。同一回路内にNMOSのSi及びPMOSのSiGeを有する一実施形態において、Siチャネル厚さ(SiGe層間)及びSiGeチャネル厚さ(Si層間)は共に、回路性能及び/又は回路最小動作電圧を改善するように選定され得る。一実施形態において、回路性能及び/又は回路最小動作電圧を改善するために、エッチングプロセスにより、同一回路内の異なるデバイス間でワイヤ数が変えられてもよい。
スペーサ106間のチャネル領域を囲むようにゲート誘電体材料115が形成され得る。一実施形態において、ゲート誘電体材料115は、誘電率が約4より高い値を有し得るhigh−kゲート誘電体材料を有することができる。一実施形態において、ゲート誘電体材料115は、スペーサ106間のシリコンナノワイヤ構造104、104’の四方にコンフォーマル(共形)に形成され得る(図1k)。他の一実施形態において、ゲート誘電体材料115は、スペーサ106間のシリコンゲルマニウムナノワイヤ構造102、102’の四方に形成され得る(図示せず)。
そして、ゲート誘電体材料115の周りにゲート電極材料117が形成され得る(図1l)。ゲート電極材料117は、例えばTi、W、Ta、Alの純金属及び合金などのメタルゲート電極材料(例えばTaN、TiNなどの窒化物を含む)を有することができ、また、例えばEr、Dyなどのレアアースとの合金、又は例えばPtなどの貴金属を含み得る。シリコンナノワイヤ構造104、104’間のギャップ113は、ゲート電極材料117で充填され得る。他の一実施形態において、シリコンゲルマニウムナノワイヤ構造102、102’間のギャップ111は、ゲート電極材料117で充填され得る(図示せず)。一実施形態において、基板100上で更に標準CMOSプロセスが実行され、ここでの実施形態に従ったCMOSデバイスが製造され得る。
一実施形態において、NMOSデバイス及び/又はPMOSデバイスが形成され得る。図1mは、形成され得るNMOSデバイス(単一のシリコンチャネルが描写されている)を示しており、具体的な用途に応じて、トレンチコンタクト119がソース・ドレイン構造110に結合し得る。ソース・ドレイン構造110は、一部の例において、n+にドープされたシリコンとし得る。一部の例においてn−ドープされ得るシリコンエピタキシャルチップ112が、ソース・ドレイン構造110と基板100との間に配置され得る。ゲート電極材料117がシリコンナノワイヤチャネル104を取り囲み得る。
図1nは、PMOSデバイス(単一のシリコンチャネル104が描写されている)を示しており、具体的な用途に応じて、トレンチコンタクト119がソース・ドレイン構造110に結合し得る。ソース・ドレイン構造110は、一部の例において、P+にドープされたシリコンゲルマニウムとし得る。一部の例においてp−ドープされ得るシリコンエピタキシャルチップ/エッチングストッパ120が、ソース・ドレイン構造110と基板100との間に配置され得る。ゲート電極材料117が、一部の例において歪みシリコンチャネル104を有し得るシリコンチャネル104を取り囲み得る。
一部の例において、シリコンゲルマニウムチャネル構造(例えば、図1iに示したものなど)を使用するデバイスは、シリコンゲルマニウム特性による高キャリア移動度を有することによって有利となり得る。一実施形態において、ゲートアールアラウンド・シリコンゲルマニウムチャネルデバイスプロセスは、エピタキシャルレイヤスタック120が逆にされることを除いて、すなわち、基板上に先ずシリコン材料104が形成され、該シリコン上にシリコンゲルマニウムが形成されることを除いて、ゲートアールアラウンド・シリコンチャネルデバイスプロセスと同様とし得る。この下層のシリコンはシリコンゲルマニウムに対して選択的に除去されることになるので、ソース/ドレインはシリコンゲルマニウムを有し、犠牲ゲート電極材料の下のエッチングストッパも同様にシリコンゲルマニウムを有し、基板エッチングが回避される。
ここでの実施形態は、セルフアラインされるゲートオールアラウンド(GAA)型のシリコンチャネル及びシリコンゲルマニウムチャネルのトランジスタ構造及びデバイスの製造を可能にする。ナノワイヤチャネルデバイスは、短チャネル効果(SCE)の低減により、より低いサブスレッショルドリークを示す。GAA型SiGe高移動度チャネルデバイスの実装は、例えば、SCE効果を抑圧する。(GAA)デバイスは、チャネルに対する静電的ゲート制御を最大化することができる。
一実施形態において、ここでの様々な実施形態に従って製造されるデバイスは、強化された基板アイソレーションを備え得る。図2aを参照するに、基板200上に配置される底部ナノワイヤチャネル202は、一部の例において、劣悪なサブフィンリークを有する短絡されたトライゲートを有し得る。1つの対策は、シリコン・オン・インシュレータ(SOI)基板201上にデバイスを形成することを有し(図2b−2c)、その場合、ソース/ドレイン構造210及びナノワイヤ構造204は、(図2aに示したように)バルクシリコン基板200上に配置されるのでなく、例えば酸化物材料203などの絶縁体材料203上に配置される。SOI基板201を用いることにより、底部ナノワイヤ204の幾何学形状は、ナノワイヤフィン構造(例えば、図1bのナノワイヤフィン構造107と同様)のシリコンゲルマニウムエッチングの後、且つゲート電極材料(例えば、図1lのゲート電極材料117と同様)を形成する前に、底部酸化物をエッチングすることによって画成され得る。
例えば、図2dは、誘電体をエッチングして1つのナノワイヤと1つのトライゲートとを形成することを示しており、図2eは、誘電体をエッチングして2つのナノワイヤを有するデバイスを形成することを示している。他の一実施形態において、強化された基板アイソレーションは、トレンチエッチング後にフィン207の側壁にフィンスペーサ211(図2f)を形成することによって達成され得る。その後、底部フィン領域216を露出させるように第2のトレンチエッチング214が行われ、そして、底部フィン領域216のシリコン部分が酸化され得る(図2g)。故に、デバイスの底部ナノワイヤが酸化物上に配置されて基板アイソレーションが改善される。他の一実施形態において、フィンスペーサ211は、トレンチのエッチング及び充填の後にフィン207の側壁に形成されてもよい(図2h)。基板アイソレーションを強化するために、STIリセス形成/酸化物充填の後にフィン207の底部シリコン部分216が酸化され得る(図2i)。故に、デバイスの底部ナノワイヤが酸化物上に配置されて基板アイソレーションが改善され得る。
一実施形態において、ナノワイヤスタック307のシリコン領域の除去によって、スペーサ306内に空隙311が残され得る(図3a)。例えばメタルゲート構造(例えば、図1lのゲート構造117と同様)などのゲートの付与後、空隙311は、後で形成されたゲートとソース・ドレイン構造310との間の非常に高キャパシタンスの寄生領域を作り出し得る。一実施形態において、この潜在的な寄生領域は、開始スタックに、シリコンではなく、エピタキシャル酸化物302を使用すること(シリコン基板300の方位の変更を要する場合もあるいし要しない場合もある)によって回避され得る(図3b)。一実施形態において、エピタキシャル半導体材料304の交互層は、基板300上に形成され得るエピタキシャル酸化物材料302上に形成され得る。
例えば、(111)シリコン上にGd2O3をエピタキシャル成長させ、その後、Gd2O3の頂部にシリコンゲルマニウムを成長させることで、基板上に、後にシリコンゲルマニウムワイヤを形成し得るフィン構造307へとエッチングされることが可能な多層スタックを構築することができる。他の一実施形態において、多層スタックを形成するように、(111)シリコン上(あるいは代替的に(100)シリコン上)に酸化セリウムが成長され得る。酸化物/半導体/酸化物スタックを用いる場合、フィン構造307の酸化物材料302、302’をエッチングしない、部分的にエッチングする、あるいは完全にエッチングする、という選択肢が存在する(それぞれ、図3c−3e)。エッチングなしの選択肢(図3c)は、キャパシタンスの問題を解決するが、より劣悪な閉じ込め(コンファインメント)という代償を伴う。部分的なエッチングの選択肢(図3d)は、閉じ込めを改善するが、或る程度の寄生キャパシタンスという代償を伴う。
他の一実施形態において、(図3aに示した)フィン構造に隣接するスペーサ内の空隙311は、ソース・ドレインのエピタキシャル成長に先立って、スペーサ306のソース/ドレイン310側から、スペーサ的な材料312又はlow−k材料312を有する第2のスペーサ312で充填され得る(図3f)。第2のスペーサ312の材料は、以下に限られないが例えばSiON、SiN、SiC、SiOBN、及びlow−k酸化物などの材料を有し得る。一実施形態において、スタック307のエッチングにてシリコンの全てが除去されてもよく、その結果、ゲート置換エッチング(犠牲ゲート電極材料の除去)は酸化物のみに突き当たる。他の一実施形態において、空隙311は、ゲート側から(ゲート堆積に先立って)スペーサ的な材料312又はlow−k材料312で充填されてもよい(図3g)。このような実施形態は、スタック307の完全なエッチング又は部分的なエッチングを実行することを含む(完全なエッチングとして図示)。
他の一実施形態において、空隙311は、スタック307からの除去工程中のシリコンのエッチアウトを最小化するように、シリコンの異方性エッチングを活用することによって充填されてもよい。例えば、(110)ウェハが<111>に沿ったチャネルとともに使用され得る。この構造は、ソース/ドレイン310に面して、エッチングレートの低い(111)面を有することになり、故に、アンダーカットを制限する。ここで選択されるウェットエッチングはまた、SiGeナノワイヤ間のシリコンの全てを除去した後に、部分的にしかエッチングされていないSiGeナノワイヤを残存させるよう、SiGeをSiよりもゆっくりとエッチングするものでなければならない。故に、スペーサ306内での横方向エッチングを最小化するよう異方性エッチングが使用され、エッチングケミストリは、シリコンには高度に選択的であるがシリコンゲルマニウムには選択的でないようにされ得る。
一実施形態において、ナノワイヤを利用して、縦方向アーキテクチャのスケーリングが達成され得る。一実施形態において、基板からトレンチ内にシリコンゲルマニウム又はシリコンがエピタキシャル成長され、その後、例えば酸化プロセス又はエッチングプロセスを用いて、フィン構造が、互いに上下に積層されたものとし得るナノワイヤへと分離され得る。一実施形態において、ソース/ドレイン領域がSiGe(若しくはSi)及び酸化物のレイヤ群として開始するとして、ワイヤ全体の酸化が行われ得る。シリコン基板401上に、交互にされた酸化物層404及び窒化物層402(より多くのワイヤを形成するよう、より多くの層が用いられてもよい)が形成され得る(図4a)。これらの酸化物層及び窒化物層は、トレンチ405と背面部406とを形成するようにパターニング・エッチングされ、トレンチ405が基板401のシリコン材料を露出させ得る(図4b)。シリコンゲルマニウム(又はシリコン)407が、トレンチ405内及び背面部にエピタキシャル成長され、且つ研磨され得る(図4c)。ハードマスク408が、シリコンゲルマニウム(又はシリコン)407上に形成され、且つパターニング・エッチングされて、フィン410の側面が露出される(図4d)。一実施形態において、フィン構造は、ハードマスクによって覆われていない窒化物及び酸化物の交互層の一部を除去することによって形成され得る。
フィン410が酸化されて、ナノワイヤが画成され得る(図4e)。フィン410の酸化された部分が除去され、デバイスのチャネル構造として機能し且つ実質的に構造全体を横切って形成されたナノワイヤ412が形成され得る。一実施形態において、第1のナノワイヤ412は、縦方向に第2のナノワイヤ412’より上方に配置され得る。他の一実施形態において、これらのワイヤはチャネル領域内のみに画成されてもよい(図4g−4j)。例えばSiCである第2のマスク材料413がフィン構造410の周りに形成され得る。第2のマスク材料413は、酸化物及び窒化物に対して選択的なものとし得る。フィン構造410は、例えば、図4dにおけるものと同様に、交互にされた酸化膜/窒化膜を有し得る。フィン構造410に隣接するゲート領域を画成するために、後にゲート電極材料が形成されるところにトレンチ414が形成されて、フィン構造410の一部が露出され得る(図4h)。酸化を行ってナノワイヤが画成され(図4i)、そして、ワイヤは更に、フィン構造の酸化された部分を除去することによって画成され得る(図4j)。故に、ワイヤは、ゲート領域/トレンチ414内には形成されるが、ソース/ドレイン領域内には形成されない。
ナノワイヤをパターニングすることに関するリソグラフィの懸念を軽減するために、スペーサプロセスを使用することができる。そこで、フィン410を囲む窒化物をエッチングすることによって、Si又はSiGeのフィン410の側部が露出され得る(頂部は、例えばSiCなどのハードマスク421によって覆われ得る)。そして、等方性の堆積及び異方性エッチングの組合せにより、スペーサ420が形成される(図4k)。このスペーサ420は、フィン410の側壁を露出させるエッチングをマスクするために使用される。スペーサ420はその後に除去され得る。
他の一実施形態において、異方性ウェットエッチングにより、フィンが、図4lに示すワイヤへと分離される。先ず、ウェットエッチングを用いて酸化物がエッチング除去され得る。その後、Si又はSiGeの異方性ウェットエッチングを用いて、露出されたフィン410のSiGe又はSiがエッチングされる。エッチングレートの結晶方向依存性によって、ナノワイヤが形成され得る。双方のエッチングが行われた後、一実施形態において、ナノワイヤは六角形状に形成され得る。酸化物の除去後、Si又はSiGeのフィンが形成され得る(図4m)。
ナノワイヤの縦方向スケーリングが達成され得る。ナノワイヤサイズはフォノン散乱によって約7nmに制限され得るので、このことがこのようなデバイスの長期のスケーリングを制限し得る。1つの対策は、Nチャネル又はPチャネルの一方を底部ワイヤ内に位置付け且つ他方のチャネルを頂部ワイヤ内に位置付けて、デバイス群を縦方向に構築することである。一実施形態において、VssのためにN+基板が使用され得る。他の一実施形態において、頂部コンタクトと底部コンタクトとが位置をずらされ得る。他の一実施形態において、左及び右のウィング部を有するワイヤが形成され得る。図5aは、Vss用のN+基板500とゲート501とを用いて製造されるインバータを示している。なお、これは、N及びPのナノワイヤチャネル514を接続する背の高い(トール)コンタクト512(TCN)と、N及びPのナノワイヤチャネル514のうちの一方と結合する短い(ショート)頂部TCN510と、N及びPのナノワイヤチャネル514のうちの一方及び基板500に結合する基板プラグ508/底部TCNとを必要とする。図5bは、位置をずらされた頂部TCN510及び底部TCN508を示している。図5cは、左右のウィング型ナノ構造514を有するN及びPのナノワイヤを示している。図5dは、左右のウィング型ナノ構造514を用いて配線されたインバータを示している。
GAAを具備するナノワイヤは、フィン構造及びトライゲート構造だけでなくGAA型非ナノワイヤ構造に対しても改善を提供する。置換金属ゲート(replacement metal-gate;RMG)式のゲートオールアラウンドプロセスを用いる横方向のナノワイヤの使用は、RMGを用いるプレーナ型からRMGを用いるフィン型へのロードマップの論理的延長である。ゲートオールアラウンド(GAA)型ナノワイヤ構造は、GAA型非ナノワイヤ構造及びフィンに対して短チャネル制御を改善する可能性を提供する。シリコン又はシリコンゲルマニウムのナノワイヤ構造内の底部ワイヤの、基板からのアイソレーションの改善が、ここでの実施形態によって達成され得る。
フォノン散乱によって最小ナノワイヤサイズが〜7nmに制限されるときの密度スケーリングが可能にされ得る。シリコン及びシリコンゲルマニウム双方に関して、横方向のナノワイヤ構造が、トライゲート構造に関して開発されたものから改良されたワイヤ用の置換金属ゲートアーキテクチャ及び製造上適合した製造技術と組み合わされ得る。ナノワイヤを用いた縦方向アーキテクチャスケーリングが可能にされる。ナノワイヤを用いてトランジスタ層自体に回路を構築することが可能にされる。
図6は、一実施形態に従ったコンピュータシステムを示している。システム600は、プロセッサ610、メモリデバイス620、メモリコントローラ630、グラフィックコントローラ640、入力/出力(I/O)コントローラ650、ディスプレイ652、キーボード654、ポインティングデバイス656、及び周辺装置658を含んでおり、一部の実施形態において、これらは全て、バス660を介して相互に通信可能に結合され得る。プロセッサ610は、汎用プロセッサ又は特定用途向け集積回路(ASIC)とし得る。I/Oコントローラ650は、有線通信又は無線通信のための通信モジュールを含み得る。メモリデバイス620は、ダイナミックランダムアクセスメモリ(DRAM)デバイス、スタティックランダムアクセスメモリ(SRAM)デバイス、フラッシュメモリデバイス、又はこれらのメモリデバイスの組み合わせとし得る。故に、一部の実施形態において、システム600内のメモリデバイス620はDRAMデバイスを含む必要はない。
システム600内に示した構成要素のうちの1つ以上が、ここに含まれる様々な実施形態に係る1つ以上のナノワイヤデバイスを含み得る。例えば、プロセッサ610、若しくはメモリデバイス620、若しくはI/Oコントローラ650の少なくとも一部、又はこれらのコンポーネントの組み合わせが、ここで説明した構造の少なくとも1つの実施形態を含んだ集積回路パッケージに含められ得る。
これらの要素は、技術的に周知の従来からの機能を果たす。具体的には、メモリデバイス620は、一部の例において、一部の実施形態に係る構造を形成する方法のための実行可能命令の長期間の記憶を提供するために使用されることができ、また、他の実施形態において、実施形態に係る構造を形成する方法の実行可能命令を、プロセッサ610による実行中に短期ベースで格納するために使用されることができる。また、それらの命令は、システムに通信可能に結合される例えばコンパクトディスク読み出し専用メモリ(CD−ROM)、デジタル多用途ディスク(DVD)、フロッピー(登録商標)ディスク、搬送波、及び/又はその他の伝播信号などの機械アクセス可能媒体に格納され、あるいはその他の方法で結合され得る。一実施形態において、メモリデバイス620はプロセッサ610に、実行のための実行可能命令を供給し得る。
システム600は、コンピュータ(例えば、デスクトップ、ラップトップ、ハンドヘルド、サーバ、Web機器、ルータなど)、無線通信装置(例えば、セル方式電話、コードレス電話、ポケットベル、携帯情報端末など)、コンピュータ関連周辺機器(例えば、プリンタ、スキャナ、モニタなど)、娯楽機器(例えば、テレビジョン、ラジオ、ステレオ、テーププレーヤ及びコンパクトディスクプレーヤ、ビデオカセットレコーダ、ビデオカメラ、デジタルカメラ、MP3(MPEGオーディオレイヤ3)プレーヤ、ビデオゲーム装置、時計など)、及びこれらに類するものを含み得る。
以上の説明では、実施形態で使用され得る特定の工程及び材料を詳述しているが、当業者に認識されるように、数多くの変更及び代用が為され得る。そのような変更、改変、代用及び付加は、添付の請求項によって定められる本実施形態の精神及び範囲に入ると見なされるべきものである。また、認識されるように、例えばトランジスタデバイスなどの様々なマイクロエレクトロニクス構造は技術的に広く知られている。故に、ここに提示される図は、典型的なマイクロエレクトロニクス構造のうちの、本実施形態の実施に関係する部分のみを示している。従って、本実施形態はここに記載された構造に限定されるものではない。

Claims (12)

  1. 半導体基板と、
    前記半導体基板の上の、上下に位置を揃えられたNナノワイヤチャネル及びPナノワイヤチャネルであり、各々が、水平に横方向に対向するソース及びドレイン領域を有する、Nナノワイヤチャネル及びPナノワイヤチャネルと、
    前記N及びPナノワイヤチャネルと結合する高背コンタクトと、
    前記N及びPナノワイヤチャネルの一方に結合された低背コンタクトと、
    前記N及びPナノワイヤチャネルの一方に結合された前記低背コンタクトよりも下の底部コンタクトであり、前記半導体基板に電気的に結合された底部コンタクトと、
    有する集積回路構造体。
  2. 前記半導体基板は、Vssに結合されるN+ドープト領域を有し、前記底部コンタクトは、前記半導体基板の該N+ドープト領域に電気的に結合されている、請求項1に記載の集積回路構造体。
  3. 前記低背コンタクト及び前記底部コンタクトが互いに位置をずらされている、請求項1に記載の集積回路構造体。
  4. 前記N及びPナノワイヤは、左右のウィング型構造を有する、請求項1に記載の集積回路構造体。
  5. 前記Nナノワイヤが前記Pナノワイヤよりも上にある、請求項1に記載の集積回路構造体。
  6. 前記Pナノワイヤが前記Nナノワイヤよりも上にある、請求項1に記載の集積回路構造体。
  7. 集積回路構造体を製造する方法であって、
    半導体基板の上に、上下に位置を揃えられたNナノワイヤチャネル及びPナノワイヤチャネルを形成し、該N及びPナノワイヤチャネルは各々、水平に横方向に対向するソース及びドレイン領域を有し、
    前記N及びPナノワイヤチャネルと結合する高背コンタクトを形成し、
    前記N及びPナノワイヤチャネルの一方に結合された低背コンタクトを形成し、且つ
    前記N及びPナノワイヤチャネルの一方に結合された前記低背コンタクトよりも下の底部コンタクトを形成し、底部コンタクトは前記半導体基板に電気的に結合される、
    ことを有する方法。
  8. 前記半導体基板は、Vssに結合されるN+ドープト領域を有し、前記底部コンタクトは、前記半導体基板の該N+ドープト領域に電気的に結合される、請求項7に記載の方法。
  9. 前記低背コンタクト及び前記底部コンタクトが互いに位置をずらされる、請求項7に記載の方法。
  10. 前記N及びPナノワイヤは、左右のウィング型構造を有する、請求項7に記載の方法。
  11. 前記Nナノワイヤが前記Pナノワイヤよりも上にある、請求項7に記載の方法。
  12. 前記Pナノワイヤが前記Nナノワイヤよりも上にある、請求項7に記載の方法。
JP2020036845A 2010-12-01 2020-03-04 シリコン及びシリコンゲルマニウムのナノワイヤ構造 Active JP6992830B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/958,179 US8753942B2 (en) 2010-12-01 2010-12-01 Silicon and silicon germanium nanowire structures
US12/958,179 2010-12-01

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018195794A Division JP6672421B2 (ja) 2010-12-01 2018-10-17 シリコン及びシリコンゲルマニウムのナノワイヤ構造

Publications (2)

Publication Number Publication Date
JP2020080436A true JP2020080436A (ja) 2020-05-28
JP6992830B2 JP6992830B2 (ja) 2022-01-13

Family

ID=46161357

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2013541049A Pending JP2014505995A (ja) 2010-12-01 2011-11-23 シリコン及びシリコンゲルマニウムのナノワイヤ構造
JP2015145558A Active JP6153974B2 (ja) 2010-12-01 2015-07-23 シリコン及びシリコンゲルマニウムのナノワイヤ構造
JP2017107611A Active JP6423044B2 (ja) 2010-12-01 2017-05-31 シリコン及びシリコンゲルマニウムのナノワイヤ構造
JP2018195794A Active JP6672421B2 (ja) 2010-12-01 2018-10-17 シリコン及びシリコンゲルマニウムのナノワイヤ構造
JP2020036845A Active JP6992830B2 (ja) 2010-12-01 2020-03-04 シリコン及びシリコンゲルマニウムのナノワイヤ構造

Family Applications Before (4)

Application Number Title Priority Date Filing Date
JP2013541049A Pending JP2014505995A (ja) 2010-12-01 2011-11-23 シリコン及びシリコンゲルマニウムのナノワイヤ構造
JP2015145558A Active JP6153974B2 (ja) 2010-12-01 2015-07-23 シリコン及びシリコンゲルマニウムのナノワイヤ構造
JP2017107611A Active JP6423044B2 (ja) 2010-12-01 2017-05-31 シリコン及びシリコンゲルマニウムのナノワイヤ構造
JP2018195794A Active JP6672421B2 (ja) 2010-12-01 2018-10-17 シリコン及びシリコンゲルマニウムのナノワイヤ構造

Country Status (7)

Country Link
US (6) US8753942B2 (ja)
EP (3) EP2647038B1 (ja)
JP (5) JP2014505995A (ja)
KR (10) KR101607819B1 (ja)
CN (5) CN109607475B (ja)
SG (3) SG10201503294XA (ja)
WO (1) WO2012074872A2 (ja)

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8558279B2 (en) * 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
WO2013095646A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Cmos nanowire structure
WO2013095652A1 (en) 2011-12-23 2013-06-27 Intel Corporation Uniaxially strained nanowire structure
DE112011105995B4 (de) 2011-12-23 2020-08-06 Intel Corporation Herstellungsverfahren für eine nicht-planare Rundum-Gate-Schaltung
US9012284B2 (en) * 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
CN106847805B (zh) * 2011-12-23 2020-08-21 英特尔公司 具有包含不同材料取向或组成的纳米线或半导体主体的共衬底半导体器件
CN104137237B (zh) 2011-12-23 2018-10-09 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
CN104011842B (zh) * 2011-12-31 2016-10-26 英特尔公司 用于高鳍状物的硬掩模蚀刻停止层
FR2989515B1 (fr) * 2012-04-16 2015-01-16 Commissariat Energie Atomique Procede ameliore de realisation d'une structure de transistor a nano-fils superposes et a grille enrobante
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
KR101958530B1 (ko) * 2012-07-27 2019-03-14 인텔 코포레이션 나노와이어 트랜지스터 디바이스 및 형성 기법
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8889564B2 (en) 2012-08-31 2014-11-18 International Business Machines Corporation Suspended nanowire structure
US8785909B2 (en) * 2012-09-27 2014-07-22 Intel Corporation Non-planar semiconductor device having channel region with low band-gap cladding layer
US9041106B2 (en) 2012-09-27 2015-05-26 Intel Corporation Three-dimensional germanium-based semiconductor devices formed on globally or locally isolated substrates
US8735869B2 (en) 2012-09-27 2014-05-27 Intel Corporation Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US8823059B2 (en) 2012-09-27 2014-09-02 Intel Corporation Non-planar semiconductor device having group III-V material active region with multi-dielectric gate stack
US20140091279A1 (en) * 2012-09-28 2014-04-03 Jessica S. Kachian Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
US8765563B2 (en) * 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
KR101994079B1 (ko) * 2012-10-10 2019-09-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102002380B1 (ko) * 2012-10-10 2019-07-23 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8653599B1 (en) 2012-11-16 2014-02-18 International Business Machines Corporation Strained SiGe nanowire having (111)-oriented sidewalls
US8759874B1 (en) * 2012-11-30 2014-06-24 Stmicroelectronics, Inc. FinFET device with isolated channel
US20140167163A1 (en) * 2012-12-17 2014-06-19 International Business Machines Corporation Multi-Fin FinFETs with Epitaxially-Grown Merged Source/Drains
US8956942B2 (en) 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor
US8969145B2 (en) 2013-01-19 2015-03-03 International Business Machines Corporation Wire-last integration method and structure for III-V nanowire devices
KR102049774B1 (ko) 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
JP6251604B2 (ja) * 2013-03-11 2017-12-20 ルネサスエレクトロニクス株式会社 フィンfet構造を有する半導体装置及びその製造方法
US8900959B2 (en) 2013-03-12 2014-12-02 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
US8778768B1 (en) 2013-03-12 2014-07-15 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with epitixially grown source and drain
US8951870B2 (en) * 2013-03-14 2015-02-10 International Business Machines Corporation Forming strained and relaxed silicon and silicon germanium fins on the same wafer
US8906768B2 (en) * 2013-03-15 2014-12-09 GlobalFoundries, Inc. Wrap around stressor formation
US10121861B2 (en) * 2013-03-15 2018-11-06 Intel Corporation Nanowire transistor fabrication with hardmask layers
US8940602B2 (en) * 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
CN104124157B (zh) * 2013-04-23 2016-12-28 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US9082788B2 (en) 2013-05-31 2015-07-14 Stmicroelectronics, Inc. Method of making a semiconductor device including an all around gate
US8987082B2 (en) 2013-05-31 2015-03-24 Stmicroelectronics, Inc. Method of making a semiconductor device using sacrificial fins
US20140353716A1 (en) 2013-05-31 2014-12-04 Stmicroelectronics, Inc Method of making a semiconductor device using a dummy gate
US8962408B2 (en) 2013-06-04 2015-02-24 International Business Machines Corporation Replacement gate self-aligned carbon nanostructure transistor
KR102220806B1 (ko) 2013-06-20 2021-02-26 인텔 코포레이션 도핑된 서브-핀 영역을 갖는 비평면 반도체 디바이스 및 그 제조 방법
US9171843B2 (en) 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9035277B2 (en) * 2013-08-01 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
KR102069609B1 (ko) * 2013-08-12 2020-01-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US11404325B2 (en) 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US9059002B2 (en) * 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
US9252016B2 (en) * 2013-09-04 2016-02-02 Globalfoundries Inc. Stacked nanowire
CN105518840B (zh) * 2013-10-03 2020-06-12 英特尔公司 用于纳米线晶体管的内部间隔体及其制造方法
KR102085525B1 (ko) 2013-11-27 2020-03-09 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20150170916A1 (en) * 2013-12-17 2015-06-18 United Microelectronics Corp. Semiconductor process for manufacturing epitaxial structures
KR102171831B1 (ko) 2013-12-19 2020-10-29 인텔 코포레이션 하이브리드 기하 구조 기반의 활성 영역을 갖는 비평면 반도체 디바이스
US9159552B2 (en) 2013-12-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a germanium-containing FinFET
CN106104771A (zh) 2013-12-27 2016-11-09 英特尔公司 扩散的尖端延伸晶体管
CN103700578B (zh) * 2013-12-27 2017-03-01 中国科学院微电子研究所 一种锗硅纳米线叠层结构的制作方法
US9087900B1 (en) 2014-01-07 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9853154B2 (en) * 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
KR102155181B1 (ko) 2014-01-28 2020-09-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9263584B2 (en) 2014-02-11 2016-02-16 International Business Machines Corporation Field effect transistors employing a thin channel region on a crystalline insulator structure
US9257527B2 (en) * 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9224811B2 (en) 2014-03-17 2015-12-29 Globalfoundries Inc Stacked semiconductor device
US9780216B2 (en) * 2014-03-19 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Combination FinFET and methods of forming same
WO2015147866A1 (en) * 2014-03-28 2015-10-01 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
CN104979211B (zh) 2014-04-10 2018-03-06 中芯国际集成电路制造(上海)有限公司 纳米线器件及其制造方法
US9093478B1 (en) 2014-04-11 2015-07-28 International Business Machines Corporation Integrated circuit structure with bulk silicon FinFET and methods of forming
US9601576B2 (en) * 2014-04-18 2017-03-21 International Business Machines Corporation Nanowire FET with tensile channel stressor
US9230992B2 (en) 2014-04-30 2016-01-05 International Business Machines Corporation Semiconductor device including gate channel having adjusted threshold voltage
KR102146469B1 (ko) 2014-04-30 2020-08-21 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
KR102158961B1 (ko) 2014-05-13 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9548358B2 (en) 2014-05-19 2017-01-17 International Business Machines Corporation Dual fill silicon-on-nothing field effect transistor
US9577100B2 (en) * 2014-06-16 2017-02-21 Globalfoundries Inc. FinFET and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions
US9287357B2 (en) * 2014-06-16 2016-03-15 Samsung Electronics Co., Ltd. Integrated circuits with Si and non-Si nanosheet FET co-integration with low band-to-band tunneling and methods of fabricating the same
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9543440B2 (en) * 2014-06-20 2017-01-10 International Business Machines Corporation High density vertical nanowire stack for field effect transistor
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9224736B1 (en) 2014-06-27 2015-12-29 Taiwan Semicondcutor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device
US9881993B2 (en) * 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9443978B2 (en) 2014-07-14 2016-09-13 Samsung Electronics Co., Ltd. Semiconductor device having gate-all-around transistor and method of manufacturing the same
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
KR101628197B1 (ko) * 2014-08-22 2016-06-09 삼성전자주식회사 반도체 소자의 제조 방법
US9293588B1 (en) * 2014-08-28 2016-03-22 International Business Machines Corporation FinFET with a silicon germanium alloy channel and method of fabrication thereof
US9343529B2 (en) * 2014-09-05 2016-05-17 International Business Machines Corporation Method of formation of germanium nanowires on bulk substrates
US9793356B2 (en) 2014-09-12 2017-10-17 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9318553B1 (en) 2014-10-16 2016-04-19 International Business Machines Corporation Nanowire device with improved epitaxy
US20160141360A1 (en) * 2014-11-19 2016-05-19 International Business Machines Corporation Iii-v semiconductor devices with selective oxidation
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9660059B2 (en) 2014-12-12 2017-05-23 International Business Machines Corporation Fin replacement in a field-effect transistor
US9449820B2 (en) 2014-12-22 2016-09-20 International Business Machines Corporation Epitaxial growth techniques for reducing nanowire dimension and pitch
US9882026B2 (en) 2015-01-13 2018-01-30 Tokyo Electron Limited Method for forming a nanowire structure
TWI629790B (zh) * 2015-01-26 2018-07-11 聯華電子股份有限公司 半導體元件及其製作方法
US9508795B2 (en) * 2015-02-04 2016-11-29 Globalfoundries Inc. Methods of fabricating nanowire structures
CN106033725B (zh) 2015-03-13 2020-10-16 联华电子股份有限公司 半导体元件及其制作工艺
US9349860B1 (en) 2015-03-31 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistors and methods of forming same
US9793403B2 (en) 2015-04-14 2017-10-17 Samsung Electronics Co., Ltd. Multi-layer fin field effect transistor devices and methods of forming the same
US9306001B1 (en) 2015-04-14 2016-04-05 International Business Machines Corporation Uniformly doped leakage current stopper to counter under channel leakage currents in bulk FinFET devices
US9748364B2 (en) * 2015-04-21 2017-08-29 Varian Semiconductor Equipment Associates, Inc. Method for fabricating three dimensional device
US9893161B2 (en) 2015-04-22 2018-02-13 Tokyo Electron Limited Parasitic capacitance reduction structure for nanowire transistors and method of manufacturing
KR102380818B1 (ko) * 2015-04-30 2022-03-31 삼성전자주식회사 반도체 소자
US9437502B1 (en) 2015-06-12 2016-09-06 International Business Machines Corporation Method to form stacked germanium nanowires and stacked III-V nanowires
US10134840B2 (en) 2015-06-15 2018-11-20 International Business Machines Corporation Series resistance reduction in vertically stacked silicon nanowire transistors
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
EP3112316B1 (en) 2015-07-02 2018-05-02 IMEC vzw Method for manufacturing transistor devices comprising multiple nanowire channels
US9425259B1 (en) * 2015-07-17 2016-08-23 Samsung Electronics Co., Ltd. Semiconductor device having a fin
US9614068B2 (en) 2015-09-02 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9647139B2 (en) 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
CN113611610A (zh) * 2015-09-10 2021-11-05 英特尔公司 具有腔间隔器的半导体纳米线装置和制造半导体纳米线装置的腔间隔器的方法
WO2017044117A1 (en) * 2015-09-11 2017-03-16 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
US9608099B1 (en) 2015-09-22 2017-03-28 International Business Machines Corporation Nanowire semiconductor device
US9437501B1 (en) 2015-09-22 2016-09-06 International Business Machines Corporation Stacked nanowire device width adjustment by gas cluster ion beam (GCIB)
WO2017052601A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Techniques for controlling transistor sub-fin leakage
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
KR102379701B1 (ko) 2015-10-19 2022-03-28 삼성전자주식회사 멀티-채널을 갖는 반도체 소자 및 그 형성 방법
US9741792B2 (en) * 2015-10-21 2017-08-22 International Business Machines Corporation Bulk nanosheet with dielectric isolation
US9590038B1 (en) * 2015-10-23 2017-03-07 Samsung Electronics Co., Ltd. Semiconductor device having nanowire channel
US9496263B1 (en) * 2015-10-23 2016-11-15 International Business Machines Corporation Stacked strained and strain-relaxed hexagonal nanowires
US10177143B2 (en) * 2015-10-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Limited FinFET device and method for fabricating the same
CN108352400B (zh) 2015-10-30 2021-09-10 佛罗里达大学研究基金会有限公司 包封的纳米结构及其制造方法
US9362355B1 (en) 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9559013B1 (en) * 2015-11-23 2017-01-31 International Business Machines Corporation Stacked nanowire semiconductor device
US10164121B2 (en) * 2015-11-25 2018-12-25 Samsung Electronics Co., Ltd. Stacked independently contacted field effect transistor having electrically separated first and second gates
US10164012B2 (en) * 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9425291B1 (en) 2015-12-09 2016-08-23 International Business Machines Corporation Stacked nanosheets by aspect ratio trapping
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US9431301B1 (en) 2015-12-10 2016-08-30 International Business Machines Corporation Nanowire field effect transistor (FET) and method for fabricating the same
US10497701B2 (en) * 2015-12-16 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
EP3394896A4 (en) 2015-12-24 2019-12-18 Intel Corporation METHODS OF FORMING DOPED SOURCE / DRAIN CONTACTS AND STRUCTURES FORMED IN THIS WAY
US9722022B2 (en) * 2015-12-28 2017-08-01 International Business Machines Corporation Sidewall image transfer nanosheet
US10157992B2 (en) 2015-12-28 2018-12-18 Qualcomm Incorporated Nanowire device with reduced parasitics
US9899269B2 (en) * 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
KR102367408B1 (ko) * 2016-01-04 2022-02-25 삼성전자주식회사 복수의 시트들로 구성된 채널 영역을 포함하는 sram 소자
KR102366953B1 (ko) * 2016-01-06 2022-02-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10074730B2 (en) * 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US9614040B1 (en) * 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
KR102461174B1 (ko) * 2016-02-26 2022-11-01 삼성전자주식회사 반도체 소자
US9748404B1 (en) * 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
CN107204311A (zh) * 2016-03-16 2017-09-26 上海新昇半导体科技有限公司 纳米线半导体器件及其制造方法
US9978649B2 (en) 2016-03-21 2018-05-22 Tokyo Electron Limited Solid source doping for source and drain extension doping
US9735269B1 (en) * 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
FR3051970B1 (fr) 2016-05-25 2020-06-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation d'une structure de canal formee d'une pluralite de barreaux semi-conducteurs contraints
KR20170135115A (ko) 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11004985B2 (en) 2016-05-30 2021-05-11 Samsung Electronics Co., Ltd. Semiconductor device having multi-thickness nanowire
KR102429611B1 (ko) 2016-06-10 2022-08-04 삼성전자주식회사 반도체 장치 제조 방법
CN105977299B (zh) * 2016-06-17 2019-12-10 中国科学院微电子研究所 半导体器件及其制造方法
US10134905B2 (en) * 2016-06-30 2018-11-20 International Business Machines Corporation Semiconductor device including wrap around contact, and method of forming the semiconductor device
US10236362B2 (en) * 2016-06-30 2019-03-19 International Business Machines Corporation Nanowire FET including nanowire channel spacers
JP7046049B2 (ja) 2016-07-19 2022-04-01 東京エレクトロン株式会社 三次元半導体デバイス及び製造方法
CN109643725B (zh) * 2016-08-08 2022-07-29 东京毅力科创株式会社 三维半导体器件及制造方法
JP6951903B2 (ja) * 2016-08-10 2021-10-20 東京エレクトロン株式会社 半導体素子のための拡張領域
US10840381B2 (en) 2016-08-10 2020-11-17 International Business Machines Corporation Nanosheet and nanowire MOSFET with sharp source/drain junction
US10026652B2 (en) * 2016-08-17 2018-07-17 Samsung Electronics Co., Ltd. Horizontal nanosheet FETs and method of manufacturing the same
US10332986B2 (en) 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US9905643B1 (en) 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US9704863B1 (en) 2016-09-09 2017-07-11 International Business Machines Corporation Forming a hybrid channel nanosheet semiconductor structure
US9620590B1 (en) 2016-09-20 2017-04-11 International Business Machines Corporation Nanosheet channel-to-source and drain isolation
US9704995B1 (en) * 2016-09-20 2017-07-11 Advanced Micro Devices, Inc. Gate all around device architecture with local oxide
US9728621B1 (en) * 2016-09-28 2017-08-08 International Business Machines Corporation iFinFET
CN109952654B (zh) 2016-11-14 2023-05-05 东京毅力科创株式会社 在纳米线和纳米板处理中防止块体硅电荷转移的方法
CN111370489A (zh) * 2016-11-21 2020-07-03 华为技术有限公司 一种场效应晶体管及其制作方法
CN108231589B (zh) * 2016-12-09 2020-06-05 Imec 非营利协会 纳米线半导体器件中内间隔的形成
WO2018118007A1 (en) * 2016-12-19 2018-06-28 Intel Corporation Condensation for strain control
US9972542B1 (en) 2017-01-04 2018-05-15 International Business Machines Corporation Hybrid-channel nano-sheet FETs
KR102564325B1 (ko) 2017-01-04 2023-08-07 삼성전자주식회사 다수의 채널 영역을 가지는 반도체 장치
US9935014B1 (en) 2017-01-12 2018-04-03 International Business Machines Corporation Nanosheet transistors having different gate dielectric thicknesses on the same chip
US10068794B2 (en) * 2017-01-31 2018-09-04 Advanced Micro Devices, Inc. Gate all around device architecture with hybrid wafer bond technique
US9881998B1 (en) 2017-02-02 2018-01-30 International Business Machines Corporation Stacked nanosheet field effect transistor device with substrate isolation
US10050107B1 (en) 2017-02-13 2018-08-14 International Business Machines Corporation Nanosheet transistors on bulk material
US10032867B1 (en) 2017-03-07 2018-07-24 International Business Machines Corporation Forming bottom isolation layer for nanosheet technology
JP2018147396A (ja) * 2017-03-08 2018-09-20 株式会社ジャパンディスプレイ 表示装置
US10381468B2 (en) * 2017-03-21 2019-08-13 International Business Machines Corporation Method and structure for forming improved single electron transistor with gap tunnel barriers
US10290738B2 (en) * 2017-04-10 2019-05-14 Globalfoundries Inc. Methods of forming epi semiconductor material on a recessed fin in the source/drain regions of a FinFET device
US10930793B2 (en) 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
US10121868B1 (en) 2017-05-03 2018-11-06 Globalfoundries Inc. Methods of forming epi semiconductor material on a thinned fin in the source/drain regions of a FinFET device
US9954058B1 (en) 2017-06-12 2018-04-24 International Business Machines Corporation Self-aligned air gap spacer for nanosheet CMOS devices
KR102414182B1 (ko) * 2017-06-29 2022-06-28 삼성전자주식회사 반도체 소자
US10276728B2 (en) * 2017-07-07 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including non-volatile memory cells
CN109390400A (zh) * 2017-08-08 2019-02-26 中芯国际集成电路制造(上海)有限公司 环栅场效应晶体管及其形成方法
US10934485B2 (en) * 2017-08-25 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon over silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
US10629679B2 (en) * 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10332985B2 (en) * 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102353251B1 (ko) 2017-09-28 2022-01-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20190103282A1 (en) 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
US10867866B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10680084B2 (en) 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
KR101999902B1 (ko) * 2017-11-15 2019-10-01 도실리콘 씨오., 엘티디. 페이싱바를 가지는 낸드 플래쉬 메모리 장치 및 그의 제조 방법
US10141403B1 (en) 2017-11-16 2018-11-27 International Business Machines Corporation Integrating thin and thick gate dielectric nanosheet transistors on same chip
KR102399071B1 (ko) 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US10586853B2 (en) 2017-11-27 2020-03-10 International Business Machines Corporation Non-planar field effect transistor devices with wrap-around source/drain contacts
US10312350B1 (en) 2017-11-28 2019-06-04 International Business Machines Corporation Nanosheet with changing SiGe percentage for SiGe lateral recess
WO2019116827A1 (ja) * 2017-12-12 2019-06-20 ソニーセミコンダクタソリューションズ株式会社 半導体装置及びその製造方法
US10276687B1 (en) * 2017-12-20 2019-04-30 International Business Machines Corporation Formation of self-aligned bottom spacer for vertical transistors
US10622208B2 (en) 2017-12-22 2020-04-14 International Business Machines Corporation Lateral semiconductor nanotube with hexagonal shape
US10424651B2 (en) 2018-01-26 2019-09-24 International Business Machines Corporation Forming nanosheet transistor using sacrificial spacer and inner spacers
US10573521B2 (en) 2018-01-30 2020-02-25 International Business Machines Corporation Gate metal patterning to avoid gate stack attack due to excessive wet etching
WO2019150856A1 (ja) 2018-01-30 2019-08-08 ソニーセミコンダクタソリューションズ株式会社 半導体装置
US10679890B2 (en) 2018-02-01 2020-06-09 International Business Machines Corporation Nanosheet structure with isolated gate
TWI788501B (zh) 2018-02-02 2023-01-01 日商索尼半導體解決方案公司 半導體裝置
CN110233176B (zh) * 2018-03-05 2022-07-22 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
CN111699550B (zh) * 2018-03-19 2023-05-09 东京毅力科创株式会社 三维器件及其形成方法
US10263100B1 (en) 2018-03-19 2019-04-16 International Business Machines Corporation Buffer regions for blocking unwanted diffusion in nanosheet transistors
US10446664B1 (en) 2018-03-20 2019-10-15 International Business Machines Corporation Inner spacer formation and contact resistance reduction in nanosheet transistors
US10566438B2 (en) 2018-04-02 2020-02-18 International Business Machines Corporation Nanosheet transistor with dual inner airgap spacers
US10566445B2 (en) 2018-04-03 2020-02-18 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
US10243054B1 (en) 2018-04-03 2019-03-26 International Business Machines Corporation Integrating standard-gate and extended-gate nanosheet transistors on the same substrate
US10971585B2 (en) 2018-05-03 2021-04-06 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates
US20190341452A1 (en) 2018-05-04 2019-11-07 International Business Machines Corporation Iii-v-segmented finfet free of wafer bonding
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US10374034B1 (en) 2018-05-21 2019-08-06 International Business Machines Corporation Undercut control in isotropic wet etch processes
US10461154B1 (en) * 2018-06-21 2019-10-29 International Business Machines Corporation Bottom isolation for nanosheet transistors on bulk substrate
JP7348442B2 (ja) * 2018-06-22 2023-09-21 東京エレクトロン株式会社 ナノワイヤデバイスを形成する方法
US10388569B1 (en) 2018-06-26 2019-08-20 International Business Machines Corporation Formation of stacked nanosheet semiconductor devices
US10483166B1 (en) 2018-06-26 2019-11-19 International Business Machines Corporation Vertically stacked transistors
US10930794B2 (en) * 2018-06-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned spacers for multi-gate devices and method of fabrication thereof
CN112437978A (zh) 2018-07-26 2021-03-02 索尼半导体解决方案公司 半导体装置
US11367783B2 (en) 2018-08-17 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
JP7351307B2 (ja) 2018-09-25 2023-09-27 株式会社ソシオネクスト 半導体装置及びその製造方法
US10910375B2 (en) * 2018-09-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabrication thereof
US11462536B2 (en) * 2018-09-28 2022-10-04 Intel Corporation Integrated circuit structures having asymmetric source and drain structures
US11043493B2 (en) * 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
US11264506B2 (en) 2018-10-31 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP7376805B2 (ja) 2018-11-09 2023-11-09 株式会社ソシオネクスト 半導体集積回路装置
US11180697B2 (en) 2018-11-19 2021-11-23 Versum Materials Us, Llc Etching solution having silicon oxide corrosion inhibitor and method of using the same
WO2020110733A1 (ja) 2018-11-26 2020-06-04 株式会社ソシオネクスト 半導体集積回路装置
WO2020137660A1 (ja) * 2018-12-25 2020-07-02 株式会社ソシオネクスト 半導体集積回路装置
CN113196463B (zh) * 2018-12-26 2024-03-01 株式会社索思未来 半导体集成电路装置
US20200219990A1 (en) * 2019-01-03 2020-07-09 Intel Corporation Self-aligned gate endcap (sage) architectures with gate-all-around devices above insulator substrates
US11946148B2 (en) 2019-01-11 2024-04-02 Versum Materials Us, Llc Hafnium oxide corrosion inhibitor
WO2020170715A1 (ja) * 2019-02-18 2020-08-27 株式会社ソシオネクスト 半導体集積回路装置
KR102673872B1 (ko) 2019-03-20 2024-06-10 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US11532734B2 (en) 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having germanium nanowire channel structures
US10818559B1 (en) 2019-04-29 2020-10-27 International Business Machines Corporation Formation of multi-segment channel transistor devices
US10916630B2 (en) 2019-04-29 2021-02-09 International Business Machines Corporation Nanosheet devices with improved electrostatic integrity
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US10892331B2 (en) 2019-06-05 2021-01-12 International Business Machines Corporation Channel orientation of CMOS gate-all-around field-effect transistor devices for enhanced carrier mobility
JP7302658B2 (ja) 2019-06-18 2023-07-04 株式会社ソシオネクスト 半導体装置
TW202129061A (zh) 2019-10-02 2021-08-01 美商應用材料股份有限公司 環繞式閘極輸入/輸出工程
US11133310B2 (en) 2019-10-03 2021-09-28 Tokyo Electron Limited Method of making multiple nano layer transistors to enhance a multiple stack CFET performance
US11393916B2 (en) * 2019-10-22 2022-07-19 Applied Materials, Inc. Methods for GAA I/O formation by selective epi regrowth
US11251280B2 (en) * 2019-12-17 2022-02-15 International Business Machines Corporation Strained nanowire transistor with embedded epi
US11715781B2 (en) * 2020-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with improved capacitors
US11462579B2 (en) * 2020-02-28 2022-10-04 Omnivision Technologies, Inc. Pixel and associated transfer-gate fabrication method
US11245027B2 (en) * 2020-03-10 2022-02-08 International Business Machines Corporation Bottom source/drain etch with fin-cut-last-VTFET
US11335552B2 (en) * 2020-04-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with oxide semiconductor channel
US11557659B2 (en) * 2020-04-29 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around transistor device and fabrication methods thereof
US11961763B2 (en) 2020-07-13 2024-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned metal gate for multigate device and method of forming thereof
US11653581B2 (en) * 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device structure and manufacturing method
US11508621B2 (en) * 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11984483B2 (en) 2021-03-26 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing thereof
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing
US20230163212A1 (en) * 2021-11-19 2023-05-25 Intel Corporation Gate-all-around transistor device with compressively strained channel layers
JP2023110192A (ja) * 2022-01-28 2023-08-09 ソニーセミコンダクタソリューションズ株式会社 半導体装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007013156A (ja) * 2005-06-28 2007-01-18 Samsung Electronics Co Ltd フィンfetcmosとその製造方法及びそれを備えるメモリ素子
US20100259296A1 (en) * 2009-04-14 2010-10-14 Zvi Or-Bach Method for fabrication of a semiconductor device and structure
US20100295021A1 (en) * 2009-05-21 2010-11-25 International Business Machines Corporation Single Gate Inverter Nanowire Mesh
US20160211276A1 (en) * 2015-01-20 2016-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Manufacturing Methods Thereof

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144607A (ja) 1996-11-13 1998-05-29 Hitachi Ltd 半導体基板およびその製造方法ならびにそれを用いた半導体装置およびその製造方法
US6365465B1 (en) * 1999-03-19 2002-04-02 International Business Machines Corporation Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
WO2003096385A2 (en) 2002-05-07 2003-11-20 Asm America, Inc. Silicon-on-insulator structures and methods
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP2004172178A (ja) 2002-11-18 2004-06-17 Toshiba Corp 半導体装置及び半導体装置の製造方法
FR2853454B1 (fr) * 2003-04-03 2005-07-15 St Microelectronics Sa Transistor mos haute densite
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
KR100553683B1 (ko) 2003-05-02 2006-02-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US6921700B2 (en) * 2003-07-31 2005-07-26 Freescale Semiconductor, Inc. Method of forming a transistor having multiple channels
US6855588B1 (en) * 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
JP4904815B2 (ja) 2003-10-09 2012-03-28 日本電気株式会社 半導体装置及びその製造方法
KR100578130B1 (ko) * 2003-10-14 2006-05-10 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 다중 실리콘 핀 및 그형성 방법
KR100506460B1 (ko) * 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
KR100528486B1 (ko) * 2004-04-12 2005-11-15 삼성전자주식회사 불휘발성 메모리 소자 및 그 형성 방법
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US7491988B2 (en) * 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
KR100555567B1 (ko) * 2004-07-30 2006-03-03 삼성전자주식회사 다중가교채널 트랜지스터 제조 방법
TWI283066B (en) * 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
JP2006086188A (ja) * 2004-09-14 2006-03-30 Seiko Epson Corp 半導体装置および半導体装置の製造方法
US20080121932A1 (en) 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
KR100618900B1 (ko) * 2005-06-13 2006-09-01 삼성전자주식회사 다중 채널을 갖는 모스 전계효과 트랜지스터의 제조방법 및그에 따라 제조된 다중 채널을 갖는 모스 전계효과트랜지스터
KR100645065B1 (ko) * 2005-06-23 2006-11-10 삼성전자주식회사 핀 전계 효과 트랜지스터와 이를 구비하는 비휘발성 메모리장치 및 그 형성 방법
KR101155176B1 (ko) * 2005-07-12 2012-06-11 삼성전자주식회사 방향성이 조절된 단결정 와이어 및 이를 적용한트랜지스터의 제조방법
FR2895835B1 (fr) 2005-12-30 2008-05-09 Commissariat Energie Atomique Realisation sur une structure de canal a plusieurs branches d'une grille de transistor et de moyens pour isoler cette grille des regions de source et de drain
KR100718159B1 (ko) 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
US7777275B2 (en) * 2006-05-18 2010-08-17 Macronix International Co., Ltd. Silicon-on-insulator structures
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP2008172082A (ja) * 2007-01-12 2008-07-24 Toshiba Corp 半導体装置及び半導体装置の製造方法
US7781827B2 (en) * 2007-01-24 2010-08-24 Mears Technologies, Inc. Semiconductor device with a vertical MOSFET including a superlattice and related methods
EP1975988B1 (en) 2007-03-28 2015-02-25 Siltronic AG Multilayered semiconductor wafer and process for its production
US7821061B2 (en) 2007-03-29 2010-10-26 Intel Corporation Silicon germanium and germanium multigate and nanowire structures for logic and multilevel memory applications
JP4381428B2 (ja) * 2007-04-10 2009-12-09 シャープ株式会社 微細構造体の配列方法及び微細構造体を配列した基板、並びに集積回路装置及び表示素子
JP4473889B2 (ja) 2007-04-26 2010-06-02 株式会社東芝 半導体装置
JP2009054705A (ja) * 2007-08-24 2009-03-12 Toshiba Corp 半導体基板、半導体装置およびその製造方法
US7674669B2 (en) * 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
JP4966153B2 (ja) 2007-10-05 2012-07-04 株式会社東芝 電界効果トランジスタおよびその製造方法
WO2009072984A1 (en) * 2007-12-07 2009-06-11 Agency For Science, Technology And Research A silicon-germanium nanowire structure and a method of forming the same
US7727830B2 (en) * 2007-12-31 2010-06-01 Intel Corporation Fabrication of germanium nanowire transistors
WO2009151001A1 (ja) * 2008-06-09 2009-12-17 独立行政法人産業技術総合研究所 ナノワイヤ電界効果トランジスタ及びその作製方法、並びにこれを含む集積回路
JP5553266B2 (ja) 2008-06-09 2014-07-16 独立行政法人産業技術総合研究所 ナノワイヤ電界効果トランジスタの作製方法
JP2010010382A (ja) * 2008-06-26 2010-01-14 Toshiba Corp 半導体装置およびその製造方法
JP2010010473A (ja) 2008-06-27 2010-01-14 Toshiba Corp 半導体装置及びその製造方法
WO2010003928A2 (en) 2008-07-06 2010-01-14 Interuniversitair Microelektronica Centrum Vzw (Imec) Method for doping semiconductor structures and the semiconductor device thereof
US7884004B2 (en) * 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8110467B2 (en) 2009-04-21 2012-02-07 International Business Machines Corporation Multiple Vt field-effect transistor devices
US8422273B2 (en) * 2009-05-21 2013-04-16 International Business Machines Corporation Nanowire mesh FET with multiple threshold voltages
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8169024B2 (en) * 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US8426923B2 (en) * 2009-12-02 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate semiconductor device and method
US8263451B2 (en) * 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8399314B2 (en) * 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
US8445337B2 (en) * 2010-05-12 2013-05-21 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8389416B2 (en) * 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
TWI582999B (zh) * 2011-03-25 2017-05-11 半導體能源研究所股份有限公司 場效電晶體及包含該場效電晶體之記憶體與半導體電路
KR102083494B1 (ko) * 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007013156A (ja) * 2005-06-28 2007-01-18 Samsung Electronics Co Ltd フィンfetcmosとその製造方法及びそれを備えるメモリ素子
US20100259296A1 (en) * 2009-04-14 2010-10-14 Zvi Or-Bach Method for fabrication of a semiconductor device and structure
US20100295021A1 (en) * 2009-05-21 2010-11-25 International Business Machines Corporation Single Gate Inverter Nanowire Mesh
US20160211276A1 (en) * 2015-01-20 2016-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Manufacturing Methods Thereof

Also Published As

Publication number Publication date
KR20160065982A (ko) 2016-06-09
US9129829B2 (en) 2015-09-08
EP2942817B1 (en) 2023-05-24
CN103238208A (zh) 2013-08-07
CN106449514A (zh) 2017-02-22
KR20180010317A (ko) 2018-01-30
US20170133462A1 (en) 2017-05-11
JP2015195405A (ja) 2015-11-05
KR20190022931A (ko) 2019-03-06
KR20150143889A (ko) 2015-12-23
US10991799B2 (en) 2021-04-27
SG190373A1 (en) 2013-06-28
EP2647038B1 (en) 2022-10-12
JP6672421B2 (ja) 2020-03-25
KR102013115B1 (ko) 2019-08-21
JP6423044B2 (ja) 2018-11-14
KR20170073742A (ko) 2017-06-28
US10636871B2 (en) 2020-04-28
CN109607475B (zh) 2022-04-15
KR101954189B1 (ko) 2019-03-05
US20150303258A1 (en) 2015-10-22
JP6153974B2 (ja) 2017-06-28
KR101892232B1 (ko) 2018-08-28
KR101571989B1 (ko) 2015-11-25
WO2012074872A2 (en) 2012-06-07
JP2017152745A (ja) 2017-08-31
US20140326952A1 (en) 2014-11-06
US20120138886A1 (en) 2012-06-07
KR101814082B1 (ko) 2018-01-02
KR101821245B1 (ko) 2018-01-23
US9595581B2 (en) 2017-03-14
KR101536837B1 (ko) 2015-07-14
CN114242763A (zh) 2022-03-25
JP2014505995A (ja) 2014-03-06
US8753942B2 (en) 2014-06-17
KR101750848B1 (ko) 2017-06-26
EP2942817A1 (en) 2015-11-11
CN105923602A (zh) 2016-09-07
EP2647038A4 (en) 2015-01-07
KR101820899B1 (ko) 2018-01-22
CN114242764A (zh) 2022-03-25
EP2647038A2 (en) 2013-10-09
US20200227520A1 (en) 2020-07-16
KR20170055568A (ko) 2017-05-19
KR20140085604A (ko) 2014-07-07
KR20180096828A (ko) 2018-08-29
SG10201503294XA (en) 2015-06-29
EP4235801A3 (en) 2023-09-06
US20210226006A1 (en) 2021-07-22
KR101607819B1 (ko) 2016-03-31
JP6992830B2 (ja) 2022-01-13
EP4235801A2 (en) 2023-08-30
SG10201910741XA (en) 2020-01-30
KR20150082698A (ko) 2015-07-15
WO2012074872A3 (en) 2012-10-04
JP2019009476A (ja) 2019-01-17
KR20130108410A (ko) 2013-10-02
CN109607475A (zh) 2019-04-12

Similar Documents

Publication Publication Date Title
JP6992830B2 (ja) シリコン及びシリコンゲルマニウムのナノワイヤ構造

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200304

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20210128

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211018

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211109

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211122

R151 Written notification of patent or utility model registration

Ref document number: 6992830

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151