CN105518840B - 用于纳米线晶体管的内部间隔体及其制造方法 - Google Patents
用于纳米线晶体管的内部间隔体及其制造方法 Download PDFInfo
- Publication number
- CN105518840B CN105518840B CN201380079334.6A CN201380079334A CN105518840B CN 105518840 B CN105518840 B CN 105518840B CN 201380079334 A CN201380079334 A CN 201380079334A CN 105518840 B CN105518840 B CN 105518840B
- Authority
- CN
- China
- Prior art keywords
- sacrificial
- spacer
- spacers
- channel
- forming
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 125000006850 spacer group Chemical group 0.000 title claims abstract description 179
- 239000002070 nanowire Substances 0.000 title claims abstract description 105
- 238000000034 method Methods 0.000 title claims description 43
- 239000000463 material Substances 0.000 claims abstract description 98
- 239000003989 dielectric material Substances 0.000 claims abstract description 63
- 239000010410 layer Substances 0.000 claims description 96
- 238000004377 microelectronic Methods 0.000 claims description 40
- 239000000758 substrate Substances 0.000 claims description 38
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 32
- 229910052710 silicon Inorganic materials 0.000 claims description 32
- 239000010703 silicon Substances 0.000 claims description 32
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 26
- 238000000151 deposition Methods 0.000 claims description 25
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 22
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 21
- 239000000377 silicon dioxide Substances 0.000 claims description 11
- 235000012239 silicon dioxide Nutrition 0.000 claims description 11
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 10
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 10
- 239000011229 interlayer Substances 0.000 claims description 5
- 238000004519 manufacturing process Methods 0.000 abstract description 3
- 238000004891 communication Methods 0.000 description 15
- 238000005530 etching Methods 0.000 description 7
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 6
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 description 6
- 239000007772 electrode material Substances 0.000 description 5
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 4
- KRHYYFGTRYWZRS-UHFFFAOYSA-N hydrofluoric acid Substances F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 4
- 229910017604 nitric acid Inorganic materials 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- BVKZGUZCCUSVTD-UHFFFAOYSA-N carbonic acid Chemical compound OC(O)=O BVKZGUZCCUSVTD-UHFFFAOYSA-N 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910052692 Dysprosium Inorganic materials 0.000 description 1
- 229910005542 GaSb Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- -1 InAlAs Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910052771 Terbium Inorganic materials 0.000 description 1
- 229910001069 Ti alloy Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910026551 ZrC Inorganic materials 0.000 description 1
- OTCHGXYCWNXDOA-UHFFFAOYSA-N [C].[Zr] Chemical compound [C].[Zr] OTCHGXYCWNXDOA-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 229910052454 barium strontium titanate Inorganic materials 0.000 description 1
- 229910002113 barium titanate Inorganic materials 0.000 description 1
- JRPBQTZRNDNNOP-UHFFFAOYSA-N barium titanate Chemical compound [Ba+2].[Ba+2].[O-][Ti]([O-])([O-])[O-] JRPBQTZRNDNNOP-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- KBQHZAAAGSGFKK-UHFFFAOYSA-N dysprosium atom Chemical compound [Dy] KBQHZAAAGSGFKK-UHFFFAOYSA-N 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- JQJCSZOEVBFDKO-UHFFFAOYSA-N lead zinc Chemical compound [Zn].[Pb] JQJCSZOEVBFDKO-UHFFFAOYSA-N 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910000510 noble metal Inorganic materials 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229910052761 rare earth metal Inorganic materials 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 1
- HYXGAEYDKFCVMU-UHFFFAOYSA-N scandium oxide Chemical compound O=[Sc]O[Sc]=O HYXGAEYDKFCVMU-UHFFFAOYSA-N 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- GZCRRIHWUXGPOV-UHFFFAOYSA-N terbium atom Chemical compound [Tb] GZCRRIHWUXGPOV-UHFFFAOYSA-N 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- GPPXJZIENCGNKB-UHFFFAOYSA-N vanadium Chemical compound [V]#[V] GPPXJZIENCGNKB-UHFFFAOYSA-N 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02603—Nanowires
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0603—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
- H01L29/0642—Isolation within the component, i.e. internal isolation
- H01L29/0649—Dielectric regions, e.g. SiO2 regions, air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/413—Nanosized electrodes, e.g. nanowire electrodes comprising one or a plurality of nanowires
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6653—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66553—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66742—Thin film unipolar transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/775—Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78606—Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
- H01L29/78618—Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78651—Silicon transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78684—Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
- H01L29/165—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- Crystallography & Structural Chemistry (AREA)
- Materials Engineering (AREA)
- Mathematical Physics (AREA)
- Theoretical Computer Science (AREA)
- Thin Film Transistor (AREA)
Abstract
可以采用内部间隔体,来生产本说明书的纳米线晶体管,在内部间隔体制造期间,通过使用牺牲间隔体来形成内部间隔体。一旦形成了纳米线晶体管,就可以去除(分别)位于晶体管栅极以及源极和漏极之间的牺牲间隔体。然后,可以去除纳米线晶体管的沟道纳米线之间的牺牲材料,并且可以沉积电介质材料以填充沟道纳米线之间的空间。可以去除不在沟道纳米线之间的电介质材料以形成内部间隔体。然后可以与内部间隔体和晶体管沟道纳米线相邻,形成(分别)位于晶体管栅极与源极和漏极之间的外部间隔体。
Description
技术领域
本说明书的实施例总体涉及纳米线微电子器件的领域,并且更具体而言,涉及使用内部间隔体所形成的纳米线晶体管。
背景技术
集成电路部件的更高性能、更低成本、加强的微型化,以及集成电路的更大封装密度是制造微电子器件的微电子行业的一直的目标。在实现这些目标时,微电子器件减小,即,变得更小,这增加了对每种集成电路部件的最优性能的需求,包括在减小短沟道效应、寄生电容和截止状态泄漏电流的同时管理晶体管驱动电流。
非平面晶体管,例如基于鳍和纳米线的器件,使得能够改善对短沟道效应的控制。例如,在基于纳米线的晶体管中,栅极电极包裹在纳米线的整个周边周围,使能沟道区中的更完全耗尽,并减小由于更陡峭的亚阈值电流摆幅(SS)和更小的漏极感应势垒降低(DIBL)导致的短沟道效应。纳米线器件中使用的周围包裹的栅极结构和源极/漏极接触还使得能够更好地管理有源区中的泄漏电流和电容,即使在驱动电流增大时也是如此,如本领域的技术人员将要理解的那样。
附图说明
在说明书的结论部分中具体指出并明确主张了本公开内容的主题。根据结合附图的以下描述及附属权利要求,本公开内容的上述和其它特征将变得更加完全地显而易见。要理解的是,附图仅仅示出了根据本公开内容的几个实施例,并且因此,不应被视为限制其范围。将利用附图以额外的特异性和细节来描述本公开内容,从而可以更容易确定本公开内容的优点,其中:
图1-17是根据本说明书的实施例的形成纳米线晶体管的过程的斜视图及侧视图。
图18是根据本说明书的实施例的制造纳米线晶体管的过程的流程图。
图19图示了根据本说明书的一种实施方式的计算设备。
具体实施方式
在以下具体实施方式中,参考了附图,附图通过图示方式示出了可以实践所主张的主题的具体实施例。这些实施例得到充分详细的描述,以使本领域的技术人员能够实践该主题。应当理解,各实施例尽管不同,但未必是相互排斥的。例如,可以在其它实施例中实施结合一个实施例在本文中描述的特定特征、结构或特性而不脱离所主张主题的精神和范围。在本说明书之内提到“一个实施例”或“实施例”表示结合实施例描述的特定特征、结构或特性被包括在本说明书之内所涵盖的至少一个实施方式中。因此,短语“一个实施例”或“在实施例中”的使用,未必是指相同实施例。此外,要理解的是,可以修改每个公开实施例之内独立的元件的位置或布置而不脱离所主张主题的精神和范围。因此,不应以限制性意义来理解以下具体实施方式,并且所主张主题的范围仅受经适当解释的附属权利要求连同附属权利要求所授权的等价物的全范围的限定。在附图中,相同的标号在所有几幅视图中指相同或相似的元件或功能,并且其中绘示的元件未必与彼此成比例,相反,可以放大或缩小独立的元件,以便在本说明书的语境中更容易理解该元件。
如本说明书中所使用的术语“牺牲”是指暂时形成并将被去除并由另一种结构或材料替代的结构或材料。如本文中使用的术语“在……上方”、“到”、“在……之间”和“在……上”可以指一层相对于其它层的相对位置。在另一层“上方”或“上”或结合“到”另一层的一层可以直接接触另一层,或者可以有一个或多个居间层。层“之间”的一层可以直接与这些层接触,或者可以具有一个或多个居间层。
本说明书的实施例包括在纳米线晶体管中并入内部间隔体。在一个实施例中,可以在内部间隔体制造期间,通过使用外部牺牲间隔体来形成内部间隔体。一旦形成了纳米线晶体管,就可以去除(分别)位于栅极结构(例如,栅极电极和栅极电介质)以及源极结构和漏极结构之间的牺牲间隔体。然后可以去除纳米线晶体管的沟道纳米线之间的牺牲材料,并可以沉积电介质材料以填充沟道纳米线之间的空间。可以去除不在沟道纳米线之间的电介质材料以形成内部间隔体。然后可以与内部间隔体和晶体管沟道纳米线相邻,形成(分别)位于栅极结构与源极结构和漏极结构之间的外部间隔体。内部间隔体可以提供栅极结构和源极/漏极接触之间的额外绝缘,这样减小了交叠电容、短路风险和电流泄露。内部间隔体可以由绝缘的、低k电介质材料形成。此外,内部间隔体可以由与外部间隔体相同或不同的材料形成。此外,内部间隔体可以与外部间隔体的厚度相同或不同。
图1-17图示了形成纳米线晶体管的方法。出于简洁和清楚的目的,将图示单纳米线晶体管的形成。如图1所示,微电子衬底110可以由任何适当的材料来提供或形成。在一个实施例中,微电子衬底110可以是由单晶材料形成的体衬底,单晶材料可以包括,但不限于硅、锗、硅-锗或Ⅲ-Ⅴ族化合物半导体材料。在其它实施例中,微电子衬底110可以包括绝缘体上硅衬底(SOI),其中,在体衬底上设置了由可以包括但不限于二氧化硅、氮化硅或氮氧化硅的材料形成的上方绝缘体层。或者,微电子衬底110可以直接由体衬底形成,并使用局部氧化形成电绝缘部分以替代上述上方绝缘体层。
如图1中进一步所示,可以由任何已知技术,例如外延生长,在微电子衬底110上形成与多个沟道材料层(图示为元件1241、1242和1243)交替的多个牺牲材料层(图示为元件1221、1222和1223),以形成分层堆叠体126。在一个实施例中,牺牲材料层1221、1222和1223可以是硅层,并且沟道材料层1241、1242和1243可以是硅锗层。在另一实施例中,牺牲材料层1221、1222和1223可以是硅锗层,并且沟道材料层1241、1242和1243可以是硅层。此外,沟道材料层1241、1242和1243还可以包括,但不限于,锗、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb和InP。尽管示出了三个牺牲材料层和三个沟道材料,但要理解可以使用任何适当数量的牺牲材料层和沟道材料层。
可以使用常规构图/蚀刻技术对分层的堆叠体126进行构图,以形成至少一个鳍结构128,如图2所示。例如,可以在沟槽蚀刻过程期间,例如在浅沟槽隔离(STI)过程期间,来蚀刻分层堆叠体126(见图1),其中,可以在形成鳍结构128时在微电子衬底110中形成沟槽134,并且其中,可以在鳍结构128的相对侧上形成沟槽134。本领域的技术人员将要理解,一般同时形成多个基本平行的鳍结构128。
如图3所示,可以在邻近微电子衬底110的沟槽134之内形成或沉积电介质材料结构136,例如二氧化硅,以电学地分离鳍结构128。本领域的技术人员应当理解,形成电介质材料结构136的过程可以涉及多种过程,包括但不限于,沉积电介质材料、对电介质材料进行抛光/平坦化和回蚀电介质材料。
如图4所示,第一牺牲间隔体152和第二牺牲间隔体154可以形成在鳍结构128上并跨越鳍结构128,并且可以设置为基本关于鳍结构128正交。在实施例中,第一牺牲间隔体152和/或第二牺牲间隔体154可以包括可以被去除而不影响牺牲栅极材料、源极结构或漏极材料(接下来将论述其每者)的任何电介质材料,并可以包括,但不限于二氧化硅、氮化硅和氮氧化硅。
如图4进一步所示,可以在第一牺牲间隔体152和第二牺牲间隔体154之内/之间,以及在位于第一牺牲间隔体152和第二牺牲间隔体154之间的鳍结构128的部分周围,来形成牺牲栅极材料142。在实施例中,可以在鳍结构128的部分周围形成牺牲栅极材料142,并且第一牺牲间隔体152和第二牺牲间隔体154可以在牺牲栅极材料142的相对侧上。牺牲栅极材料142可以包括任何适当的牺牲材料,包括,但不限于多晶硅、氮化硅和二氧化硅。
如图5所示,可以去除每个鳍结构128的在牺牲栅极材料142、第一牺牲间隔体152和第二牺牲间隔体154外部的部分,以暴露微电子衬底110的部分112。可以通过现有技术中已知的任何工艺,包括但不限于干法蚀刻工艺,来去除每个鳍结构128的部分。
如图6所示,可以例如通过硅或硅锗的外延生长,在鳍结构128的相对端上的微电子衬底部分112(见图6)上形成源极结构160和漏极结构170,它们可以耦合到设置于第一牺牲间隔体152和第二牺牲间隔体154之间的鳍结构128的部分。在实施例中,根据用于具体应用的器件类型,源极结构160或漏极结构170可以是用于NMOS器件的n掺杂硅,或者可以是用于PMOS器件的p掺杂硅/硅锗。可以在外延工艺中通过注入、通过等离子体掺杂、通过固体源掺杂或通过现有技术中已知的其它方法来引入掺杂。
如图7所示,可以在微电子衬底110上,在源极结构160、漏极结构170、牺牲栅极材料142、第一牺牲间隔体152和第二牺牲间隔体154上方形成层间电介质层180,其中,可以例如通过化学机械抛光来对层间电介质层180进行平面化,以暴露第一牺牲间隔体152、第二牺牲间隔体154和牺牲栅极材料142。
然后,如图8所示,可以例如通过蚀刻工艺,从第一牺牲间隔体152和第二牺牲间隔体154之间去除牺牲栅极材料142,蚀刻工艺包括,但不限于湿法蚀刻、湿法蚀刻和氧化的组合或干法蚀刻(等离子体或无等离子体蚀刻)。
如图9所示,可以在沟道材料层1241、1242和1243(见图8)之间从鳍结构128(见图8)选择性地去除牺牲材料层1221、1222和1223(见图8),以形成在源极结构160(见图6)和漏极结构170之间延伸的沟道纳米线(图示为元件1201、1202和1203,并且在这里可以被统称为“沟道纳米线120n”),其中,沟道纳米线120n可以被垂直(例如,z方向)对准并与彼此间隔开。在实施例中,可以利用选择性去除牺牲材料层1221、1222和1223而不蚀刻沟道材料层1241、1242和1243的湿法蚀刻、湿法蚀刻和氧化的组合或干法蚀刻(等离子体或无等离子体),来蚀刻牺牲材料层1221、1222和1223。在一个实施例中,其中牺牲材料层1221、1222和1223是硅并且沟道材料层1241、1242和1243是硅锗,湿法蚀刻可以包括,但不限于包括氢氧化铵和氢氧化钾的含水氢氧化物化学物。在另一实施例中,其中牺牲材料层1221、1222和1223是硅锗并且沟道材料层1241、1242和1243是硅,湿法蚀刻可以包括,但不限于碳酸/硝酸/氢氟酸溶液和柠檬酸/硝酸/氢氟酸的溶液。
在实施例中,硅和硅锗沟道纳米线120n都可以存在于同一晶片上、同一管芯中或同一电路上,例如作为反相器结构中的NMOS Si和PMOS SiGe。在NMOS Si和PMOS SiGe都在同一电路中的实施例中,可以相互选择Si沟道厚度(SiGe居间层)和SiGe沟道厚度(Si居间层)以提高电路性能和/或电路最小工作电压。在实施例中,可以通过蚀刻工艺来改变同一电路中不同器件上的纳米线数量,以提高电路性能和/或电路最小工作电压。
如图10所示(沿图9的线10-10的横截面),可以形成栅极电介质材料182,以围绕第一牺牲间隔体152和第二牺牲间隔体154之间的沟道纳米线1201、1202和1203。在实施例中,栅极电介质材料182可以包括高k栅极电介质材料,其中,介电常数可以包括大于大约4的值。高k栅极电介质材料的示例可以包括,但不限于氧化铪、硅氧化铪、氧化镧、氧化锆、硅氧化锆、氧化钛、氧化钽、钛酸钡锶、钛酸钡、钛酸锶、氧化钇、氧化铝、铅氧化钪和铌锌酸铅。在一个实施例中,可以在沟道纳米线1201、1202和1203周围基本保形地形成栅极电介质材料182,并且可以在第一牺牲间隔体152和第二牺牲间隔体154上形成基本保形层。可以利用现有技术中公知的任何方法来沉积栅极电介质材料182,以获得保形层,例如,但不限于原子层沉积(ALD)和化学气相沉积(CVD)的各种实施方式,例如,大气压CVD(APCVD)、低压CVD(LPCVD)和等离子体增强CVD(PECVD)。
如图11所示,于是可以围绕沟道纳米线1201、1202和1203来形成栅极电极材料144,以形成栅极电极140并由此形成微电子结构102。栅极电极材料144可以包括任何适当的导电材料,包括,但不限于,纯金属和钛、钨、钽、铝、铜、钌、钴、铬、铁、钯、钼、锰、钒、金、银和铌的合金。也可以使用导电性较差的金属碳化物,例如,碳化钛、碳化锆、碳化钽、碳化钨和碳化钨。栅极电极材料也可以由诸如氮化钛和氮化钽的金属氮化物,或诸如氧化钌的导电金属氧化物制成。栅极电极材料还可以包括与诸如铽和镝的稀土元素的合金,或诸如铂的贵金属。
如图12和13所示,可以去除第一牺牲间隔体152和第二牺牲间隔体154(见图11)。可以由包括但不限于蚀刻工艺的任何适当的工艺,来去除第一牺牲间隔体152和第二牺牲间隔体154。
如图13所示,(沿图12的线13-13的侧视图),第一牺牲间隔体152和第二牺牲间隔体154的去除暴露了牺牲材料层1221、1222和1223。如图14所示,可以通过选择性地去除牺牲材料层1221、1222和1223而不蚀刻沟道纳米线1241、1242和1243的任何适当技术,例如湿法蚀刻、湿法蚀刻和氧化的组合或干法蚀刻(等离子体或无等离子体),来去除牺牲材料层1221、1222和1223。在一个实施例中,其中牺牲材料层1221、1222和1223是硅并且沟道纳米线1241、1242和1243是硅锗,湿法蚀刻可以包括,但不限于包括氢氧化铵和氢氧化钾的含水氢氧化物化学物质。在另一实施例中,其中牺牲材料层1221、1222和1223是硅锗并且沟道纳米线1241、1242和1243是硅,湿法蚀刻可以包括,但不限于碳酸/硝酸/氢氟酸溶液和柠檬酸/硝酸/氢氟酸溶液。
如图15所示,可以沉积间隔体材料以形成填充沟道纳米线1241、1242和1243(见图14)之间的空间的第一间隔体192和第二间隔体194,以形成纳米线器件100。用于形成第一间隔体192和第二间隔体194的间隔体材料可以是任何适当的电介质材料,例如,二氧化硅、氮氧化硅或氮化硅。在实施例中,间隔体材料是低k电介质材料,即,具有小于3.6的介电常数。
在图16所示的另一实施例中,可以去除第一间隔体192和第二间隔体194的一部分,以在沟道纳米线1241、1242和1243之间界定内部间隔体1901、1902和1903。如图17所示,然后可以沉积与内部间隔体1901、1902和1903的电介质材料不同的电介质材料以形成围绕内部间隔体1901、1902和1903以及沟道纳米线1201、1202和1203(见图16)并位于栅极电极140及其相应的源极结构160或漏极结构170之间的第一外部间隔体196和第二外部间隔体198。
图18是根据本说明书的实施例的制造纳米线晶体管结构的过程200的流程图。如方框202中所述,可以形成微电子衬底。如方框204中所述,可以在微电子衬底上形成包括至少一个牺牲材料层和至少一个沟道材料层的堆叠层。如方框206中所述,可以由分层堆叠形成至少一个鳍结构,如方框208中所述。如方框208中所述,可以跨越鳍结构形成至少两个牺牲间隔体。可以在至少两个牺牲间隔体之间形成牺牲栅极材料,如方框210中所述。如方框212中所述,可以去除鳍结构在牺牲栅极材料和间隔体以外的部分,以暴露微电子衬底的部分。可以在鳍结构的相对端上的微电子衬底部分上形成源极结构和漏极结构,如在方框214中所述。可以在源极结构和漏极结构上方形成层间电介质层,如方框216中所述。可以在间隔体之间去除牺牲栅极材料,如方框218中所述。如方框220中所述,可以从沟道材料层之间选择性地去除牺牲材料层,以形成至少一个沟道纳米线。如方框222中所述,可以形成栅极电介质材料以在间隔体之间围绕沟道纳米线。可以在栅极电介质材料上形成栅极电极材料,如方框224中所述。如方框226中所述,可以去除牺牲间隔体。可以选择性地去除沟道纳米线之间的牺牲材料层,如方框228中所述。如方框230中所述,可以沉积电介质材料,以形成至少一个间隔体,其中,将电介质材料设置于沟道纳米线之间。
图19示出了根据本说明书的一个实施方式的计算设备300。计算设备300容纳板302。板302可以包括多个部件,该多个部件包括但不限于处理器304和至少一个通信芯片306。处理器304物理地和电地耦合到板302。在一些实施方式中,至少一个通信芯片306也物理地和电地耦合到板302。在另外的实施方式中,通信芯片306是处理器304的一部分。
取决于计算设备300的应用,计算设备300可以包括其它部件,这些部件可以或可以不物理地和电地耦合到板302。这些其它部件包括但不限于,易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、闪存存储器、图形处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编解码器、视频编解码器、功率放大器、全球定位系统(GPS)设备、罗盘、加速度计、陀螺仪、扬声器、照相机、以及大容量存储设备(例如,硬盘驱动器、光盘(CD)、数字多功能盘(DVD)等)。
通信芯片306使能用于来往于计算设备300的数据的传输的无线通信。术语“无线”及其派生词可以用于描述可以通过使用经由非固体介质调制的电磁辐射来传送数据的电路、设备、系统、方法、技术、通信信道等。该术语并不暗示相关联的设备不包含任何接线,尽管在一些实施例中它们可以不包含接线。通信芯片306可以实施多种无线标准或协议中的任何无线标准或协议,包括但不限于:Wi-Fi(IEEE 802.11族)、WiMAX(IEEE 802.16族)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、及其派生物,以及被称为3G、4G、5G或更高代的任何其它无线协议。计算设备300可以包括多个通信芯片306。例如,第一通信芯片306可以专用于诸如Wi-Fi和蓝牙等较短距离无线通信,并且第二通信芯片306可以专用于诸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等较长距离无线通信。
计算设备300的处理器304包括封装在处理器304内的集成电路管芯。在本说明书的一些实施方式中,处理器的集成电路管芯包括一个或多个器件,例如,根据本说明书的实施方式所构建的纳米线晶体管。术语“处理器”可以指代处理来自寄存器和/或存储器的电子数据以将该电子数据转换成可以被存储在寄存器和/或存储器中的其它电子数据的任何设备或设备的部分。
通信芯片306还包括封装在通信芯片306内的集成电路管芯。根据本说明书的另一个实施方式,通信芯片的集成电路管芯包括一个或多个器件,例如,根据本说明书的实施方式所构建的纳米线晶体管。
在另外的实施方式中,计算设备300内所容纳的另一个部件可以包含集成电路管芯,该集成电路管芯包括一个或多个器件,例如,根据本说明书的实施方式所构建的纳米线晶体管。
在各种实施方式中,计算设备300可以是膝上型电脑、上网本、笔记本、超级本、智能电话、平板电脑、个人数字助理(PDA)、超级移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数字照相机、便携式音乐播放器、或数字视频录像机。在另外的实施方式中,计算设备300可以是处理数据的任何其它电子设备。
要理解的是,本说明书的主题未必限于图1-19中所图示的特定应用。本领域的技术人员将要理解,可以将该主题应用于其它微电子器件和组件应用以及任何适当的晶体管应用。
以下示例涉及进一步的实施例,其中,示例1是一种形成纳米线晶体管的方法,包括:提供微电子结构,所述微电子结构具有:设置在衬底上的鳍结构,其具有多个沟道纳米线;邻接所述鳍结构的一部分的栅极电极,其中,所述栅极电极围绕所述鳍结构中的所述多个沟道纳米线中的每个沟道纳米线;邻接所述栅极电极的一端的牺牲间隔体,其中,所述间隔体邻接所述鳍结构中的包括由牺牲材料分开的所述沟道纳米线的部分;以及邻接所述鳍结构的一端和所述牺牲间隔体的源极和漏极的其中之一;去除所述牺牲间隔体;从所述沟道纳米线之间去除所述牺牲材料;以及沉积电介质材料以形成间隔体,其中,所述电介质材料设置在所述沟道纳米线之间。
在示例2中,示例1的主题可以可选地包括沉积电介质材料以形成间隔体,这包括沉积低k电介质材料以形成间隔体。
在示例3中,示例1至2中的任一个的主题可以可选地包括牺牲间隔体,牺牲间隔体包括二氧化硅、氮化硅和氮氧化硅中的至少一种。
在示例4中,示例1至3中的任一个的主题可以可选地包括去除间隔体的一部分以在沟道纳米线之间界定内部间隔体;以及沉积与内部间隔体的电介质材料不同的另一种电介质材料,以在栅极电极与源极和漏极的其中之一之间形成外部间隔体,其中,外部间隔体围绕内部间隔体和沟道纳米线。
在示例5中,示例1至4中的任一个的主题可以可选地包括牺牲材料,牺牲材料包括硅,并且其中,沟道纳米线包括硅锗。
在示例6中,示例1至4中的任一个的主题可以可选地包括牺牲材料,牺牲材料包括硅锗层,并且其中,沟道纳米线包括硅。
以下示例涉及进一步的实施例,其中,示例7是一种形成纳米线晶体管的方法,包括:提供微电子结构,所述微电子结构具有:设置在衬底上的鳍结构,其具有多个沟道纳米线;邻接所述鳍结构的一部分的栅极电极,其中,所述栅极电极围绕所述鳍结构中的所述多个沟道纳米线中的每个沟道纳米线;邻接所述栅极电极的一端的第一牺牲间隔体,其中,所述第一间隔体邻接所述鳍结构中的包括由牺牲材料分开的所述沟道纳米线的部分;邻接所述栅极电极的另一端的第二牺牲间隔体,其中,所述第二牺牲间隔体邻接所述鳍结构中的包括由所述牺牲材料分开的所述多个沟道纳米线的另一部分;邻接所述鳍结构的一端和所述第一牺牲间隔体的源极;以及邻接所述鳍结构的相对端和所述第二牺牲间隔体的漏极;去除所述第一牺牲间隔体和所述第二牺牲间隔体;从所述沟道纳米线之间去除所述牺牲材料;以及沉积电介质材料以形成第一间隔体和第二间隔体,其中,所述电介质材料设置在所述沟道纳米线之间。
在示例8中,示例7的主题可以可选地包括沉积电介质材料以形成第一间隔体和第二间隔体,这包括沉积k电介质材料以形成第一间隔体和第二间隔体。
在示例9中,示例7至8中的任一个的主题可以可选地包括第一牺牲间隔体和第二牺牲间隔体的至少其中之一,牺牲间隔体包括二氧化硅、氮化硅和氮氧化硅中的至少一种。
在示例10中,示例7至9中的任一个的主题可以可选地包括去除第一间隔体的一部分和第二间隔体的一部分以在沟道纳米线之间界定内部间隔体;以及沉积与内部间隔体的电介质材料不同的电介质材料以在栅极电极和源极之间形成第一外部间隔体并且在栅极电极之间形成第二外部间隔体,其中,第一外部间隔体和第二外部间隔体围绕内部间隔体和沟道纳米线。
在示例11中,示例7至10中的任一个的主题可以可选地包括牺牲材料,所述牺牲材料包括硅,并且其中,沟道纳米线包括硅锗。
在示例12中,示例7至10中的任一个的主题可以可选地包括牺牲材料,所述牺牲材料包括硅锗层,并且其中,沟道纳米线包括硅。
以下示例涉及进一步的实施例,其中,示例13是一种形成纳米线晶体管的方法,包括:形成微电子衬底;在所述微电子衬底上形成包括至少一个牺牲材料层和至少一个沟道材料层的堆叠层;形成由分层的堆叠体所形成的至少一个鳍结构;跨越所述鳍结构形成至少两个牺牲间隔体;在所述至少两个牺牲间隔体之间形成牺牲栅极材料;去除所述鳍结构的在所述牺牲栅极材料和所述牺牲间隔体外部的部分,以暴露所述微电子衬底的部分;在所述鳍结构的相对端上的微电子衬底部分上形成源极结构和漏极结构;在所述源极结构和所述漏极结构上方形成层间电介质层;从所述牺牲间隔体之间去除所述牺牲栅极材料;从所述沟道材料层之间选择性地去除所述牺牲材料层,以形成至少一个沟道纳米线;形成栅极电介质材料以在所述至少两个牺牲间隔体之间围绕所述沟道纳米线;在所述栅极电介质材料上形成栅极电极;去除所述至少两个牺牲间隔体;在所述沟道纳米线之间选择性地去除所述牺牲材料层;以及沉积电介质材料,以形成至少一个间隔体,其中,所述电介质材料设置在所述沟道纳米线之间。
在示例14中,示例13的主题可以可选地包括沉积电介质材料以形成至少一个间隔体,这包括沉积低k电介质材料以形成至少一个间隔体。
在示例15中,示例13至14中的任一个的主题可以可选地包括至少两个牺牲间隔体的至少其中之一,牺牲间隔体包括二氧化硅、氮化硅和氮氧化硅中的至少一种。
在示例16中,示例13至15中的任一个的主题可以可选地包括去除至少一个间隔体的一部分以在沟道纳米线之间界定内部间隔体;以及沉积与内部间隔体的电介质材料不同的另一种电介质材料,以形成围绕内部间隔体和沟道纳米线的至少一个外部间隔体。
在示例17中,示例13至16中的任一个的主题可以可选地包括在微电子衬底上形成具有至少一个牺牲材料层和至少一个沟道材料层的堆叠层,这包括在微电子衬底上形成包括至少一个硅牺牲层和至少一个硅锗沟道层的堆叠层。
在示例18中,示例13至16中的任一个的主题可以可选地包括在微电子衬底上形成具有至少一个牺牲材料层和至少一个沟道材料层的堆叠层,这包括在微电子衬底上形成包括至少一个硅锗牺牲层和至少一个硅沟道层的堆叠层。
已经这样详细描述了本说明书的实施例,但要理解,由附属权利要求定义的本说明书不受以上说明书中阐述的特定细节的限制,因为在不脱离其精神或范围的情况下,其很多明显变化都是可能的。
Claims (15)
1.一种形成纳米线晶体管的方法,包括:
提供微电子结构,所述微电子结构具有:
设置在衬底上的鳍结构,所述鳍结构具有多个沟道纳米线;
邻接所述鳍结构的一部分的栅极结构,其中,所述栅极结构包括栅极电介质和栅极电极,所述栅极电介质围绕所述鳍结构中的所述多个沟道纳米线中的每个沟道纳米线,所述栅极电极邻接所述栅极电介质;
邻接所述栅极电极的一端的牺牲间隔体,其中,所述牺牲间隔体邻接所述鳍结构中的包括由牺牲材料分开的所述沟道纳米线的部分;以及
源极和漏极的其中之一,其邻接所述鳍结构的一端和所述牺牲间隔体;
去除所述牺牲间隔体;
从所述沟道纳米线之间去除所述牺牲材料;
沉积电介质材料以形成间隔体,其中,所述电介质材料设置在所述沟道纳米线之间;
去除所述间隔体的一部分,以在所述沟道纳米线之间界定内部间隔体;以及
沉积与所述内部间隔体的电介质材料不同的另一种电介质材料,以在所述栅极电极与所述源极和漏极的所述其中之一之间形成外部间隔体,其中,所述外部间隔体围绕所述内部间隔体和所述沟道纳米线,并且其中,界定所述内部间隔体和形成所述外部间隔体都发生在形成所述源极和所述漏极之后。
2.根据权利要求1所述的方法,其中,沉积所述电介质材料以形成所述间隔体包括沉积低k电介质材料以形成所述间隔体。
3.根据权利要求1所述的方法,其中,所述牺牲间隔体包括二氧化硅、氮化硅和氮氧化硅中的至少一种。
4.根据权利要求1-3中的任一项所述的方法,其中,所述牺牲材料包括硅,并且其中,所述沟道纳米线包括硅锗。
5.根据权利要求1-3中的任一项所述的方法,其中,所述牺牲材料包括硅锗层,并且其中,所述沟道纳米线包括硅。
6.一种形成纳米线晶体管的方法,包括:
提供微电子结构,所述微电子结构具有:
设置在衬底上的鳍结构,所述鳍结构具有多个沟道纳米线;
邻接所述鳍结构的一部分的栅极结构,其中,所述栅极结构包括栅极电介质和栅极电极,所述栅极电介质围绕所述鳍结构中的所述多个沟道纳米线中的每个沟道纳米线,所述栅极电极邻接所述栅极电介质;
邻接所述栅极电极的一端的第一牺牲间隔体,所述第一牺牲间隔体邻接所述鳍结构中的包括由牺牲材料分开的所述沟道纳米线的部分;
邻接所述栅极电极的另一端的第二牺牲间隔体,所述第二牺牲间隔体邻接所述鳍结构中的包括由所述牺牲材料分开的所述多个沟道纳米线的另一部分;
邻接所述鳍结构的一端和所述第一牺牲间隔体的源极;以及
邻接所述鳍结构的相对端和所述第二牺牲间隔体的漏极;
去除所述第一牺牲间隔体和所述第二牺牲间隔体;
从所述沟道纳米线之间去除所述牺牲材料;
沉积电介质材料以形成第一间隔体和第二间隔体,其中,所述电介质材料设置在所述沟道纳米线之间;
去除所述第一间隔体的一部分和所述第二间隔体的一部分,以在所述沟道纳米线之间界定内部间隔体;以及
沉积与所述内部间隔体的电介质材料不同的电介质材料,以在所述栅极电极与所述源极之间形成第一外部间隔体,并且在所述栅极电极与所述漏极之间形成第二外部间隔体,其中,所述第一外部间隔体和所述第二外部间隔体围绕所述内部间隔体和所述沟道纳米线,并且其中,界定所述内部间隔体和形成所述第一外部间隔体和所述第二外部间隔体都发生在形成所述源极和所述漏极之后。
7.根据权利要求6所述的方法,其中,沉积所述电介质材料以形成所述第一间隔体和所述第二间隔体包括沉积低k电介质材料以形成所述第一间隔体和所述第二间隔体。
8.根据权利要求6所述的方法,其中,所述第一牺牲间隔体和所述第二牺牲间隔体的至少其中之一包括二氧化硅、氮化硅和氮氧化硅中的至少一种。
9.根据权利要求6-8中的任一项所述的方法,其中,所述牺牲材料包括硅,并且其中,所述沟道纳米线包括硅锗。
10.根据权利要求6-8中的任一项所述的方法,其中,所述牺牲材料包括硅锗层,并且其中,所述沟道纳米线包括硅。
11.一种形成纳米线晶体管的方法,包括:
形成微电子衬底;
在所述微电子衬底上形成包括至少一个牺牲材料层和至少一个沟道材料层的堆叠层;
形成由分层的堆叠体所形成的至少一个鳍结构;
跨越所述鳍结构形成至少两个牺牲间隔体;
在所述至少两个牺牲间隔体之间形成牺牲栅极材料;
去除所述鳍结构的在所述牺牲栅极材料和所述牺牲间隔体外部的部分,以暴露所述微电子衬底的部分;
在所述鳍结构的相对端上的微电子衬底部分上形成源极结构和漏极结构;
在所述源极结构和所述漏极结构上方形成层间电介质层;
从所述牺牲间隔体之间去除所述牺牲栅极材料;
从所述沟道材料层之间选择性地去除所述牺牲材料层,以形成至少一个沟道纳米线;
形成栅极电介质材料以围绕所述至少两个牺牲间隔体之间的所述沟道纳米线;
在所述栅极电介质材料上形成栅极电极;
去除所述至少两个牺牲间隔体;
在所述沟道纳米线之间选择性地去除所述牺牲材料层;
沉积电介质材料,以形成至少一个间隔体,其中,所述电介质材料设置在所述沟道纳米线之间;
去除所述至少一个间隔体的一部分,以在所述沟道纳米线之间界定至少一个内部间隔体;以及
沉积与所述至少一个内部间隔体的电介质材料不同的电介质材料,以在所述栅极电极和所述源极或漏极结构之间形成至少一个外部间隔体,其中所述至少一个外部间隔体围绕所述至少一个内部间隔体和所述沟道纳米线,并且其中,界定所述至少一个内部间隔体和形成所述至少一个外部间隔体都发生在形成所述源极结构和所述漏极结构之后。
12.根据权利要求11所述的方法,其中,沉积所述电介质材料以形成所述至少一个间隔体包括沉积低k电介质材料以形成所述至少一个间隔体。
13.根据权利要求11所述的方法,其中,所述至少两个牺牲间隔体的至少其中之一包括二氧化硅、氮化硅和氮氧化硅中的至少一种。
14.根据权利要求11-13中的任一项所述的方法,其中,在所述微电子衬底上形成包括至少一个牺牲材料层和至少一个沟道材料层的堆叠层包括:在所述微电子衬底上形成包括至少一个硅牺牲层和至少一个硅锗沟道层的所述堆叠层。
15.根据权利要求11-13中的任一项所述的方法,其中,在所述微电子衬底上形成包括至少一个牺牲材料层和至少一个沟道材料层的堆叠层包括:在所述微电子衬底上形成包括至少一个硅锗牺牲层和至少一个硅沟道层的所述堆叠层。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
PCT/US2013/063186 WO2015050546A1 (en) | 2013-10-03 | 2013-10-03 | Internal spacers for nanowire transistors and method of fabrication thereof |
Publications (2)
Publication Number | Publication Date |
---|---|
CN105518840A CN105518840A (zh) | 2016-04-20 |
CN105518840B true CN105518840B (zh) | 2020-06-12 |
Family
ID=52779000
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201380079334.6A Active CN105518840B (zh) | 2013-10-03 | 2013-10-03 | 用于纳米线晶体管的内部间隔体及其制造方法 |
Country Status (6)
Country | Link |
---|---|
US (2) | US9508796B2 (zh) |
EP (1) | EP3053185A4 (zh) |
KR (1) | KR102136234B1 (zh) |
CN (1) | CN105518840B (zh) |
TW (2) | TWI556435B (zh) |
WO (1) | WO2015050546A1 (zh) |
Families Citing this family (53)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2015050546A1 (en) | 2013-10-03 | 2015-04-09 | Intel Corporation | Internal spacers for nanowire transistors and method of fabrication thereof |
US9917169B2 (en) * | 2014-07-02 | 2018-03-13 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and method of formation |
US10396152B2 (en) * | 2014-07-25 | 2019-08-27 | International Business Machines Corporation | Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction |
US9653287B2 (en) * | 2014-10-30 | 2017-05-16 | Samsung Electronics Co., Ltd. | S/D connection to individual channel layers in a nanosheet FET |
WO2017044107A1 (en) | 2015-09-10 | 2017-03-16 | Intel Corporation | Semiconductor nanowire device having cavity spacer and method of fabricating cavity spacer for semiconductor nanowire device |
US9437501B1 (en) * | 2015-09-22 | 2016-09-06 | International Business Machines Corporation | Stacked nanowire device width adjustment by gas cluster ion beam (GCIB) |
WO2017052617A1 (en) * | 2015-09-25 | 2017-03-30 | Intel Corporation | Semiconductor device contacts with increased contact area |
US9853101B2 (en) | 2015-10-07 | 2017-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained nanowire CMOS device and method of forming |
US10672868B2 (en) | 2015-12-24 | 2020-06-02 | Intel Corporation | Methods of forming self aligned spacers for nanowire device structures |
US10074730B2 (en) * | 2016-01-28 | 2018-09-11 | International Business Machines Corporation | Forming stacked nanowire semiconductor device |
US20190058043A1 (en) * | 2016-03-30 | 2019-02-21 | Intel Corporation | Transistor gate-channel arrangements |
US10388729B2 (en) * | 2016-05-16 | 2019-08-20 | Globalfoundries Inc. | Devices and methods of forming self-aligned, uniform nano sheet spacers |
US9905672B2 (en) | 2016-05-23 | 2018-02-27 | Samsung Electronics Co., Ltd. | Method of forming internal dielectric spacers for horizontal nanosheet FET architectures |
FR3051970B1 (fr) * | 2016-05-25 | 2020-06-12 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Realisation d'une structure de canal formee d'une pluralite de barreaux semi-conducteurs contraints |
US9691851B1 (en) * | 2016-06-10 | 2017-06-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10236362B2 (en) * | 2016-06-30 | 2019-03-19 | International Business Machines Corporation | Nanowire FET including nanowire channel spacers |
US10332986B2 (en) | 2016-08-22 | 2019-06-25 | International Business Machines Corporation | Formation of inner spacer on nanosheet MOSFET |
US9941118B2 (en) * | 2016-08-22 | 2018-04-10 | International Business Machines Corporation | Dense vertical nanosheet |
FR3057702B1 (fr) * | 2016-10-13 | 2018-12-07 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de fabrication d'un transistor a effet de champ a grille enrobante |
US9853114B1 (en) | 2016-10-24 | 2017-12-26 | Samsung Electronics Co., Ltd. | Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same |
US10312152B2 (en) | 2016-10-24 | 2019-06-04 | Samsung Electronics Co., Ltd. | Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same |
US10347742B2 (en) * | 2016-11-14 | 2019-07-09 | Tokyo Electron Limited | Method of forming gate spacer for nanowire FET device |
CN111370466A (zh) | 2016-11-21 | 2020-07-03 | 华为技术有限公司 | 一种场效应晶体管及其制作方法 |
CN108231591B (zh) * | 2016-12-09 | 2021-05-04 | Imec 非营利协会 | 形成纳米线内间隔的方法 |
CN108231589B (zh) | 2016-12-09 | 2020-06-05 | Imec 非营利协会 | 纳米线半导体器件中内间隔的形成 |
FR3060839B1 (fr) | 2016-12-15 | 2019-05-31 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de realisation d'un dispositif semi-conducteur a nanofil et espaceurs externe et interne alignes |
WO2018164656A1 (en) * | 2017-03-06 | 2018-09-13 | Intel Corporation | Quantum nanowire devices |
KR101838910B1 (ko) * | 2017-03-22 | 2018-04-26 | 한국과학기술원 | 터널링 전계효과 트랜지스터의 제조 방법 및 초 저전력 전열처리를 통한 터널링 전계효과 트랜지스터의 구동전류를 향상시키는 방법 |
WO2018200006A1 (en) * | 2017-04-29 | 2018-11-01 | Intel Corporation | Quantum nanowire devices |
EP3425673A1 (en) * | 2017-07-04 | 2019-01-09 | IMEC vzw | Germanium nanowire fabrication |
US10211307B2 (en) | 2017-07-18 | 2019-02-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement |
CN109390400A (zh) * | 2017-08-08 | 2019-02-26 | 中芯国际集成电路制造(上海)有限公司 | 环栅场效应晶体管及其形成方法 |
DE112017007991T5 (de) * | 2017-08-21 | 2020-06-04 | Intel Corporation | Selbstausgerichteter nanodraht |
KR102353251B1 (ko) * | 2017-09-28 | 2022-01-19 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US10553495B2 (en) * | 2017-10-19 | 2020-02-04 | International Business Machines Corporation | Nanosheet transistors with different gate dielectrics and workfunction metals |
US10818777B2 (en) * | 2017-10-30 | 2020-10-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
FR3073666B1 (fr) * | 2017-11-14 | 2019-11-22 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de fabrication d'un transistor a effet de champ |
US10355102B2 (en) * | 2017-11-15 | 2019-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing the same |
US10714391B2 (en) * | 2017-12-04 | 2020-07-14 | Tokyo Electron Limited | Method for controlling transistor delay of nanowire or nanosheet transistor devices |
CN109979986B (zh) * | 2017-12-28 | 2022-04-15 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
US10367061B1 (en) | 2018-03-30 | 2019-07-30 | International Business Machines Corporation | Replacement metal gate and inner spacer formation in three dimensional structures using sacrificial silicon germanium |
US10566445B2 (en) | 2018-04-03 | 2020-02-18 | International Business Machines Corporation | Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates |
US10971585B2 (en) | 2018-05-03 | 2021-04-06 | International Business Machines Corporation | Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates |
US11404578B2 (en) * | 2018-06-22 | 2022-08-02 | Intel Corporation | Dielectric isolation layer between a nanowire transistor and a substrate |
US11342411B2 (en) | 2018-06-29 | 2022-05-24 | Intel Corporation | Cavity spacer for nanowire transistors |
US10692991B2 (en) | 2018-09-06 | 2020-06-23 | Globalfoundries Inc. | Gate-all-around field effect transistors with air-gap inner spacers and methods |
US11830933B2 (en) * | 2019-01-04 | 2023-11-28 | Intel Corporation | Gate-all-around integrated circuit structures having depopulated channel structures using bottom-up oxidation approach |
US12094972B2 (en) | 2019-05-08 | 2024-09-17 | Globalfoundries U.S. Inc. | Gate-all-around field effect transistors having end portions of nanosheet channel layers adjacent to source/drain regions being wider than the center portions |
US10903317B1 (en) | 2019-08-07 | 2021-01-26 | Globalfoundries U.S. Inc. | Gate-all-around field effect transistors with robust inner spacers and methods |
US11456368B2 (en) * | 2019-08-22 | 2022-09-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with hard mask layer over fin structure and method for forming the same |
US11387319B2 (en) * | 2019-09-11 | 2022-07-12 | International Business Machines Corporation | Nanosheet transistor device with bottom isolation |
US11251280B2 (en) | 2019-12-17 | 2022-02-15 | International Business Machines Corporation | Strained nanowire transistor with embedded epi |
US20210233909A1 (en) * | 2020-01-24 | 2021-07-29 | Qualcomm Incorporated | Flexible gaa nanosheet height and channel materials |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102623383A (zh) * | 2012-03-31 | 2012-08-01 | 上海华力微电子有限公司 | 基于体硅的纵向堆叠式后栅型SiNWFET制备方法 |
CN103238208A (zh) * | 2010-12-01 | 2013-08-07 | 英特尔公司 | 硅和硅锗纳米线结构 |
Family Cites Families (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6897098B2 (en) * | 2003-07-28 | 2005-05-24 | Intel Corporation | Method of fabricating an ultra-narrow channel semiconductor device |
US7211844B2 (en) | 2004-01-29 | 2007-05-01 | International Business Machines Corporation | Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage |
JP4796329B2 (ja) * | 2004-05-25 | 2011-10-19 | 三星電子株式会社 | マルチ−ブリッジチャンネル型mosトランジスタの製造方法 |
KR100618900B1 (ko) * | 2005-06-13 | 2006-09-01 | 삼성전자주식회사 | 다중 채널을 갖는 모스 전계효과 트랜지스터의 제조방법 및그에 따라 제조된 다중 채널을 갖는 모스 전계효과트랜지스터 |
TW200807664A (en) | 2006-07-20 | 2008-02-01 | Advanced Semiconductor Eng | Method for manufacturing a chip carrier |
KR100801063B1 (ko) * | 2006-10-02 | 2008-02-04 | 삼성전자주식회사 | 게이트 올 어라운드형 반도체 장치 및 그 제조 방법 |
US7923337B2 (en) * | 2007-06-20 | 2011-04-12 | International Business Machines Corporation | Fin field effect transistor devices with self-aligned source and drain regions |
US7884004B2 (en) * | 2009-02-04 | 2011-02-08 | International Business Machines Corporation | Maskless process for suspending and thinning nanowires |
US7893492B2 (en) * | 2009-02-17 | 2011-02-22 | International Business Machines Corporation | Nanowire mesh device and method of fabricating same |
US8084308B2 (en) * | 2009-05-21 | 2011-12-27 | International Business Machines Corporation | Single gate inverter nanowire mesh |
US8097515B2 (en) * | 2009-12-04 | 2012-01-17 | International Business Machines Corporation | Self-aligned contacts for nanowire field effect transistors |
US8394710B2 (en) * | 2010-06-21 | 2013-03-12 | International Business Machines Corporation | Semiconductor devices fabricated by doped material layer as dopant source |
US20120199886A1 (en) | 2011-02-03 | 2012-08-09 | International Business Machines Corporation | Sealed air gap for semiconductor chip |
CN102214596B (zh) * | 2011-05-26 | 2012-08-29 | 北京大学 | 一种以空气为侧墙的围栅硅纳米线晶体管的制备方法 |
US8637359B2 (en) * | 2011-06-10 | 2014-01-28 | International Business Machines Corporation | Fin-last replacement metal gate FinFET process |
US8551833B2 (en) * | 2011-06-15 | 2013-10-08 | International Businesss Machines Corporation | Double gate planar field effect transistors |
US8563376B2 (en) * | 2011-12-16 | 2013-10-22 | International Business Machines Corporation | Hybrid CMOS nanowire mesh device and bulk CMOS device |
US8709888B2 (en) * | 2011-12-16 | 2014-04-29 | International Business Machines Corporation | Hybrid CMOS nanowire mesh device and PDSOI device |
CN104126222B (zh) * | 2011-12-23 | 2017-06-13 | 英特尔公司 | 单轴应变纳米线结构 |
US9012284B2 (en) * | 2011-12-23 | 2015-04-21 | Intel Corporation | Nanowire transistor devices and forming techniques |
US8901659B2 (en) * | 2012-02-09 | 2014-12-02 | International Business Machines Corporation | Tapered nanowire structure with reduced off current |
US8674342B2 (en) * | 2012-02-27 | 2014-03-18 | International Business Machines Corporation | Pad-less gate-all around semiconductor nanowire FETs on bulk semiconductor wafers |
US9484447B2 (en) * | 2012-06-29 | 2016-11-01 | Intel Corporation | Integration methods to fabricate internal spacers for nanowire devices |
GB2526463B (en) * | 2013-03-14 | 2018-05-30 | Intel Corp | Leakage reduction structures for nanowire transistors |
WO2015050546A1 (en) | 2013-10-03 | 2015-04-09 | Intel Corporation | Internal spacers for nanowire transistors and method of fabrication thereof |
US9620590B1 (en) * | 2016-09-20 | 2017-04-11 | International Business Machines Corporation | Nanosheet channel-to-source and drain isolation |
-
2013
- 2013-10-03 WO PCT/US2013/063186 patent/WO2015050546A1/en active Application Filing
- 2013-10-03 EP EP13894996.1A patent/EP3053185A4/en not_active Ceased
- 2013-10-03 CN CN201380079334.6A patent/CN105518840B/zh active Active
- 2013-10-03 KR KR1020167005655A patent/KR102136234B1/ko active IP Right Grant
- 2013-10-03 US US14/916,093 patent/US9508796B2/en active Active
-
2014
- 2014-09-24 TW TW103132993A patent/TWI556435B/zh active
- 2014-09-24 TW TW105126600A patent/TWI643340B/zh active
-
2016
- 2016-10-26 US US15/335,269 patent/US9935205B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103238208A (zh) * | 2010-12-01 | 2013-08-07 | 英特尔公司 | 硅和硅锗纳米线结构 |
CN102623383A (zh) * | 2012-03-31 | 2012-08-01 | 上海华力微电子有限公司 | 基于体硅的纵向堆叠式后栅型SiNWFET制备方法 |
Also Published As
Publication number | Publication date |
---|---|
EP3053185A4 (en) | 2017-05-17 |
US20160211322A1 (en) | 2016-07-21 |
US20170047452A1 (en) | 2017-02-16 |
US9508796B2 (en) | 2016-11-29 |
WO2015050546A1 (en) | 2015-04-09 |
EP3053185A1 (en) | 2016-08-10 |
US9935205B2 (en) | 2018-04-03 |
KR20160064079A (ko) | 2016-06-07 |
KR102136234B1 (ko) | 2020-07-21 |
CN105518840A (zh) | 2016-04-20 |
TWI643340B (zh) | 2018-12-01 |
TW201526238A (zh) | 2015-07-01 |
TW201717394A (zh) | 2017-05-16 |
TWI556435B (zh) | 2016-11-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9935205B2 (en) | Internal spacers for nanowire transistors and method of fabrication thereof | |
US9614060B2 (en) | Nanowire transistor with underlayer etch stops | |
US12046637B2 (en) | Nanowire transistor fabrication with hardmask layers | |
US11869939B2 (en) | Integration methods to fabricate internal spacers for nanowire devices |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant | ||
TR01 | Transfer of patent right |
Effective date of registration: 20210721 Address after: California, USA Patentee after: GOOGLE Inc. Address before: California, USA Patentee before: INTEL Corp. |
|
TR01 | Transfer of patent right |