CN114242764A - 形成器件的方法和形成纳米线器件的方法 - Google Patents

形成器件的方法和形成纳米线器件的方法 Download PDF

Info

Publication number
CN114242764A
CN114242764A CN202111293250.5A CN202111293250A CN114242764A CN 114242764 A CN114242764 A CN 114242764A CN 202111293250 A CN202111293250 A CN 202111293250A CN 114242764 A CN114242764 A CN 114242764A
Authority
CN
China
Prior art keywords
source
silicon
forming
nanowire
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111293250.5A
Other languages
English (en)
Inventor
K·J·库恩
S·金
R·里奥斯
S·M·赛亚
M·D·贾尔斯
A·卡佩尔拉尼
T·拉克什特
P·常
W·瑞驰梅迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority claimed from CN201180058034.0A external-priority patent/CN103238208B/zh
Publication of CN114242764A publication Critical patent/CN114242764A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Silicon Compounds (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及形成器件的方法和形成纳米线器件的方法。其中,形成器件的方法可包括:在衬底上形成外延硅锗;在所述外延硅锗上形成外延硅;对布置在所述外延硅锗上的所述外延硅布图以形成鳍结构;在所述鳍结构上方形成牺牲栅电极;在所述牺牲栅电极的侧壁附近以及所述鳍结构上方形成间隔物;从所述衬底上的源极/漏极区去除所述鳍结构的半导体部分,然后在所述源极/漏极区上形成源极/漏极结构,其中所述源极/漏极区位于所述间隔物附近;从所述间隔物之间去除所述牺牲栅电极;以及从位于所述间隔物之间的所述鳍结构去除所述硅和所述外延硅锗中的一者。

Description

形成器件的方法和形成纳米线器件的方法
本申请是申请日为2011年11月23日、发明名称为“硅和硅锗纳米线结构”的申请号为201610421227.2的专利申请(下文称“子案”)的分案申请。
本申请是在国家知识产权局认为上述子案不符合单一性要求的情况下提出的,具体涉及所述子案的第1次审查意见通知书,其发文日为2018 年6月28日、发文序号为2018062501954570。
此外,上述子案是第201180058034.0号专利申请(下文称“母案”) 的分案申请,该母案的申请日是2011年11月23日,发明名称是“硅和硅锗纳米线结构”。
背景
随着微电子器件尺寸攀升过15nm的节点,保持迁移率改善和短沟道控制对器件制造提出了挑战。用于制造器件的纳米线提供改善的短沟道控制。例如,硅锗(Si x Ge 1-x)纳米线沟道结构(其中x<0.5)提供在可观的Eg下的迁移率改善,这适用于利用较高电压操作的许多传统产品。此外,硅锗(Si x Ge 1-x)纳米线沟道(其中x>0.5)在较低Eg下提供改善的迁移率(适用于例如移动/手持领域内的低电压产品)。
附图件数
尽管说明书以特别指出和独立地要求某些实施例的权利要求书作为结尾,但各实施例的优势可从下面结合附图对实施例的描述中得到更好的理解,在附图中:
图1a-1n示出根据各实施例形成结构的方法。
图2a-2i示出根据各实施例形成结构的方法。
图3a-3g示出根据各实施例形成结构的方法。
图4a-4m示出根据各实施例形成结构的方法。
图5a-5d示出根据各实施例形成结构的方法。
图6示出根据各实施例的系统。
详细描述
在对本发明实施例的如下详细描述中,对示出可投入实践的特定实施例的附图作出参照。充分详细地描述这些实施例,以使本领域技术人员将这些实施例投入实践。要理解,各实施例尽管是不同的,但不一定是相互排斥的。例如,这里结合一个实施例描述的特定特征、结构或特性可在其它实施例中实现而不脱离它们的精神和范围。另外要理解,可修正各公开实施例中的各个要素的位置或配置而不脱离它们的精神和范围。因此,下面的详细描述不具有限定意义,并且各实施例的范围仅由适当解释的所附权利权利要求连同这些权利要求授权的等效物的全部范围来定义。在附图中,相同的附图标记贯穿若干附图地表示相同或相似的功能。
描述了形成和利用诸如纳米线器件结构之类的微电子结构的方法和相关结构。这些方法和结构可包括:形成包含衬底的纳米线器件,该衬底包括源极/漏极结构,该源极/漏极结构包括在源极/漏极结构之间的纳米线,其中该纳米线沟道结构在彼此之上垂直地层叠。这里包括的各实施例随着器件尺寸攀升过15nm这一节点时允许迁移率改善和短沟道控制。这些实施例进一步改善了沟道与衬底的绝缘,缓解了与间隔物间隙间距相关的电容以及通过纳米线的垂直架构扩展(scaling)。
图1a-1n示出形成微电子结构(例如形成纳米线器件结构)的实施例。图1a示出一衬底100。在一个实施例中,衬底100可包括大块硅衬底100。在其它实施例中,衬底100可包括绝缘体衬底上硅(SOI)100,但也可包括任何类型的适宜的衬底材料。在一实施例中,第一硅锗102材料可通过外延生长在衬底100上生长。在一实施例中,第一硅材料104外延生长在外延的第一硅锗102上。第二硅锗层102’可形成在第一硅层102 上,并且第二硅层104’可形成在第二硅锗102’上。在另一实施例中,形成在衬底100上的交替的外延硅锗层102/外延硅层104的数目可根据具体应用而变化。在另一实施例中,可颠倒层顺序,即形成在衬底100上的外延硅104和外延硅锗102的交替层。
在一实施例中,可使用传统的布图/蚀刻技术(图1b)对硅锗/硅/硅锗/ 硅的外延叠层120进行布图。例如,叠层结构120可在沟槽蚀刻工艺中被蚀刻,例如在浅沟槽隔离(STI)工艺期间,其中沟槽101可形成在衬底 100内以形成鳍结构107。所形成的每个鳍结构107可通过氧化物103彼此隔开,氧化物103可形成在沟槽101中。
在一实施例中,鳍结构107可包括栅完全包围(GAA)纳米线器件的双沟道部分。器件中的沟道数目将依赖于鳍结构107中的层数。鳍结构 107可包括纳米线结构。间隔物106可形成在鳍结构107之上并横跨鳍结构107,并可相对于鳍结构107正交地设置(图1c)。在一实施例中,间隔物106可包括在对鳍结构107材料加工期间可选择的任何材料。
在一实施例中,栅电极材料108可形成在间隔物106内/之间,并可形成在鳍结构107位于间隔物106之间的诸个部分周围。在一实施例中,栅电极材料可形成在鳍结构107的部分周围,而间隔物106形成在栅的任一侧。在某些情形下,栅108可包括多晶硅,并可包括牺牲栅结构108。在一实施例中,可将一部分鳍结构107从衬底100去除以露出源极/漏极区109(图1d)。在一实施例中,可通过干蚀工艺蚀刻掉鳍结构107的一部分以使源极/漏极区109露出。在一实施例中,可蚀刻源极/漏极区109以使其终止在衬底100或底部线路(102或104)上。可利用供选择的下切湿蚀或干蚀工艺以根据具体器件需求去除栅极108区尖端(tip)重叠区内的附加材料。
在一实施例中,硅或硅锗源极漏极结构110可利用外延生长技术在源极/漏极区109中生长(图1e),并可耦合至鳍结构107位于间隔物106 之间的部分。在一实施例中,外延源极/漏极结构110可以是用于NMOS 器件的n掺杂硅,或者是用于PMOS器件的p掺杂硅/硅锗,这依赖于具体应用的器件类型。可通过注入、通过等离子掺杂、通过固体源掺杂或业内公知的其它方法将掺杂引入到外延工艺中。
可通过将掺杂以不同掺杂物种类和浓度的外延层结合来设计尖端和源极/漏极结。例如,当利用硅锗源极/漏极来向PMOS器件的硅沟道中添加应变时可在源极/漏极硅锗外延结构110生长之前首先生长硅止蚀层 /尖端112,从而避免在后继的硅锗蚀刻工艺中在源极/漏极区110中作出蚀刻(图1f)。换句话说,PMOS末梢材料需要对后继的硅锗蚀刻工艺具有抗性。
中间层电介质(ILD)可在源极/漏极结构110和栅极108、间隔物106 之上形成在衬底100(未示出)上。在一实施例中,牺牲多晶栅极108的顶部可通过化学机械抛光(CMP)而敞开。然后将牺牲栅电极材料108从间隔物材料106之间除去(图1g)。图1h示出间隔物106之间的内侧图,其中鳍结构107被设置在两个间隔物(图中仅示出一个)之间。在一实施例中,可选择地将硅层104、104’从鳍结构107去除以在硅锗沟道102、 102’之间打开间隙111(图1i)。在一实施例中,可选择地通过湿蚀对硅层104、104’进行蚀刻,该湿蚀选择性地去除硅104、104’同时不蚀刻硅锗纳米线结构102、102’。可利用诸如亲水氢氧化物化学药剂(包括氢氧化铵和氢氧化钾)之类的蚀刻化学药剂来选择性地蚀刻硅。
在另一实施例中,可选择地将硅锗层102、102’从鳍结构107和从侧壁去除以在硅沟道层104、104’之间打开间隙113(图1j)。在一实施例中,可通过湿蚀选择性地蚀刻硅锗102、102’,该湿蚀选择性地去除硅锗而同时不蚀刻硅纳米线沟道104、104’。可利用诸如柠檬酸/硝酸/HF化学药剂以及柠檬酸/硝酸/HF之类的蚀刻化学药剂来选择性地蚀刻硅锗。因此,可将硅层从鳍结构107中去除,或者将硅锗层从鳍结构107去除以在间隔物106之间的沟道区内形成硅沟道纳米线104、104’结构。在一实施例中,硅和硅锗沟道材料可存在于同一晶片上、同一管芯内或同一电路上,例如逆变器结构中的NMOS Si和PMOS SiGe。在同一电路中具有NMOS Si和PMOS SiGe的实施例中,可相互选择Si沟道厚度(SiGe 中间层)和SiGe沟道厚度(Si中间层)以改善电路性能和/或电路最小工作电压。在一实施例中,可通过蚀刻工艺改变同一电路中的不同器件上的导线数以改善电路性能和/或电路最小工作电压。
可形成栅极电介质材料115以使其包围间隔物106之间的沟道区。在一实施例中,栅极电介质材料115可包括高k栅电极材料,其中介电常数可包括高于大约4的值。在一实施例中,栅极电介质材料115可完全包围间隔物106之间的硅纳米线结构104、104’共形地形成(图1k)。在另一实施例中,栅电极材料115可完全包围间隔物106之间的硅锗纳米线结构102、102’地形成(未示出)。
栅电极材料117然后可形成在栅极电介质材料115周围(图1l)。栅电极材料117可包括诸如纯金属和Ti、W、Ta、Al的合金的金属栅电极材料,包括诸如TaN、TiN的氮化物,还包括诸如Er、Dy的具有稀土的合金或诸如Pt的贵金属。硅纳米线结构104、104’之间的间隙113可用栅电极材料117填充。在另一实施例中,硅锗纳米线结构102、102’之间的间隙111可用栅电极材料117填充(未示出)。在一实施例中,可在衬底100上进一步执行标准CMOS加工以制造根据本文所述实施例的 CMOS器件。
在一实施例中,可形成NMOS和/或PMOS器件。图1m示出可形成的NMOS器件(示出一个硅沟道),其中沟槽触头119耦合至源极漏极结构110,该源极漏极结构110在一些情形下可以是硅掺杂的n+,这依赖于具体应用。硅外延尖端112在某些情形下可以是n-掺杂的并可设置在源极漏极结构110和衬底100之间。栅电极材料117可包围硅纳米线沟道104。
图1n示出PMOS器件(示出一个硅沟道104),其中沟槽触头119耦合至源极漏极结构110,该源极漏极结构110在一些情形下可以是硅锗掺杂的p+,这依赖于具体应用。在某些情形下可以是p-掺杂的硅外延尖端 /止蚀部120可设置在源极漏极结构110和衬底100之间。栅电极材料117 可围住硅沟道104,该硅沟道104在某些情形下可包括应变的硅沟道104。
在一些情形下,利用硅锗沟道结构(例如图1i中绘出的那些结构)的器件由于硅锗特性包含高载流子迁移率而具有优势。在一实施例中,栅完全包围硅锗沟道器件的工艺可类似于栅完全包围硅沟道器件工艺,除了外延层叠层120可能相反,也就是最初将硅材料104形成在衬底上并在硅上形成硅锗。由于硅下层将被选择性地去除至硅锗,因此源极/漏极可包括硅锗,并且牺牲栅电极材料下面的止蚀部也可包括硅锗以避免衬底蚀刻。
本文的实施例允许制造自对准的栅完全包围(GAA)硅和硅锗沟道晶体管结构和器件。由于短沟道效应(SCE)减少,纳米线沟道器件表现出较低的亚阈泄漏。GAA SiGe高迁移率沟道器件的实现例如抑制了SCE效应。(GAA)器件可最大化对沟道的静电栅控制。
在一实施例中,根据本文各实施例制造的器件可设置有增强的衬底绝缘。参见图2a,设置在衬底200上的底部纳米线沟道202在一些情况下可包括具有低劣子鳍泄漏的短三栅极。一种方案可包括在绝缘体上硅 (SOI)衬底201上形成器件(图2b-2c),其中源极/漏极结构210和纳米线结构204被设置在诸如氧化物材料203之类的绝缘体材料203上,而不是设置在大块硅衬底200上(如图2a所示)。通过使用SOI衬底201,可在纳米线鳍结构(类似于例如图1b中的纳米线鳍结构107)的硅锗蚀刻之后和在形成栅电极材料(类似于例如图1l中的栅电极材料117)之前通过蚀刻底部氧化物来限定底部纳米线204的几何形状。
例如,图2d示出蚀刻电介质以形成一条纳米线和一个三栅极结构,而图2e示出蚀刻电介质以形成包含两条纳米线的器件。在另一实施例中,可通过在沟槽蚀刻后在鳍207侧壁上形成鳍间隔物211而取得改善的衬底绝缘(图2f)。然后可执行第二沟槽蚀刻214以露出底部鳍区216,并可氧化底部鳍区216的硅部分(图2g)。因此,器件的底部纳米线可被设置在氧化物上以改善衬底绝缘。在另一实施例中,鳍间隔物211可在沟槽蚀刻和填充之后形成在鳍207侧壁上(图2h)。鳍207的底硅部216可在 STI凹口成形/氧化物填充之后被氧化以改善衬底绝缘(图2i)。因此,器件的底部纳米线可被设置在氧化物上以改善衬底绝缘。
在一实施例中,在间隔物306中可存在通过将纳米线叠层307的硅区去除而留下的间隙311(图3a)。在添加诸如金属栅极结构(类似于例如图1l的栅极结构117)的栅极后,间隙311可在后继形成的栅极和源极漏极结构310之间形成非常高电容的寄生区。在一实施例中,可通过利用外延氧化物302而不是硅(可能需要或可能不需要硅衬底300上的取向改变)作为起始叠层来避免潜在的寄生区(图3b)。在一实施例中,可在外延氧化物材料302上形成外延半导体材料304的交替层,该外延氧化物材料302可形成在衬底300上。
例如,Gd 2O 3可外延生长在(111)硅上,然后在Gd 2O 3的顶上生长硅锗以在衬底上建立一多层叠层,该衬底可被蚀刻成鳍结构307,该鳍结构307之后可形成为硅锗线。在另一实施例中,二氧化铈可生长在 (111)硅上(或替代地生长在(100)硅上)以形成多层叠层。通过氧化物/半导体/氧化物叠层,存在对不蚀刻、部分蚀刻或完全蚀刻鳍结构307的氧化物材料302、302’的选择(分别示出于图3c-3e)。不蚀刻的选择(图3c)解决了电容问题,但其代价是较低劣的禁闭性(confinement);部分蚀刻的选择(图3d)改善了禁闭性但其代价是某一程度的寄生电容。
在另一实施例中,在源极漏极外延生长之前,可用第二间隔物312 填充间隔物中位于鳍结构附近的间隙311(如图3a所示),该第二间隔物 312包括从间隔物306的源极/漏极310侧开始的间隔物类材料312或低 k材料312(图3f)。例如,诸如但不限于SiON、SiN、SiC、SiOBN和低 k氧化物的材料可包括第二间隔物312材料。在一实施例中,在蚀刻叠层307中可去除所有的硅,以使替代栅极蚀刻(牺牲栅电极材料的去除)仅命中氧化物。在另一实施例中,仅一部分硅被去除,以使替代栅极蚀刻实际上侵蚀到硅。在另一实施例中,可(在栅极沉积之前)用间隔物类材料 312或低k材料312从栅极侧开始填充间隙311(图3g)。实施例包括执行叠层307的完全蚀刻或部分蚀刻(图示为完全蚀刻)。
在另一实施例中,可通过利用硅蚀刻的各向异性来填充间隙311以在从叠层307去除步骤期间使硅的蚀刻最小化。例如,可使用具有沿 <111>的沟道的(110)晶片。该结构将具有面向源极/漏极结构310的慢蚀 (111)平面,由此限制下切。这里选择的湿蚀也必须比Si更缓慢地蚀刻 SiGe,从而在去除SiGe纳米线之间的所有硅之后留出部分蚀刻的SiGe 纳米线。因此,可使用各向异性蚀刻来最小化间隔物306中的侧向蚀刻,其中蚀刻化学药剂对硅具有高度选择性而对硅锗不具有选择性。
在一实施例中,可利用纳米线实现垂直架构扩展。在一实施例中,硅锗或硅可从衬底外延生长到沟槽中,然后可使用例如氧化或蚀刻工艺来将鳍结构分割成多条纳米线,其中诸纳米线可垂直地层叠在彼此之上。在一实施例中,可对整条线进行氧化,其中源极/漏极区以SiGe(或Si和氧化物)层开始。可在硅衬底401上形成交替的氧化物404和氮化物层402(可使用更多的层来形成更多的导线)(图4a)。可对氧化物和氮化物层布图和蚀刻以形成沟槽405和背部406,其中沟槽405使衬底401的硅材料露出(图4b)。硅锗(或硅)407可在沟槽405和后部外延地生长,并可被抛光(图4c)。可在硅锗(或硅)407上形成硬掩模408,并可对其布图和蚀刻以使鳍410的侧面露出(图4d)。在一实施例中,可通过去除氮化物和氧化物交替层中未被硬掩模覆盖的一部分而形成鳍结构。
鳍410可被氧化以界定纳米线(图4e)。可去除鳍410的氧化部分以形成纳米线412,该纳米线412可充当器件的沟道结构并可基本横跨整个结构地形成。在一实施例中,第一纳米线412可垂直地设置在第二纳米线412’上方。在另一实施例中,线可仅被界定在沟道区(图4g-4j)。第二掩模材料413,例如SiC,可形成在鳍结构410周围。第二掩模材料413 对氧化物和氮化物可具有选择性。鳍结构410可包括交替的氧化物/氮化物膜,这与例如图4d中的那些类似。沟槽414可被打开以将栅极区界定在鳍结构410附近,此处可后继地形成栅电极材料并且其中一部分鳍结构410可露出(图4h)。可执行氧化以界定纳米线(图4i),并可通过去除鳍结构的氧化部分来进一步界定这些线(图4j)。因此,这些线被形成在栅极区/沟槽414中,而不是源极/漏极区中。
为使布图纳米线的光刻考虑变得容易,可使用间隔物工艺。这里,可通过蚀刻围绕Si或SiGe鳍410的氮化物来露出Si或SiGe鳍410的侧部(而顶部可由例如SiC的硬掩模421覆盖),并通过各向同性沉积和各向异性蚀刻的组合来形成间隔物420(图4k)。然后使用该间隔物420来作为蚀刻的掩模以使鳍410的侧壁露出。然后可将间隔物420去除。
在另一实施例中,各向异性湿蚀将鳍分割成如图4l所示的多条线。首先可使用湿蚀将氧化物蚀刻掉。接着,可使用Si或SiGe各向异性湿蚀来蚀刻鳍410露出的SiGe或Si。由于晶体方向上蚀刻速率相关性,可形成纳米线。在一实施例中,在两种蚀刻被执行后,可将纳米线形成为六边形。Si或SiGe鳍可在去除氧化物后形成(图4m)。
可实现纳米线的垂直扩展。由于声子散射可将纳米线尺寸限制至大约7nm,这可限制这类器件的长期扩展。一种方案是垂直地构造器件,其中要么N沟道要么P沟道位于底部线内而另一沟道位于顶部线内。在一实施例中,N+衬底可用于Vss。在另一实施例中,顶部和底部触头可以是不对准的。在另一实施例中,可形成具有左、右翼的线。图5a示出通过用于Vss的N+衬底500和栅极501完成的逆变器。注意这需要:高触头512(TCN),用以连接N和P纳米线沟道514;短顶TCN 510,用以耦合于N和P纳米线沟道514中的一者;以及衬底插入物508/底部TCN,其耦合至N和P纳米线沟道514中的一者并耦合至衬底500。图5b示出未对准的顶部510和底部508TCN。图5c示出含左翼和右翼纳米线结构 514的N和P纳米线。图5d示出布线有左翼和右翼纳米线结构514的逆变器。
具有GAA的纳米线提供优于GAA非纳米线结构以及鳍和三栅极结构的改善。使用具有替代金属栅极(RMG)、栅完全包围处理的侧向纳米线是从具有RMG的平面至具有RMG的鳍的路线图的逻辑延伸。栅完全包围(GAA)纳米线结构给予与GAA非纳米线结构和鳍相比而言改善的短沟道控制的潜力。来自衬底的硅或硅锗纳米线结构中改善的底部线绝缘可根据本文实施例达成。
当最小纳米线尺寸由于声子散射而被限制在>~7nm时,可允许密度扩展。硅和硅锗两者的侧向纳米线结构可结合替代金属栅极架构和对从这些结构改型的线(面向三栅极结构研发)生产兼容的制造技术。可实现通过纳米线的垂直架构扩展。这里允许使用纳米线在晶体管层本身内构造电路。
图6示出根据一实施例的计算机系统。在一些实施例中,系统600 包括处理器610、存储器器件620、存储器控制器630、图形控制器640、输入和输出(I/O)控制器650、显示器652、键盘654、定点设备656、外围设备658,所有这些部件通过总线660可通信地彼此耦合。处理器610 可以是通用处理器或专用集成电路(ASIC)。I/O控制器650可包括用于有线或无线通信的通信模块。存储器设备620可以是动态随机存取存储器 (DRAM)器件、静态随机存取存储器(SRAM)器件、闪存器件或这些存储器器件的组合。因此,在一些实施例中,系统600中的存储器器件620 不一定包括DRAM器件。
系统600中所示的一个或多个部件可包括本文中包含的各实施例中的一个或多个纳米线器件。例如,处理器610或存储器器件620或I/O 控制器650的至少一部分或这些部件的组合可包括在集成电路组件中,该集成电路组件包括本文所述结构的至少一个实施例。
这些部件执行它们业内公知的传统功能。尤其,在由处理器710执行期间,存储器设备620在某些情形下可用于提供对形成根据一些实施例的结构的方法的可执行指令的长期存储,而在其它实施例中可用来短期地存储用于形成根据这些实施例的结构的方法的可执行指令。另外,指令可被存储或以其它方式关联于与系统可通信耦合的机器可访问介质,机器可访问介质例如是紧凑盘只读存储器(CD-ROM)、数字多功能盘 (DVD)、软盘、载波和/或其它传播的信号。在一个实施例中,存储器设备620可向处理器610提供可执行的指令以供执行。
系统600可包括计算机(例如台式机、膝上计算机、手持计算机、服务器、Web设备、路由器等)、无线通信设备(例如蜂窝电话、无绳电话、寻呼机、个人数字助理等)、计算机关联的外围设备(例如打印机、扫描仪、监视器等)、娱乐设备(例如电视机、收音机、立体声、磁带和压缩盘播放器、视频卡带录像机、便携式摄像机、数字照相机、MP3(运动图像专家组,音频层3)播放机、视频游戏、手表等),诸如此类。
尽管前面的说明书具有可用于实施例中的某些特定步骤和材料,然而本领域内技术人员将理解,可作出许多修正和替代。因此,所有这些修正、改变、替代和添加都应当被认为是落在实施例由所附权利要求书定义的精神和范围内。另外要理解,诸如晶体管器件的各种微电子结构是业内已知的。因此,这里给出的附图仅示出与实施例的实践相关的一部分示例性微电子结构。因此,实施例不限于本文描述的结构。

Claims (30)

1.一种形成器件的方法,包括:
在衬底上形成外延硅锗;
在所述外延硅锗上形成外延硅;
对布置在所述外延硅锗上的所述外延硅布图以形成鳍结构;
在所述鳍结构上方形成牺牲栅电极;
在所述牺牲栅电极的侧壁附近以及所述鳍结构上方形成间隔物;
从所述衬底上的源极/漏极区去除所述鳍结构的半导体部分,然后在所述源极/漏极区上形成源极/漏极结构,其中所述源极/漏极区位于所述间隔物附近;
从所述间隔物之间去除所述牺牲栅电极;以及
从位于所述间隔物之间的所述鳍结构去除所述硅和所述外延硅锗中的一者。
2.如权利要求1所述的方法,其中,所述器件包括栅完全包围纳米线器件的一部分。
3.如权利要求1所述的方法,其还包括在外延硅上形成外延硅锗的附加交替层。
4.如权利要求3所述的方法,其中,从所述鳍结构去除所述硅以形成通过间隙彼此隔开的硅锗纳米线结构。
5.如权利要求3所述的方法,其中,从所述鳍结构去除所述硅锗以形成通过间隙彼此隔开的硅纳米线结构。
6.如权利要求4所述的方法,其中,栅极电介质被形成在所述硅锗纳米线结构的所有侧周围。
7.如权利要求5所述的方法,其中,栅极电介质被形成在所述硅纳米线结构的所有侧周围。
8.如权利要求6所述的方法,其中,栅电极材料被形成在所述硅锗纳米线结构周围。
9.如权利要求7所述的方法,其中,栅电极材料被形成在所述硅纳米线结构周围。
10.如权利要求1所述的方法,其还包括布置在所述源极/漏极结构和所述衬底之间的外延尖端。
11.如权利要求9所述的方法,其中,所述栅电极材料包括金属。
12.如权利要求8所述的方法,其中,所述栅电极材料包括金属。
13.如权利要求9所述的方法,其中,沟槽触头耦合至所述源极/漏极结构,并且所述源极/漏极结构包括n+掺杂的硅。
14.如权利要求13所述的方法,其中,硅外延尖端被布置在所述源极/漏极结构和所述衬底之间。
15.如权利要求9所述的方法,其中,所述器件包括NMOS栅完全包围沟道器件的一部分。
16.如权利要求9所述的方法,其中,沟槽触头耦合至所述源极/漏极结构,其中所述源极/漏极结构包括p+硅锗。
17.如权利要求16所述的方法,其中,硅外延尖端被布置在所述源极/漏极结构和所述衬底之间。
18.如权利要求17所述的方法,其中,所述器件包括PMOS栅完全包围沟道器件的一部分,并且其中,所述硅纳米线包括应变的硅纳米线。
19.一种形成纳米线器件的方法,包括:
在衬底上形成外延硅锗上外延硅的交替层;
对所述交替层布图以形成鳍结构;
在所述鳍结构上方形成牺牲栅电极;
在所述牺牲栅电极的侧壁附近以及所述鳍结构上方形成间隔物;
从所述衬底上的源极/漏极区去除所述鳍结构的半导体部分,然后在所述源极/漏极区上形成源极/漏极结构,其中所述源极/漏极区位于所述间隔物附近;
在从所述源极/漏极区去除所述鳍结构的所述半导体部分之后,从所述间隔物之间去除所述牺牲栅电极;以及
从位于所述间隔物之间的所述鳍结构去除所述硅和所述外延硅锗中的一者。
20.如权利要求19所述的方法,其中,所述衬底是SOI衬底,并且其中,所述鳍结构的底部纳米线几何形状是通过控制对所述SOI衬底的底部氧化物部分的蚀刻来限定的。
21.如权利要求20所述的方法,其中,所述底部氧化物被蚀刻以形成两条纳米线。
22.一种形成器件的方法,包括:
在衬底上形成牺牲材料;
在所述牺牲材料上形成外延半导体;
对布置在所述牺牲材料上的所述外延半导体布图,以形成鳍结构;
在所述鳍结构上方形成牺牲栅电极;
在所述牺牲栅电极的侧壁附近以及所述鳍结构上形成间隔物;
从所述衬底上的源极/漏极区去除所述鳍结构的半导体部分,然后在所述源极/漏极区形成源极/漏极结构,其中,所述源极/漏极区在所述间隔物附近;
从所述间隔物之间去除所述牺牲栅电极;以及
从位于所述间隔物之间的所述鳍结构去除所述牺牲材料。
23.如权利要求22所述的方法,其中,所述器件包括栅完全包围纳米线器件。
24.如权利要求22所述的方法,其还包括外延半导体和牺牲材料的附加交替层。
25.如权利要求24所述的方法,其中,所述牺牲材料从所述鳍结构被去除,以形成通过间隙彼此隔开的半导体纳米线结构。
26.如权利要求25所述的方法,其中,栅极电介质被形成在所述半导体纳米线结构的所有侧周围。
27.如权利要求26所述的方法,其中,栅电极材料被形成在所述半导体纳米线结构周围。
28.如权利要求27所述的方法,其中,所述栅电极材料包括金属。
29.如权利要求22所述的方法,其中,半导体外延尖端被布置在所述源极/漏极结构和所述衬底之间。
30.如权利要求25所述的方法,其中,半导体纳米线包括应变的半导体纳米线。
CN202111293250.5A 2010-12-01 2011-11-23 形成器件的方法和形成纳米线器件的方法 Pending CN114242764A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/958,179 US8753942B2 (en) 2010-12-01 2010-12-01 Silicon and silicon germanium nanowire structures
US12/958,179 2010-12-01
PCT/US2011/062059 WO2012074872A2 (en) 2010-12-01 2011-11-23 Silicon and silicon germanium nanowire structures
CN201180058034.0A CN103238208B (zh) 2010-12-01 2011-11-23 硅和硅锗纳米线结构

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180058034.0A Division CN103238208B (zh) 2010-12-01 2011-11-23 硅和硅锗纳米线结构

Publications (1)

Publication Number Publication Date
CN114242764A true CN114242764A (zh) 2022-03-25

Family

ID=46161357

Family Applications (5)

Application Number Title Priority Date Filing Date
CN201811307141.2A Active CN109607475B (zh) 2010-12-01 2011-11-23 硅和硅锗纳米线结构
CN202111293250.5A Pending CN114242764A (zh) 2010-12-01 2011-11-23 形成器件的方法和形成纳米线器件的方法
CN201610421227.2A Pending CN105923602A (zh) 2010-12-01 2011-11-23 硅和硅锗纳米线结构
CN202111293126.9A Pending CN114242763A (zh) 2010-12-01 2011-11-23 形成纳米线器件的方法
CN201611050993.9A Pending CN106449514A (zh) 2010-12-01 2011-11-23 硅和硅锗纳米线结构

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201811307141.2A Active CN109607475B (zh) 2010-12-01 2011-11-23 硅和硅锗纳米线结构

Family Applications After (3)

Application Number Title Priority Date Filing Date
CN201610421227.2A Pending CN105923602A (zh) 2010-12-01 2011-11-23 硅和硅锗纳米线结构
CN202111293126.9A Pending CN114242763A (zh) 2010-12-01 2011-11-23 形成纳米线器件的方法
CN201611050993.9A Pending CN106449514A (zh) 2010-12-01 2011-11-23 硅和硅锗纳米线结构

Country Status (7)

Country Link
US (6) US8753942B2 (zh)
EP (3) EP2647038B1 (zh)
JP (5) JP2014505995A (zh)
KR (10) KR101607819B1 (zh)
CN (5) CN109607475B (zh)
SG (3) SG10201503294XA (zh)
WO (1) WO2012074872A2 (zh)

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8558279B2 (en) * 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
WO2013095646A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Cmos nanowire structure
WO2013095652A1 (en) 2011-12-23 2013-06-27 Intel Corporation Uniaxially strained nanowire structure
DE112011105995B4 (de) 2011-12-23 2020-08-06 Intel Corporation Herstellungsverfahren für eine nicht-planare Rundum-Gate-Schaltung
US9012284B2 (en) * 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
CN106847805B (zh) * 2011-12-23 2020-08-21 英特尔公司 具有包含不同材料取向或组成的纳米线或半导体主体的共衬底半导体器件
CN104137237B (zh) 2011-12-23 2018-10-09 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
CN104011842B (zh) * 2011-12-31 2016-10-26 英特尔公司 用于高鳍状物的硬掩模蚀刻停止层
FR2989515B1 (fr) * 2012-04-16 2015-01-16 Commissariat Energie Atomique Procede ameliore de realisation d'une structure de transistor a nano-fils superposes et a grille enrobante
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
KR101958530B1 (ko) * 2012-07-27 2019-03-14 인텔 코포레이션 나노와이어 트랜지스터 디바이스 및 형성 기법
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8889564B2 (en) 2012-08-31 2014-11-18 International Business Machines Corporation Suspended nanowire structure
US8785909B2 (en) * 2012-09-27 2014-07-22 Intel Corporation Non-planar semiconductor device having channel region with low band-gap cladding layer
US9041106B2 (en) 2012-09-27 2015-05-26 Intel Corporation Three-dimensional germanium-based semiconductor devices formed on globally or locally isolated substrates
US8735869B2 (en) 2012-09-27 2014-05-27 Intel Corporation Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US8823059B2 (en) 2012-09-27 2014-09-02 Intel Corporation Non-planar semiconductor device having group III-V material active region with multi-dielectric gate stack
US20140091279A1 (en) * 2012-09-28 2014-04-03 Jessica S. Kachian Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
US8765563B2 (en) * 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
KR101994079B1 (ko) * 2012-10-10 2019-09-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102002380B1 (ko) * 2012-10-10 2019-07-23 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8653599B1 (en) 2012-11-16 2014-02-18 International Business Machines Corporation Strained SiGe nanowire having (111)-oriented sidewalls
US8759874B1 (en) * 2012-11-30 2014-06-24 Stmicroelectronics, Inc. FinFET device with isolated channel
US20140167163A1 (en) * 2012-12-17 2014-06-19 International Business Machines Corporation Multi-Fin FinFETs with Epitaxially-Grown Merged Source/Drains
US8956942B2 (en) 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor
US8969145B2 (en) 2013-01-19 2015-03-03 International Business Machines Corporation Wire-last integration method and structure for III-V nanowire devices
KR102049774B1 (ko) 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
JP6251604B2 (ja) * 2013-03-11 2017-12-20 ルネサスエレクトロニクス株式会社 フィンfet構造を有する半導体装置及びその製造方法
US8900959B2 (en) 2013-03-12 2014-12-02 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
US8778768B1 (en) 2013-03-12 2014-07-15 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with epitixially grown source and drain
US8951870B2 (en) * 2013-03-14 2015-02-10 International Business Machines Corporation Forming strained and relaxed silicon and silicon germanium fins on the same wafer
US8906768B2 (en) * 2013-03-15 2014-12-09 GlobalFoundries, Inc. Wrap around stressor formation
US10121861B2 (en) * 2013-03-15 2018-11-06 Intel Corporation Nanowire transistor fabrication with hardmask layers
US8940602B2 (en) * 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
CN104124157B (zh) * 2013-04-23 2016-12-28 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US9082788B2 (en) 2013-05-31 2015-07-14 Stmicroelectronics, Inc. Method of making a semiconductor device including an all around gate
US8987082B2 (en) 2013-05-31 2015-03-24 Stmicroelectronics, Inc. Method of making a semiconductor device using sacrificial fins
US20140353716A1 (en) 2013-05-31 2014-12-04 Stmicroelectronics, Inc Method of making a semiconductor device using a dummy gate
US8962408B2 (en) 2013-06-04 2015-02-24 International Business Machines Corporation Replacement gate self-aligned carbon nanostructure transistor
KR102220806B1 (ko) 2013-06-20 2021-02-26 인텔 코포레이션 도핑된 서브-핀 영역을 갖는 비평면 반도체 디바이스 및 그 제조 방법
US9171843B2 (en) 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9035277B2 (en) * 2013-08-01 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
KR102069609B1 (ko) * 2013-08-12 2020-01-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US11404325B2 (en) 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US9059002B2 (en) * 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
US9252016B2 (en) * 2013-09-04 2016-02-02 Globalfoundries Inc. Stacked nanowire
CN105518840B (zh) * 2013-10-03 2020-06-12 英特尔公司 用于纳米线晶体管的内部间隔体及其制造方法
KR102085525B1 (ko) 2013-11-27 2020-03-09 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20150170916A1 (en) * 2013-12-17 2015-06-18 United Microelectronics Corp. Semiconductor process for manufacturing epitaxial structures
KR102171831B1 (ko) 2013-12-19 2020-10-29 인텔 코포레이션 하이브리드 기하 구조 기반의 활성 영역을 갖는 비평면 반도체 디바이스
US9159552B2 (en) 2013-12-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a germanium-containing FinFET
CN106104771A (zh) 2013-12-27 2016-11-09 英特尔公司 扩散的尖端延伸晶体管
CN103700578B (zh) * 2013-12-27 2017-03-01 中国科学院微电子研究所 一种锗硅纳米线叠层结构的制作方法
US9087900B1 (en) 2014-01-07 2015-07-21 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9853154B2 (en) * 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
KR102155181B1 (ko) 2014-01-28 2020-09-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9263584B2 (en) 2014-02-11 2016-02-16 International Business Machines Corporation Field effect transistors employing a thin channel region on a crystalline insulator structure
US9257527B2 (en) * 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9224811B2 (en) 2014-03-17 2015-12-29 Globalfoundries Inc Stacked semiconductor device
US9780216B2 (en) * 2014-03-19 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Combination FinFET and methods of forming same
WO2015147866A1 (en) * 2014-03-28 2015-10-01 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
CN104979211B (zh) 2014-04-10 2018-03-06 中芯国际集成电路制造(上海)有限公司 纳米线器件及其制造方法
US9093478B1 (en) 2014-04-11 2015-07-28 International Business Machines Corporation Integrated circuit structure with bulk silicon FinFET and methods of forming
US9601576B2 (en) * 2014-04-18 2017-03-21 International Business Machines Corporation Nanowire FET with tensile channel stressor
US9230992B2 (en) 2014-04-30 2016-01-05 International Business Machines Corporation Semiconductor device including gate channel having adjusted threshold voltage
KR102146469B1 (ko) 2014-04-30 2020-08-21 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
KR102158961B1 (ko) 2014-05-13 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9548358B2 (en) 2014-05-19 2017-01-17 International Business Machines Corporation Dual fill silicon-on-nothing field effect transistor
US9577100B2 (en) * 2014-06-16 2017-02-21 Globalfoundries Inc. FinFET and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions
US9287357B2 (en) * 2014-06-16 2016-03-15 Samsung Electronics Co., Ltd. Integrated circuits with Si and non-Si nanosheet FET co-integration with low band-to-band tunneling and methods of fabricating the same
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9543440B2 (en) * 2014-06-20 2017-01-10 International Business Machines Corporation High density vertical nanowire stack for field effect transistor
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9224736B1 (en) 2014-06-27 2015-12-29 Taiwan Semicondcutor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device
US9881993B2 (en) * 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9443978B2 (en) 2014-07-14 2016-09-13 Samsung Electronics Co., Ltd. Semiconductor device having gate-all-around transistor and method of manufacturing the same
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
KR101628197B1 (ko) * 2014-08-22 2016-06-09 삼성전자주식회사 반도체 소자의 제조 방법
US9293588B1 (en) * 2014-08-28 2016-03-22 International Business Machines Corporation FinFET with a silicon germanium alloy channel and method of fabrication thereof
US9343529B2 (en) * 2014-09-05 2016-05-17 International Business Machines Corporation Method of formation of germanium nanowires on bulk substrates
US9793356B2 (en) 2014-09-12 2017-10-17 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9318553B1 (en) 2014-10-16 2016-04-19 International Business Machines Corporation Nanowire device with improved epitaxy
US20160141360A1 (en) * 2014-11-19 2016-05-19 International Business Machines Corporation Iii-v semiconductor devices with selective oxidation
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9660059B2 (en) 2014-12-12 2017-05-23 International Business Machines Corporation Fin replacement in a field-effect transistor
US9449820B2 (en) 2014-12-22 2016-09-20 International Business Machines Corporation Epitaxial growth techniques for reducing nanowire dimension and pitch
US9882026B2 (en) 2015-01-13 2018-01-30 Tokyo Electron Limited Method for forming a nanowire structure
TWI629790B (zh) * 2015-01-26 2018-07-11 聯華電子股份有限公司 半導體元件及其製作方法
US9508795B2 (en) * 2015-02-04 2016-11-29 Globalfoundries Inc. Methods of fabricating nanowire structures
CN106033725B (zh) 2015-03-13 2020-10-16 联华电子股份有限公司 半导体元件及其制作工艺
US9349860B1 (en) 2015-03-31 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistors and methods of forming same
US9793403B2 (en) 2015-04-14 2017-10-17 Samsung Electronics Co., Ltd. Multi-layer fin field effect transistor devices and methods of forming the same
US9306001B1 (en) 2015-04-14 2016-04-05 International Business Machines Corporation Uniformly doped leakage current stopper to counter under channel leakage currents in bulk FinFET devices
US9748364B2 (en) * 2015-04-21 2017-08-29 Varian Semiconductor Equipment Associates, Inc. Method for fabricating three dimensional device
US9893161B2 (en) 2015-04-22 2018-02-13 Tokyo Electron Limited Parasitic capacitance reduction structure for nanowire transistors and method of manufacturing
KR102380818B1 (ko) * 2015-04-30 2022-03-31 삼성전자주식회사 반도체 소자
US9437502B1 (en) 2015-06-12 2016-09-06 International Business Machines Corporation Method to form stacked germanium nanowires and stacked III-V nanowires
US10134840B2 (en) 2015-06-15 2018-11-20 International Business Machines Corporation Series resistance reduction in vertically stacked silicon nanowire transistors
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
EP3112316B1 (en) 2015-07-02 2018-05-02 IMEC vzw Method for manufacturing transistor devices comprising multiple nanowire channels
US9425259B1 (en) * 2015-07-17 2016-08-23 Samsung Electronics Co., Ltd. Semiconductor device having a fin
US9614068B2 (en) 2015-09-02 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9647139B2 (en) 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
CN113611610A (zh) * 2015-09-10 2021-11-05 英特尔公司 具有腔间隔器的半导体纳米线装置和制造半导体纳米线装置的腔间隔器的方法
WO2017044117A1 (en) * 2015-09-11 2017-03-16 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
US9608099B1 (en) 2015-09-22 2017-03-28 International Business Machines Corporation Nanowire semiconductor device
US9437501B1 (en) 2015-09-22 2016-09-06 International Business Machines Corporation Stacked nanowire device width adjustment by gas cluster ion beam (GCIB)
WO2017052601A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Techniques for controlling transistor sub-fin leakage
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
KR102379701B1 (ko) 2015-10-19 2022-03-28 삼성전자주식회사 멀티-채널을 갖는 반도체 소자 및 그 형성 방법
US9741792B2 (en) * 2015-10-21 2017-08-22 International Business Machines Corporation Bulk nanosheet with dielectric isolation
US9590038B1 (en) * 2015-10-23 2017-03-07 Samsung Electronics Co., Ltd. Semiconductor device having nanowire channel
US9496263B1 (en) * 2015-10-23 2016-11-15 International Business Machines Corporation Stacked strained and strain-relaxed hexagonal nanowires
US10177143B2 (en) * 2015-10-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Limited FinFET device and method for fabricating the same
CN108352400B (zh) 2015-10-30 2021-09-10 佛罗里达大学研究基金会有限公司 包封的纳米结构及其制造方法
US9362355B1 (en) 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9559013B1 (en) * 2015-11-23 2017-01-31 International Business Machines Corporation Stacked nanowire semiconductor device
US10164121B2 (en) * 2015-11-25 2018-12-25 Samsung Electronics Co., Ltd. Stacked independently contacted field effect transistor having electrically separated first and second gates
US10164012B2 (en) * 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9425291B1 (en) 2015-12-09 2016-08-23 International Business Machines Corporation Stacked nanosheets by aspect ratio trapping
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US9431301B1 (en) 2015-12-10 2016-08-30 International Business Machines Corporation Nanowire field effect transistor (FET) and method for fabricating the same
US10497701B2 (en) * 2015-12-16 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
EP3394896A4 (en) 2015-12-24 2019-12-18 Intel Corporation METHODS OF FORMING DOPED SOURCE / DRAIN CONTACTS AND STRUCTURES FORMED IN THIS WAY
US9722022B2 (en) * 2015-12-28 2017-08-01 International Business Machines Corporation Sidewall image transfer nanosheet
US10157992B2 (en) 2015-12-28 2018-12-18 Qualcomm Incorporated Nanowire device with reduced parasitics
US9899269B2 (en) * 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
KR102367408B1 (ko) * 2016-01-04 2022-02-25 삼성전자주식회사 복수의 시트들로 구성된 채널 영역을 포함하는 sram 소자
KR102366953B1 (ko) * 2016-01-06 2022-02-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10074730B2 (en) * 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US9614040B1 (en) * 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
KR102461174B1 (ko) * 2016-02-26 2022-11-01 삼성전자주식회사 반도체 소자
US9748404B1 (en) * 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
CN107204311A (zh) * 2016-03-16 2017-09-26 上海新昇半导体科技有限公司 纳米线半导体器件及其制造方法
US9978649B2 (en) 2016-03-21 2018-05-22 Tokyo Electron Limited Solid source doping for source and drain extension doping
US9735269B1 (en) * 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
FR3051970B1 (fr) 2016-05-25 2020-06-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation d'une structure de canal formee d'une pluralite de barreaux semi-conducteurs contraints
KR20170135115A (ko) 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11004985B2 (en) 2016-05-30 2021-05-11 Samsung Electronics Co., Ltd. Semiconductor device having multi-thickness nanowire
KR102429611B1 (ko) 2016-06-10 2022-08-04 삼성전자주식회사 반도체 장치 제조 방법
CN105977299B (zh) * 2016-06-17 2019-12-10 中国科学院微电子研究所 半导体器件及其制造方法
US10134905B2 (en) * 2016-06-30 2018-11-20 International Business Machines Corporation Semiconductor device including wrap around contact, and method of forming the semiconductor device
US10236362B2 (en) * 2016-06-30 2019-03-19 International Business Machines Corporation Nanowire FET including nanowire channel spacers
JP7046049B2 (ja) 2016-07-19 2022-04-01 東京エレクトロン株式会社 三次元半導体デバイス及び製造方法
CN109643725B (zh) * 2016-08-08 2022-07-29 东京毅力科创株式会社 三维半导体器件及制造方法
JP6951903B2 (ja) * 2016-08-10 2021-10-20 東京エレクトロン株式会社 半導体素子のための拡張領域
US10840381B2 (en) 2016-08-10 2020-11-17 International Business Machines Corporation Nanosheet and nanowire MOSFET with sharp source/drain junction
US10026652B2 (en) * 2016-08-17 2018-07-17 Samsung Electronics Co., Ltd. Horizontal nanosheet FETs and method of manufacturing the same
US10332986B2 (en) 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US9905643B1 (en) 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US9704863B1 (en) 2016-09-09 2017-07-11 International Business Machines Corporation Forming a hybrid channel nanosheet semiconductor structure
US9620590B1 (en) 2016-09-20 2017-04-11 International Business Machines Corporation Nanosheet channel-to-source and drain isolation
US9704995B1 (en) * 2016-09-20 2017-07-11 Advanced Micro Devices, Inc. Gate all around device architecture with local oxide
US9728621B1 (en) * 2016-09-28 2017-08-08 International Business Machines Corporation iFinFET
CN109952654B (zh) 2016-11-14 2023-05-05 东京毅力科创株式会社 在纳米线和纳米板处理中防止块体硅电荷转移的方法
CN111370489A (zh) * 2016-11-21 2020-07-03 华为技术有限公司 一种场效应晶体管及其制作方法
CN108231589B (zh) * 2016-12-09 2020-06-05 Imec 非营利协会 纳米线半导体器件中内间隔的形成
WO2018118007A1 (en) * 2016-12-19 2018-06-28 Intel Corporation Condensation for strain control
US9972542B1 (en) 2017-01-04 2018-05-15 International Business Machines Corporation Hybrid-channel nano-sheet FETs
KR102564325B1 (ko) 2017-01-04 2023-08-07 삼성전자주식회사 다수의 채널 영역을 가지는 반도체 장치
US9935014B1 (en) 2017-01-12 2018-04-03 International Business Machines Corporation Nanosheet transistors having different gate dielectric thicknesses on the same chip
US10068794B2 (en) * 2017-01-31 2018-09-04 Advanced Micro Devices, Inc. Gate all around device architecture with hybrid wafer bond technique
US9881998B1 (en) 2017-02-02 2018-01-30 International Business Machines Corporation Stacked nanosheet field effect transistor device with substrate isolation
US10050107B1 (en) 2017-02-13 2018-08-14 International Business Machines Corporation Nanosheet transistors on bulk material
US10032867B1 (en) 2017-03-07 2018-07-24 International Business Machines Corporation Forming bottom isolation layer for nanosheet technology
JP2018147396A (ja) * 2017-03-08 2018-09-20 株式会社ジャパンディスプレイ 表示装置
US10381468B2 (en) * 2017-03-21 2019-08-13 International Business Machines Corporation Method and structure for forming improved single electron transistor with gap tunnel barriers
US10290738B2 (en) * 2017-04-10 2019-05-14 Globalfoundries Inc. Methods of forming epi semiconductor material on a recessed fin in the source/drain regions of a FinFET device
US10930793B2 (en) 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
US10121868B1 (en) 2017-05-03 2018-11-06 Globalfoundries Inc. Methods of forming epi semiconductor material on a thinned fin in the source/drain regions of a FinFET device
US9954058B1 (en) 2017-06-12 2018-04-24 International Business Machines Corporation Self-aligned air gap spacer for nanosheet CMOS devices
KR102414182B1 (ko) * 2017-06-29 2022-06-28 삼성전자주식회사 반도체 소자
US10276728B2 (en) * 2017-07-07 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including non-volatile memory cells
CN109390400A (zh) * 2017-08-08 2019-02-26 中芯国际集成电路制造(上海)有限公司 环栅场效应晶体管及其形成方法
US10934485B2 (en) * 2017-08-25 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon over silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
US10629679B2 (en) * 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10332985B2 (en) * 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102353251B1 (ko) 2017-09-28 2022-01-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20190103282A1 (en) 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
US10867866B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10680084B2 (en) 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
KR101999902B1 (ko) * 2017-11-15 2019-10-01 도실리콘 씨오., 엘티디. 페이싱바를 가지는 낸드 플래쉬 메모리 장치 및 그의 제조 방법
US10141403B1 (en) 2017-11-16 2018-11-27 International Business Machines Corporation Integrating thin and thick gate dielectric nanosheet transistors on same chip
KR102399071B1 (ko) 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US10586853B2 (en) 2017-11-27 2020-03-10 International Business Machines Corporation Non-planar field effect transistor devices with wrap-around source/drain contacts
US10312350B1 (en) 2017-11-28 2019-06-04 International Business Machines Corporation Nanosheet with changing SiGe percentage for SiGe lateral recess
WO2019116827A1 (ja) * 2017-12-12 2019-06-20 ソニーセミコンダクタソリューションズ株式会社 半導体装置及びその製造方法
US10276687B1 (en) * 2017-12-20 2019-04-30 International Business Machines Corporation Formation of self-aligned bottom spacer for vertical transistors
US10622208B2 (en) 2017-12-22 2020-04-14 International Business Machines Corporation Lateral semiconductor nanotube with hexagonal shape
US10424651B2 (en) 2018-01-26 2019-09-24 International Business Machines Corporation Forming nanosheet transistor using sacrificial spacer and inner spacers
US10573521B2 (en) 2018-01-30 2020-02-25 International Business Machines Corporation Gate metal patterning to avoid gate stack attack due to excessive wet etching
WO2019150856A1 (ja) 2018-01-30 2019-08-08 ソニーセミコンダクタソリューションズ株式会社 半導体装置
US10679890B2 (en) 2018-02-01 2020-06-09 International Business Machines Corporation Nanosheet structure with isolated gate
TWI788501B (zh) 2018-02-02 2023-01-01 日商索尼半導體解決方案公司 半導體裝置
CN110233176B (zh) * 2018-03-05 2022-07-22 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
CN111699550B (zh) * 2018-03-19 2023-05-09 东京毅力科创株式会社 三维器件及其形成方法
US10263100B1 (en) 2018-03-19 2019-04-16 International Business Machines Corporation Buffer regions for blocking unwanted diffusion in nanosheet transistors
US10446664B1 (en) 2018-03-20 2019-10-15 International Business Machines Corporation Inner spacer formation and contact resistance reduction in nanosheet transistors
US10566438B2 (en) 2018-04-02 2020-02-18 International Business Machines Corporation Nanosheet transistor with dual inner airgap spacers
US10566445B2 (en) 2018-04-03 2020-02-18 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
US10243054B1 (en) 2018-04-03 2019-03-26 International Business Machines Corporation Integrating standard-gate and extended-gate nanosheet transistors on the same substrate
US10971585B2 (en) 2018-05-03 2021-04-06 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates
US20190341452A1 (en) 2018-05-04 2019-11-07 International Business Machines Corporation Iii-v-segmented finfet free of wafer bonding
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US10374034B1 (en) 2018-05-21 2019-08-06 International Business Machines Corporation Undercut control in isotropic wet etch processes
US10461154B1 (en) * 2018-06-21 2019-10-29 International Business Machines Corporation Bottom isolation for nanosheet transistors on bulk substrate
JP7348442B2 (ja) * 2018-06-22 2023-09-21 東京エレクトロン株式会社 ナノワイヤデバイスを形成する方法
US10388569B1 (en) 2018-06-26 2019-08-20 International Business Machines Corporation Formation of stacked nanosheet semiconductor devices
US10483166B1 (en) 2018-06-26 2019-11-19 International Business Machines Corporation Vertically stacked transistors
US10930794B2 (en) * 2018-06-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned spacers for multi-gate devices and method of fabrication thereof
CN112437978A (zh) 2018-07-26 2021-03-02 索尼半导体解决方案公司 半导体装置
US11367783B2 (en) 2018-08-17 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
JP7351307B2 (ja) 2018-09-25 2023-09-27 株式会社ソシオネクスト 半導体装置及びその製造方法
US10910375B2 (en) * 2018-09-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabrication thereof
US11462536B2 (en) * 2018-09-28 2022-10-04 Intel Corporation Integrated circuit structures having asymmetric source and drain structures
US11043493B2 (en) * 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
US11264506B2 (en) 2018-10-31 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP7376805B2 (ja) 2018-11-09 2023-11-09 株式会社ソシオネクスト 半導体集積回路装置
US11180697B2 (en) 2018-11-19 2021-11-23 Versum Materials Us, Llc Etching solution having silicon oxide corrosion inhibitor and method of using the same
WO2020110733A1 (ja) 2018-11-26 2020-06-04 株式会社ソシオネクスト 半導体集積回路装置
WO2020137660A1 (ja) * 2018-12-25 2020-07-02 株式会社ソシオネクスト 半導体集積回路装置
CN113196463B (zh) * 2018-12-26 2024-03-01 株式会社索思未来 半导体集成电路装置
US20200219990A1 (en) * 2019-01-03 2020-07-09 Intel Corporation Self-aligned gate endcap (sage) architectures with gate-all-around devices above insulator substrates
US11946148B2 (en) 2019-01-11 2024-04-02 Versum Materials Us, Llc Hafnium oxide corrosion inhibitor
WO2020170715A1 (ja) * 2019-02-18 2020-08-27 株式会社ソシオネクスト 半導体集積回路装置
KR102673872B1 (ko) 2019-03-20 2024-06-10 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US11532734B2 (en) 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having germanium nanowire channel structures
US10818559B1 (en) 2019-04-29 2020-10-27 International Business Machines Corporation Formation of multi-segment channel transistor devices
US10916630B2 (en) 2019-04-29 2021-02-09 International Business Machines Corporation Nanosheet devices with improved electrostatic integrity
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US10892331B2 (en) 2019-06-05 2021-01-12 International Business Machines Corporation Channel orientation of CMOS gate-all-around field-effect transistor devices for enhanced carrier mobility
JP7302658B2 (ja) 2019-06-18 2023-07-04 株式会社ソシオネクスト 半導体装置
TW202129061A (zh) 2019-10-02 2021-08-01 美商應用材料股份有限公司 環繞式閘極輸入/輸出工程
US11133310B2 (en) 2019-10-03 2021-09-28 Tokyo Electron Limited Method of making multiple nano layer transistors to enhance a multiple stack CFET performance
US11393916B2 (en) * 2019-10-22 2022-07-19 Applied Materials, Inc. Methods for GAA I/O formation by selective epi regrowth
US11251280B2 (en) * 2019-12-17 2022-02-15 International Business Machines Corporation Strained nanowire transistor with embedded epi
US11715781B2 (en) * 2020-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with improved capacitors
US11462579B2 (en) * 2020-02-28 2022-10-04 Omnivision Technologies, Inc. Pixel and associated transfer-gate fabrication method
US11245027B2 (en) * 2020-03-10 2022-02-08 International Business Machines Corporation Bottom source/drain etch with fin-cut-last-VTFET
US11335552B2 (en) * 2020-04-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with oxide semiconductor channel
US11557659B2 (en) * 2020-04-29 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around transistor device and fabrication methods thereof
US11961763B2 (en) 2020-07-13 2024-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned metal gate for multigate device and method of forming thereof
US11653581B2 (en) * 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device structure and manufacturing method
US11508621B2 (en) * 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11984483B2 (en) 2021-03-26 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing thereof
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing
US20230163212A1 (en) * 2021-11-19 2023-05-25 Intel Corporation Gate-all-around transistor device with compressively strained channel layers
JP2023110192A (ja) * 2022-01-28 2023-08-09 ソニーセミコンダクタソリューションズ株式会社 半導体装置

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144607A (ja) 1996-11-13 1998-05-29 Hitachi Ltd 半導体基板およびその製造方法ならびにそれを用いた半導体装置およびその製造方法
US6365465B1 (en) * 1999-03-19 2002-04-02 International Business Machines Corporation Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
WO2003096385A2 (en) 2002-05-07 2003-11-20 Asm America, Inc. Silicon-on-insulator structures and methods
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP2004172178A (ja) 2002-11-18 2004-06-17 Toshiba Corp 半導体装置及び半導体装置の製造方法
FR2853454B1 (fr) * 2003-04-03 2005-07-15 St Microelectronics Sa Transistor mos haute densite
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
KR100553683B1 (ko) 2003-05-02 2006-02-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US6921700B2 (en) * 2003-07-31 2005-07-26 Freescale Semiconductor, Inc. Method of forming a transistor having multiple channels
US6855588B1 (en) * 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
JP4904815B2 (ja) 2003-10-09 2012-03-28 日本電気株式会社 半導体装置及びその製造方法
KR100578130B1 (ko) * 2003-10-14 2006-05-10 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 다중 실리콘 핀 및 그형성 방법
KR100506460B1 (ko) * 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
KR100528486B1 (ko) * 2004-04-12 2005-11-15 삼성전자주식회사 불휘발성 메모리 소자 및 그 형성 방법
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US7491988B2 (en) * 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
KR100555567B1 (ko) * 2004-07-30 2006-03-03 삼성전자주식회사 다중가교채널 트랜지스터 제조 방법
TWI283066B (en) * 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
JP2006086188A (ja) * 2004-09-14 2006-03-30 Seiko Epson Corp 半導体装置および半導体装置の製造方法
US20080121932A1 (en) 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
KR100618900B1 (ko) * 2005-06-13 2006-09-01 삼성전자주식회사 다중 채널을 갖는 모스 전계효과 트랜지스터의 제조방법 및그에 따라 제조된 다중 채널을 갖는 모스 전계효과트랜지스터
KR100645065B1 (ko) * 2005-06-23 2006-11-10 삼성전자주식회사 핀 전계 효과 트랜지스터와 이를 구비하는 비휘발성 메모리장치 및 그 형성 방법
KR100668340B1 (ko) 2005-06-28 2007-01-12 삼성전자주식회사 핀 펫 cmos와 그 제조 방법 및 이를 구비하는 메모리소자
KR101155176B1 (ko) * 2005-07-12 2012-06-11 삼성전자주식회사 방향성이 조절된 단결정 와이어 및 이를 적용한트랜지스터의 제조방법
FR2895835B1 (fr) 2005-12-30 2008-05-09 Commissariat Energie Atomique Realisation sur une structure de canal a plusieurs branches d'une grille de transistor et de moyens pour isoler cette grille des regions de source et de drain
KR100718159B1 (ko) 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
US7777275B2 (en) * 2006-05-18 2010-08-17 Macronix International Co., Ltd. Silicon-on-insulator structures
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP2008172082A (ja) * 2007-01-12 2008-07-24 Toshiba Corp 半導体装置及び半導体装置の製造方法
US7781827B2 (en) * 2007-01-24 2010-08-24 Mears Technologies, Inc. Semiconductor device with a vertical MOSFET including a superlattice and related methods
EP1975988B1 (en) 2007-03-28 2015-02-25 Siltronic AG Multilayered semiconductor wafer and process for its production
US7821061B2 (en) 2007-03-29 2010-10-26 Intel Corporation Silicon germanium and germanium multigate and nanowire structures for logic and multilevel memory applications
JP4381428B2 (ja) * 2007-04-10 2009-12-09 シャープ株式会社 微細構造体の配列方法及び微細構造体を配列した基板、並びに集積回路装置及び表示素子
JP4473889B2 (ja) 2007-04-26 2010-06-02 株式会社東芝 半導体装置
JP2009054705A (ja) * 2007-08-24 2009-03-12 Toshiba Corp 半導体基板、半導体装置およびその製造方法
US7674669B2 (en) * 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
JP4966153B2 (ja) 2007-10-05 2012-07-04 株式会社東芝 電界効果トランジスタおよびその製造方法
WO2009072984A1 (en) * 2007-12-07 2009-06-11 Agency For Science, Technology And Research A silicon-germanium nanowire structure and a method of forming the same
US7727830B2 (en) * 2007-12-31 2010-06-01 Intel Corporation Fabrication of germanium nanowire transistors
WO2009151001A1 (ja) * 2008-06-09 2009-12-17 独立行政法人産業技術総合研究所 ナノワイヤ電界効果トランジスタ及びその作製方法、並びにこれを含む集積回路
JP5553266B2 (ja) 2008-06-09 2014-07-16 独立行政法人産業技術総合研究所 ナノワイヤ電界効果トランジスタの作製方法
JP2010010382A (ja) * 2008-06-26 2010-01-14 Toshiba Corp 半導体装置およびその製造方法
JP2010010473A (ja) 2008-06-27 2010-01-14 Toshiba Corp 半導体装置及びその製造方法
WO2010003928A2 (en) 2008-07-06 2010-01-14 Interuniversitair Microelektronica Centrum Vzw (Imec) Method for doping semiconductor structures and the semiconductor device thereof
US7884004B2 (en) * 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8115511B2 (en) 2009-04-14 2012-02-14 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8110467B2 (en) 2009-04-21 2012-02-07 International Business Machines Corporation Multiple Vt field-effect transistor devices
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8422273B2 (en) * 2009-05-21 2013-04-16 International Business Machines Corporation Nanowire mesh FET with multiple threshold voltages
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8169024B2 (en) * 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US8426923B2 (en) * 2009-12-02 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate semiconductor device and method
US8263451B2 (en) * 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8399314B2 (en) * 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
US8445337B2 (en) * 2010-05-12 2013-05-21 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8389416B2 (en) * 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
TWI582999B (zh) * 2011-03-25 2017-05-11 半導體能源研究所股份有限公司 場效電晶體及包含該場效電晶體之記憶體與半導體電路
KR102083494B1 (ko) * 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자
US9406697B1 (en) 2015-01-20 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and manufacturing methods thereof

Also Published As

Publication number Publication date
KR20160065982A (ko) 2016-06-09
US9129829B2 (en) 2015-09-08
EP2942817B1 (en) 2023-05-24
CN103238208A (zh) 2013-08-07
CN106449514A (zh) 2017-02-22
KR20180010317A (ko) 2018-01-30
US20170133462A1 (en) 2017-05-11
JP2015195405A (ja) 2015-11-05
KR20190022931A (ko) 2019-03-06
KR20150143889A (ko) 2015-12-23
US10991799B2 (en) 2021-04-27
SG190373A1 (en) 2013-06-28
EP2647038B1 (en) 2022-10-12
JP6672421B2 (ja) 2020-03-25
KR102013115B1 (ko) 2019-08-21
JP6423044B2 (ja) 2018-11-14
KR20170073742A (ko) 2017-06-28
US10636871B2 (en) 2020-04-28
CN109607475B (zh) 2022-04-15
KR101954189B1 (ko) 2019-03-05
US20150303258A1 (en) 2015-10-22
JP6153974B2 (ja) 2017-06-28
KR101892232B1 (ko) 2018-08-28
KR101571989B1 (ko) 2015-11-25
WO2012074872A2 (en) 2012-06-07
JP2017152745A (ja) 2017-08-31
US20140326952A1 (en) 2014-11-06
US20120138886A1 (en) 2012-06-07
KR101814082B1 (ko) 2018-01-02
KR101821245B1 (ko) 2018-01-23
US9595581B2 (en) 2017-03-14
KR101536837B1 (ko) 2015-07-14
CN114242763A (zh) 2022-03-25
JP2014505995A (ja) 2014-03-06
US8753942B2 (en) 2014-06-17
KR101750848B1 (ko) 2017-06-26
EP2942817A1 (en) 2015-11-11
CN105923602A (zh) 2016-09-07
EP2647038A4 (en) 2015-01-07
KR101820899B1 (ko) 2018-01-22
EP2647038A2 (en) 2013-10-09
US20200227520A1 (en) 2020-07-16
KR20170055568A (ko) 2017-05-19
KR20140085604A (ko) 2014-07-07
KR20180096828A (ko) 2018-08-29
SG10201503294XA (en) 2015-06-29
EP4235801A3 (en) 2023-09-06
JP2020080436A (ja) 2020-05-28
US20210226006A1 (en) 2021-07-22
KR101607819B1 (ko) 2016-03-31
JP6992830B2 (ja) 2022-01-13
EP4235801A2 (en) 2023-08-30
SG10201910741XA (en) 2020-01-30
KR20150082698A (ko) 2015-07-15
WO2012074872A3 (en) 2012-10-04
JP2019009476A (ja) 2019-01-17
KR20130108410A (ko) 2013-10-02
CN109607475A (zh) 2019-04-12

Similar Documents

Publication Publication Date Title
US20210226006A1 (en) Silicon and silicon germanium nanowire structures

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination