JP2013521648A - セミコンダクタ・メタル・オン・インシュレータ構造、斯かる構造の形成方法、及び斯かる構造を含む半導体装置 - Google Patents

セミコンダクタ・メタル・オン・インシュレータ構造、斯かる構造の形成方法、及び斯かる構造を含む半導体装置 Download PDF

Info

Publication number
JP2013521648A
JP2013521648A JP2012556081A JP2012556081A JP2013521648A JP 2013521648 A JP2013521648 A JP 2013521648A JP 2012556081 A JP2012556081 A JP 2012556081A JP 2012556081 A JP2012556081 A JP 2012556081A JP 2013521648 A JP2013521648 A JP 2013521648A
Authority
JP
Japan
Prior art keywords
semiconductor substrate
conductive material
insulator
forming
amorphous silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012556081A
Other languages
English (en)
Other versions
JP5671070B2 (ja
Inventor
ディー. タン,サン
ジャーン,ミーン
エム. ベイレス,アンドリュー
ケー. ザフラク,ジョン
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2013521648A publication Critical patent/JP2013521648A/ja
Application granted granted Critical
Publication of JP5671070B2 publication Critical patent/JP5671070B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1021Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components including diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/20DRAM devices comprising floating-body transistors, e.g. floating-body cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Volatile Memory (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

セミコンダクタ・メタル・オン・インシュレータ(SMOI)構造を製造する方法は、第1の半導体基板上に絶縁体材料を含むアクセプターウェーハを形成することと、第2の半導体基板上に導電材料及びアモルファスシリコン材料を含むドナーウェーハを形成することと、アクセプターウェーハの絶縁体材料にドナーウェーハのアモルファスシリコン材料を結合させることとを含む。斯かる方法から形成されるSMOI構造はまた、斯かるSMOI構造を含む半導体装置としても開示される。
【選択図】図6

Description

(関連出願の相互参照)
本出願は、同日付で出願され「フローティングボディセル構造、それを含む装置、及びそれらを形成する方法(FLOATINGBODY CELL STRUCTURES,DEVICESINCLUDING SAME,AND METHODSFOR FORMING SAME)」と題する同時係属中の米国特許出願第12/XXX,XXX号(代理人整理番号2269-9743US);同日付で提出され「導電ストラップ上にダイオード構造を含む半導体装置、及び斯かる半導体装置を形成する方法(SEMICONDUCTORDEVICES INCLUDING ADIODE STRUCTURE OVERA CONDUCTIVE STRAP AND METHODSOF FORMING SUCHSEMICONDUCTOR DEVICES)」と題する同時係属中の米国特許出願第12/XXX,XXX号(代理人整理番号2269-9803US);同日付で出願され「サイリスタベースのメモリセル、それを含む装置及びシステム、及びそれらを形成する方法(THYRISTORBASED MEMORY CELLS,DEVICES AND SYSTEMSINCLUDING THE SAMEAND METHODS FORFORMING THE SAME)」と題する同時係属中の米国特許出願第12/XXX,XXX号(代理人整理番号2269-9804US);及び、同日付で提出され「埋め込み導電線を有する半導体セル、アレイ、装置、及びシステム、及びそれらを形成する方法(SEMICONDUCTORCELLS,ARRAYS,DEVICES AND SYSTEMS HAVING ABURIED CONDUCTIVE LINE AND METHODSFOR FORMING THESAME)」と題する同時係属中の米国特許出願第12/XXX,XXX号(代理人整理番号2269-9819US)に関連し、それぞれの開示は、参照によりその全体が本明細書に組み込まれる。
本発明は、種々の実施形態において、埋め込み導電材料を含む半導体構造、及びこのような半導体構造を形成する方法に関する。より具体的には、本発明の実施形態は、埋め込み導電材料を有するセミコンダクタ・メタル・オン・インシュレータ(SMOI)構造、及び斯かる構造を形成する方法に関する。更に、本発明は、斯かるSMOI構造を含む半導体装置、及びこのような半導体装置を形成する方法に関する。
エレクトロニクス産業における一般的な傾向の1つは、電子機器の小型化である。これは、半導体マイクロチップの使用を介して動作される電子機器において特に真実である。マイクロチップは、一般的にほとんどの電子機器の脳として見なされる。概して、マイクロチップは、電子回路を形成するように一体的に構成されるナノスケールの数百万または数十億の電子装置がその上に構築されることができる小さなシリコンウェーハを含む。回路は、所望の機能を実行するために独特な方法で相互接続されている。
高密度マイクロチップを製造することに対する要望により、マイクロチップ上の個々の電子機器及び相互接続のサイズを縮小する必要がある。また“スケールダウン”ムーブメントと呼ばれるものとしても知られるこのムーブメントは、単一のマイクロチップ上の回路の数及び複雑さを増加させている。
従来、電子機器はシリコンウェーハ等の共通の基板上の単一平面にサイドバイサイドで形成されている。このサイドバイサイドのポジショニングは、しかし、比較的多量の表面積、または基板上の“土地(real estate)”を使用している。その結果、装置は、基板面積を少なく活用するための努力において垂直に形成されてもよい。競合的であるために、このような縦型装置は、高アスペクト比(すなわち、幅に対する高さの比)によって形成されている。しかし、装置のアスペクト比が増大するにつれ、対応する相互接続の領土及び電子の両方の要件を満たすことが益々困難になる。このような理由から、単純な平面型装置のスケールダウンは、現在までに実際の実施において産業界で普及している。
最近の傾向においては、基板上に半導体装置を垂直にスタックする。しかし、半導体装置の積層化は、半導体装置のコンポーネントを接続することに対してだけでなく、スタック間の効率的な相互接続を提供することに対しても複雑さを加える。
従って、積層型半導体装置において電子機器への相互接続の競合的アクセシビリティを可能にする、縦型半導体装置を形成する方法が必要とされる。
本開示の一実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の一実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の一実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の一実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の一実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の一実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示の他の実施形態に係る、様々な処理工程におけるSMOI構造の断面図である。 本開示のSMOI構造を含む半導体装置の一実施形態の斜視図である。 本開示のSMOI構造を含む半導体装置の他の実施形態の斜視図である。 本開示のSMOI構造を含む半導体装置の他の実施形態の断面図である。 本開示のSMOI構造を含む半導体装置の他の実施形態の斜視図である。 本開示のSMOI構造を含む半導体装置の他の実施形態の斜視図である。 本開示のSMOI構造を含む半導体装置を含む電子システムの一実施形態を示す概略的なブロック図である。
セミコンダクタ・メタル・オン・インシュレータ(SMOI)構造及び斯かるSMOI構造を形成する方法。このような構造は、一実施形態において、第1の半導体基板上の絶縁体材料、絶縁体材料に結合されたアモルファスシリコン材料、アモルファスシリコン材料上の導電材料、及び、導電材料上の第2の半導体基板を含む。このような構造を形成する方法は、一実施形態において、第1の半導体基板上に形成された絶縁体材料を含むアクセプターウェーハを形成することと、前駆体半導体基板上に導電材料を形成することを含むドナーウェーハを形成することと、導電材料上にアモルファスシリコン材料を形成することと、注入ゾーンを形成する深さで前駆体半導体基板にイオンを注入することとを含む。ドナーウェーハのアモルファスシリコン材料は、アクセプターウェーハの絶縁体材料に結合されてもよい。注入ゾーン上の前駆体半導体基板は、その後、除去されてもよい。
本開示の様々な実施形態に従って形成されたSMOI構造は、絶縁体材料に結合されたアモルファスシリコン材料、導電材料、または、更なるアモルファスシリコン材料を含む。アモルファスシリコン材料は、発熱を伴って、絶縁体材料、導電材料、または更なるアモルファスシリコン材料を結晶化または反応させ、シリコン原子の転位を可能にし、アモルファスシリコン材料と、絶縁体材料、導電材料、または更なるシリコン材料との間の界面における結合強度を向上させることができる。このように、アモルファスシリコン材料と、絶縁体材料、導電材料、及び更なるアモルファスシリコン材料の少なくとも1つとの間に形成された結合は、2つの酸化物材料等の2つの絶縁体材料間で形成された結合よりも実質的に強い場合がある。更に、絶縁体材料にアモルファスシリコン材料を結合させることは、室温(約20℃〜約25℃)等の比較的低い温度で発生してもよく、このように、第1の半導体基板上に形成された、絶縁体材料の下にある任意の装置に対する損傷のリスクを低減する。絶縁体材料、導電材料、及び更なるアモルファスシリコン材料の少なくとも1つにアモルファスシリコン材料を結合させることは、以下により詳細に記載される。本開示の様々な実施形態に従って形成されるSMOI構造はまた、絶縁体材料と第2の半導体基板との間に配置された導電材料を含んでもよい。導電材料は、第2の半導体基板下に埋め込まれている。導電材料は、いくつかの実施形態において、ワード線またはビット線等の相互接続を形成するために、または金属製ストラップを形成するために、用いられてもよい。このような相互接続は、第2の半導体基板内に形成された半導体装置へのアクセスを容易にするために用いられてもよい。
本開示の様々な実施形態に従って形成されるSMOI構造は、第1の半導体基板内または第1の半導体基板上に形成された論理装置、及び第2の半導体基板内または第2の半導体基板上に形成された記憶装置を含む集積回路等の様々な半導体装置を製造するために用いられてもよい。導電材料が第2の半導体基板下に埋め込まれているので、第2の半導体基板上に形成された装置は、以下により詳細に記載されるように、比較的少数の処理工程において形成されてもよい。更に、第2の半導体基板上または第2の半導体基板内に形成された装置は、下にある相互接続及び/またはソース及びドレインコンタクトと自己整合することができ、それゆえ、個々の電気的接触の必要性をなくす。そのうえ、論理装置は、SMOI構造及びメモリ素子が形成される前に、第1の半導体基板上に形成され得るので、記憶装置は、論理装置の形成に用いられる処理条件に曝されない。このような垂直で、自己整合され、積層された集積回路を形成することにより、セルサイズが低減されてもよく、このことは、キャッシュメモリの高密度化を可能にする。
以下の記載は、本開示の実施形態の完全な記載を提供するために、材料の種類及び処理条件等の特定の詳細を提供する。しかし、当業者は、本発明の実施形態が、これらの具体的な詳細を用いることなく、従来の製造技術と組み合わせて実施され得ることが理解される。加えて、本明細書に提供される説明はSMOI構造を含む半導体装置を製造するための完全な処理の流れを形成するものではない。本開示の実施形態を理解するのに必要なそれらの処理工程及び構造だけが、以下に詳細に記載される。本発明の実施形態に係るSMOI構造を含む完全な半導体装置を形成するための更なる工程が、従来の技術によって行われてもよい。加えて、本明細書に記載される方法は、複数の、積層されたSMOI構造を形成するために必要に応じて何度でも繰り返してもよいことが理解される。
本明細書に記載される材料は、これらに限定されるものではないが、スピンコーティング、ブランケットコーティング、化学蒸着(“CVD”)、プラズマ強化CVD(“PECVD”)、原子層堆積(“ALD”)、プラズマ強化ALD、または物理蒸着(“PVD”)を含む任意の適切な技術によって形成されてもよい。あるいは、材料は原位置(in situ)で成長させてもよい。特定の材料を堆積または成長させるための適切な技術は、当業者によって選択されてもよい。本明細書に記載及び図示される材料は層として形成されてもよいが、材料はこれらに限定されるものではなく、他の3次元構成で形成されてもよい。
以下の詳細な説明において、これの一部を形成するとともに、本発明が実施され得る特定の実施形態によって説明目的のために図示される添付の図面が参照される。これらの実施形態は、当業者が本発明を実施することができるように十分に詳細に記載されている。しかし、他の実施形態が利用されてもよく、構造的、論理的、及び電気的な変更が、本発明の範囲から逸脱することなく行われてもよい。本明細書とともに提示される図示は、いかなる特定のシステム、論理装置、メモリセル、または半導体装置の実際の概観を意図したものでもなく、本開示の実施形態を記載するために用いられる表現を単に理想化したものである。本明細書とともに提示される図面は、必ずしも一定の縮尺で描かれているわけではない。更に、図面間で共通の要素は同じ数字表示を保持してもよい。
類似要素に類似の参照符号を付している図面を参照すると、図1〜6は、埋め込まれた導電材料204を含むSMOI構造30(図6)の実施形態を形成する方法の部分断面図である。SMOI構造30は、アクセプターウェーハ10(図1)及びドナーウェーハ20(図2)を結合させることによって形成される。図1は、アクセプターウェーハ10を示す。アクセプターウェーハ10は、第1の半導体基板102及びその上に形成された絶縁体材料104を含んでもよい。第1の半導体基板102は、半導体材料(例えば、シリコン、ガリウム砒素、インジウムリン等)の全部または一部のウェーハの製造基板、シリコン・オン・グラス(SOG)、シリコン・オン・セラミック(SOC)、またはシリコン・オン・サファイア(SOS)基板等のシリコン・メタル・オン・インシュレータ(SMOI)の完全または一部の製造基板、または任意の他の公知の好適な製造基板を含んでもよい。本明細書に用いられる“ウェーハ”という用語は、従来のウェーハだけでなく、他のバルク半導体基板を含む。第1の半導体基板102は、ドープまたは非ドープであってもよい。CMOS装置等の少なくとも部分的に製造された論理装置(図示せず)は、必要に応じて第1の半導体基板102上に存在してもよく、従来の技術によって形成されてもよい。一実施形態において、第1の半導体基板102は、バルク結晶シリコンである。
絶縁体材料104は、非限定的な実施例として、二酸化ケイ素、リンボロンガラス(BPSG)、ホウケイ酸ガラス(BSG)、ホスホシリケートガラス(PSG)等を含む誘電材料であってもよい。一実施形態において、絶縁体材料104は、埋め込み酸化物である。絶縁体材料104は、約1000Å〜約2000Å等のように約500Å〜約2μmの厚さであってもよい。このような誘電材料の堆積及び原位置成長技術は当業者に公知であり、例えば、低圧CVDまたはプラズマCVD等の化学的気相成長法(CVD)、原子層堆積(ALD)、スピンオン堆積、熱分解、または熱成長等を含んでもよい。絶縁体材料104は、上面106を含む。
図2は、SMOI構造30(図6)を形成するために用いられるドナーウェーハ20の一実施形態の部分断面図である。ドナーウェーハ20は、前駆体半導体基板202と、その上に形成された導電材料204及びアモルファスシリコン材料206とを含んでもよい。いくつかの実施形態において、ポリシリコン材料またはアモルファスゲルマニウム等の他のアモルファス材料を、アモルファスシリコン材料206の代わりに用いてもよい。前駆体半導体基板202は、例えば、第1の半導体基板102として用いられる上記の製造基板の1つであってもよい。一実施形態において、前駆体半導体基板202は、結晶シリコン基板等のシリコン基板である。前駆体半導体基板202は、ドープまたは非ドープであってもよい。導電材料204は、これらに限定されるものではないが、相変化材料、チタン、チタンシリサイド、酸化チタン、窒化チタン、タンタル、タンタルシリサイド、酸化タンタル、窒化タンタル、タングステン、タングステンシリサイド、タングステン酸化物、窒化タングステン、その他の金属、金属珪化物、金属酸化物、金属窒化物材料、または複数の異なる導電材料を含むそれらの組合せを含む低抵抗材料であってもよい。窒化チタンは、前駆体半導体基板202として用いられる材料等の多くの材料に良好な接着性または密着性を有するので、一実施形態において、導電材料204は、窒化チタンから形成されてもよい。窒化チタンはまた、高い処理温度によって影響を受けない高融点(約3000℃)を有する。窒化チタンはまた、他の導電材料との良好なオーミック接触を生じる。窒化チタンはまた一般的に半導体製造に使用され、それゆえ、従来の製造工程に容易に組み込まれてもよい。一実施形態において、導電材料204は、金属モード窒化チタン(MMTiN)等のチタンに富むチタン窒化物である。導電材料204はまた、複数の導電材料から形成されてもよい。一実施形態において、導電材料204は、前駆体半導体基板202上のMMTiN材料及びMMTiN材料上のタングステンシリサイド材料である。他の実施形態において、導電材料204は、例えば、チタン、タングステン、またはアルミニウム等の金属と、その上に形成されたチタン材料の層から形成されてもよい。導電材料204の厚さは、導電材料204と前駆体半導体基板202との間に低オーミック接触を提供するために、材料に応じて最適化されてもよい。例えば、導電材料204は、MMTiN等の窒化チタンである場合、導電材料204は、約10nm〜約50nmの厚さを有していてもよい。導電材料204は、例えば、原子層堆積(ALD)、化学蒸着(CVD)、またはプラズマ蒸着(PVD)等の当業者に公知の成膜技術によって形成されてもよい。
アモルファスシリコン材料206は、例えば、ALD、CVD、またはPVD等の当該分野で公知の蒸着技術によって導電材料204の上に形成することができる。一実施形態において、アモルファスシリコン材料206は、PVDの後に化学機械平坦化(CMP)を続けることによって導電材料204上に形成されてもよい。アモルファスシリコン材料206は、以下により詳細に説明されるように、アクセプターウェーハ10の絶縁体材料104に接着するのに十分な厚さであってもよい。例えば、アモルファスシリコン材料206の厚さは、約10nm〜約50nmであってもよい。アモルファスシリコン材料206は、表面212を含む。
図2に示すように、ドナーウェーハ20はまた、前駆体半導体基板202に原子種を注入することによって形成された開裂部208を含んでもよい。原子種は、水素イオン、不活性又は貴ガスとも呼ばれる希ガスのイオン、またはフッ素のイオンであってもよい。原子種が、注入ゾーン210を形成するために、ドナーウェーハ20の前駆体半導体基板202に注入されてもよい。原子種は、前駆体半導体基板202の上に導電材料204を形成する前に、前駆体半導体基板202の上に導電材料204を形成した後に、または前駆体半導体基板202の上にアモルファスシリコン材料206を形成した後に、前駆体半導体基板202に注入されてもよい。移植ゾーン210は、当業者に公知の原子種の注入量及びエネルギー等のパラメータに依存して、前駆体半導体基板202内に所望の深さで形成されてもよい。移植ゾーン210の深さは、SMOI構造30(図6)の第2の半導体基板202’の厚さに対応してもよい。移植ゾーン210は、前駆体半導体基板202内で領域の弱化をもたらす、埋め込まれた原子種を含むマイクロバブルまたはマイクロキャビティを含み得る。ドナーウェーハ20は、ドナーウェーハ20の結晶転位とマイクロバブルまたはマイクロキャビティの融合をもたらすために、注入が行なわれる温度より高い温度で熱的に処理されてもよいが、その温度は導電材料204の融点未満である。以下に記載するように、ドナーウェーハ20は、移植ゾーン210で劈開され、SMOI構造30(図6)の第2の半導体基板202’及び劈開部208を形成してもよい。明確にするために、“第2の半導体基板”という用語は、本明細書中において劈開部208を除去した後の半導体構造を指すように用いられ、“前駆半導体基板”という用語は、本明細書中において劈開部を除去する前の半導体構造を指すように用いられている。
図3に示すように、ドナーウェーハ20は、ドナーウェーハ20のアモルファスシリコン材料206がアクセプターウェーハ10の絶縁体材料104と接触するように、アクセプターウェーハ10上に重ね合わせられてもよい。ドナーウェーハ20のアモルファスシリコン材料206は、その後、熱に曝すことによってアクセプターウェーハ10の絶縁体材料104に結合されてもよい。アクセプターウェーハ10にドナーウェーハ20を結合させる前に、アモルファスシリコン材料206の表面212と絶縁体材料104の上面106の少なくとも一方が、必要に応じて、アモルファスシリコン材料206と絶縁体材料104との間の結合強度を向上させるために処理されてもよい。このような処理技術は、当業者に公知であり、化学活性化、プラズマ活性化、またはインプラントの活性化を含んでもよい。例えば、絶縁体材料104の上面106は、希釈した水酸化アンモニウムまたはフッ化水素溶液を用いて処理されてもよい。アモルファスシリコン材料206の表面212はまた、プラズマ活性化表面を形成するために、例えば、アルゴンのプラズマに曝されてもよい。アモルファスシリコン材料206の表面212と絶縁体材料104の上面106の少なくとも一方を活性化すると、アモルファスシリコン材料205の表面212及び絶縁体材料104の上面106上に作られたイオン種(例えば、水素)のモビリティが増加するために、それらの間の後続する結合の反応速度を増加させる場合がある。
図4に示すように、ドナーウェーハ20のアモルファスシリコン材料206は、SMOI構造30の前駆体を形成するために、アクセプターウェーハ10の絶縁体材料104と接触かつ結合されてもよい。アモルファスシリコン材料206は、例えば、約300℃〜約400℃等の約600℃未満の温度にSMOI構造30を加熱することによって、絶縁体材料104に結合されてもよい。絶縁体材料104が二酸化ケイ素から形成されている場合、酸化ケイ素結合が、アモルファスシリコン材料206と絶縁体材料104との間に形成されてもよい。導電材料204は、金属または他の熱に敏感な材料で形成されてもよいので、SMOI構造30が曝される温度は、導電材料204の融点未満であってもよい。アモルファスシリコン材料206及び絶縁体材料104はまた、周囲温度(約20℃から約25℃まで)等で、加熱することなく結合されてもよい。圧力もまた、絶縁体材料104にアモルファスシリコン材料206を結合させるために、ドナーウェーハ20及び受容体ウェーハ10に印加されてもよい。一旦、アクセプターウェーハ10にドナーウェーハ20が結合されると、ドナーウェーハ20からの導電材料204は、絶縁体材料104と前駆体半導体基板202との間に配置される埋められた導電材料を形成してもよい。
SMOI構造30(図6)を形成するために、劈開部208は、図5に示すように、前駆体半導体基板202から除去されてもよい。劈開部208は、移植ゾーン210にせん断力を加えることによる、または移植ゾーン210で熱を加えるまたはジェットガス流をあてることによる等の当業者に公知の技術により除去されてもよい。移植ゾーン210に注入された水素または他のイオンは、劈開の影響を受けやすい前駆半導体基板202に弱化領域を生成する。第2の半導体基板202’の残りの部分は、例えば、約50nm〜約500nm(約500Å〜約5000Å)の厚さを有してもよい。劈開部208を除去した後に露出するSMOI構造30の表面302は、粗くギザギザであってもよい。SMOI構造30の露出面302は、以下に記載するように、SMOI構造30の更なる処理を容易にするために、所望の程度に平滑化されてもよい。SMOI構造の露出面302は、例えば、粉砕、ウェットエッチング、化学機械研磨(CMP)、平面反応性イオンエッチング(RIE)のうちの1つ以上等の従来技術によって平滑化されてもよい。
SMOI構造30及び以下に記載する他の構造は、SMART−CUT(登録商標)層転写技術の改変によって形成されてもよい。SMART−CUT(登録商標)層転写技術は、例えば、Bruelの米国特許第RE39484号明細書、Aspar他の米国特許第6,303,468号明細書、Aspar他の米国特許第6,335,258号明細書、Moriceau他の米国特許第6,756,286号明細書、Aspar他の米国特許第6,809,044号明細書、Aspar他の米国特許第6,946,365号明細書、及びDupontの米国特許出願公開第2006/0099776号明細書に詳細に記載されている。しかしながら、十分に低い処理温度が維持されている場合は、SMOI基板を製造するのに適した他の処理が用いられてもよい。SMART−CUT(登録商標)層転写技術の従来の実装において、ドナーウェーハ及びアクセプターウェーハは、高温アニールを用いて共に結合される。ドナー及びアクセプターのウェーハを結合するために用いられる温度は約1000℃〜約1300℃である。しかしながら、本明細書に記載されるSMOI構造のおける導電材料204の存在に起因して、開示のSMOI構造は、ある状況において、熱損傷することなく、このような温度に対する曝露に耐えることができない場合がある。従って、上に記載したように、より低い温度が、アクセプターウェーハ10及びドナーウェーハ20を結合するために用いられてもよい。
図6は、露出面302が平滑化された後のSMOI構造30を図示したものである。ドナーウェーハ20がアクセプターウェーハ10に接合され、かつ、露出面302が平滑化されると、メモリセル等の半導体装置は、SMOI構造30の第2の半導体基板202’上及び/または内に形成されてもよい。以下に記載するように、SMOI構造30の導電材料204は、例えば、ビット線またはワード線等の相互接続として、ゲートとして、または金属製ストラップとして機能してもよい。
図7〜図10は、埋め込まれた導電性シリサイド材料410を含むSMOI構造50(図10)の実施形態を形成する方法の部分断面図である。図7は、SMOI構造50(図10)を形成するために用いられるアクセプターウェーハ11を示す。アモルファスシリコン材料206がアクセプターウェーハ10上の絶縁体材料上に形成され得ることを除いて、アクセプターウェーハ11は、上記アクセプターウェーハ10と実質的に類似していてもよく、図1に関して上に記載したように形成されてもよい。図7に示すように、アクセプターウェーハ10は、絶縁体材料104上に形成されたアモルファスシリコン材料206、及び第1の半導体基板102上に形成された絶縁体材料104を含んでもよい。
図8は、SMOI構造50(図10)を形成するために用いられるドナーウェーハ40の一実施形態の部分断面図である。ドナーウェーハ40が導電材料204(図2)の代わりに任意の非反応性の導電材料402及び反応性の導電材料404を含み得ること、また、アモルファスシリコン材料206(図2)がドナーウェーハ40上に形成されないことを除いて、ドナーウェーハ40は、上記ドナーウェーハ20と実質的に類似していてもよく、図2に関して上に記載したように形成されてもよい。非反応性の導電材料402は、例えば、窒化チタン等の金属窒化物から形成されてもよい。しかしながら、反応性の導電材料404と化学的に反応しない任意の導電材料またはその反応生成物が用いられてもよい。非反応性の導電材料402の厚さは、反応性の導電材料404の厚さに比べて相対的に薄くてもよい。例えば、非反応性の導電材料402は、約20Å〜約200Åの厚さを有してもよい。反応性の導電材料404は、アモルファスシリコン材料206と反応することができる金属から形成されてもよく、アモルファスシリコン材料206を結晶化させる触媒として作用する。一実施形態において、反応性の導電材料404はチタンである。反応性の導電材料404は、約200Å〜約500Åの厚さを有してもよい。非反応性の導電材料402及び反応性の導電材料404は、例えば、ALD、CVDまたはPVD等の当業者に公知の蒸着技術によって形成されてもよい。
図9に示すように、ドナーウェーハ40は、図3〜図6に関して先に記載したように、アクセプターウェーハ10上に重ね合わせて結合されてもよく、かつ、劈開部208(図8)は除去されてもよい。得られたSMOI構造50は、第1の半導体基板102、絶縁体材料104、アモルファスシリコン材料206、反応性の導電材料404、非反応性の導電材料402、及び第2の半導体基板202’を含んでもよい。
図10に示すように、SMOI構造50は、反応性の導電材料404がアモルファスシリコン材料206と化学的に反応し、非反応性の導電材料402下に埋め込まれる導電性シリサイド材料410を形成するようにアニールされてもよい。反応性の導電材料404は、導電性シリサイド材料410としてチタンシリサイドを形成するためにアモルファスシリコン材料206と反応するチタンから形成されてもよい。反応性の導電材料404及び非反応性の導電材料402はまた、チタンを豊富に含む窒化チタン(MMTi)の等の単一材料であってもよい。チタンを豊富に含むチタン窒化物の過剰なチタンは、アモルファスシリコン材料206と反応し、導電性シリサイド材料410を形成してもよい。導電性シリサイド材料410を形成するためのSMOI構造50のアニーリングは、例えば、約600℃〜約800℃の温度で生じてもよい。導電性シリサイド材料410と絶縁体材料104との間の結合の強さは、アモルファスシリコン材料206と絶縁体材料104との間の結合の強さよりも大きくてもよい。導電性シリサイド材料410は、SMOI構造50に対して反応性の導電材料404より低い抵抗を提供することがある。
図11〜図14は、ドープされた半導体基板の下に埋め込まれている導電材料を含むSMOI構造70(図14)の実施形態を形成する方法の部分断面図である。図11は、図1の実質的な重複であるとともに図1に関して上に記載されたように形成され得るアクセプターウェーハ10を示す。図11に示すように、アクセプターウェーハは、第1の半導体基板102上に形成された絶縁体材料104を含んでもよい。
図12は、SMOI構造70(図14)を形成するために用いられるドナーウェーハ60の一実施形態の部分断面図である。ドナーウェーハ60は、上に記載されたドナーウェーハ20と同様の前駆体半導体基板202を含んでもよく、図2に関して上に記載されたように形成されてもよい。前駆体半導体基板202は、P+ドープ領域602、N−ドープ領域604、及びN+ドープ領域606を形成するために、当業者に公知のように、ドープされ活性化されてもよい。一実施形態において、前駆体半導体基板が高温処理によって破損する虞があるMMTiN材料610(図13)をまだ含んでいないので、前駆体半導体基板202は、高温処理を用いてドープされてもよい。他の実施形態において、SMOI装置70(図14)がより優れたドーパントプロファイル制御のための低温処理を用いて形成された後に、P+ドープ領域602が形成されてもよい。図12は、P+ドープ領域602、N−ドープ領域604、そしてN+ドープ領域606という特定の順序を伴うものとして図示されているが、当業者は、SMOI構造70(図14)の所望の機能を達成するために任意の組み合わせのドープ領域を選択してもよいことが理解される。ドナーウェーハ60は、所望のドーパントが注入され得る2つの露出面を有するので、ドープ領域602、604、606の深さ及び濃度(すなわち、高濃度ドープまたは低濃度ドープ)は、アクセプターウェーハ10にドナーウェーハ60が結合された後にドープ領域が形成される場合よりも、容易にかつ正確に制御されることがある。図12に示すように、シリサイド材料608は、例えばN+ドープ領域606上等の前駆体半導体基板202上に形成されてもよい。反応性の導電材料がシリサイド材料608を形成するために前駆体半導体基板202と反応するように、シリサイド材料608は、前駆体半導体基板202上に反応性の導電材料を形成することによって形成されてもよい。シリサイド材料608は、前駆体半導体基板202と低いオーミック接触を有する場合がある。金属モード窒化チタン(MMTiN)材料は、シリサイド材料608上に形成されてもよい。MMTiN材料610及びタングステンシリサイド材料612は、例えば、ALD、CVD、またはPVD等の当業者に公知の蒸着技術によって形成されてもよい。シリサイド材料608の厚さは、MMTiN材料610の厚さに比べて相対的に薄くてもよい。例えば、シリサイド材料608は、約50Å〜約500Åの厚さを有してもよい。MMTiN材料610は、約500Å〜約1000Åの厚さを有してもよい。また、図12に示すように、劈開部208は、前駆体半導体基板202に原子種を注入することによって形成され、図2に関して先に記載されたように、注入ゾーン210を形成してもよい。図12に示すように、注入ゾーン210は、前駆体半導体基板202のP+ドープ領域602内に形成されてもよい。シリサイド材料608及びMMTiN材料610は、注入ゾーン210を形成するときに、原子種の注入に実質的に最小限の影響を与える場合がある。
図13に示すように、タングステンシリサイド材料612及びアモルファスシリコン材料206は、シリサイド材料608上に形成されてもよい。タングステンシリサイド材料612は、例えば、ALD、CVD、またはPVD等の当業者に公知の蒸着技術によって形成されてもよい。タングステンシリサイド材料612は、MMTiN窒化物材料610よりも優れた導体であり得る。いくつかの実施形態において、タングステンシリサイド材料612は、注入ゾーン210が形成された後に、チタンシリサイド材料612及びMMTiN材610上に形成されてもよい。
図14に示すように、ドナーウェーハ60は、図3〜図6に関して先に記載したように、アクセプターウェーハ上に重ね合わせて結合されてもよく、かつ、劈開部208(図13)は除去されてもよい。得られたSMOI構造70は、第1の半導体基板102と、絶縁体材料104と、アモルファスシリコン材料206と、タングステンシリサイド材料612と、MMTiN材料610と、シリサイド材料608と、N+ドープ領域606、Nドープ領域604、及びP+ドープ領域60を含む第2の半導体基板202’とを含んでもよい。いくつかの実施形態において、第2の導電材料(図示せず)は、以下により詳細に記載されるように、半導体装置を形成するために用いられ得る上部電極を形成するために、P+ドープ領域602上に形成されてもよい。
図15〜図18は、ドープ半導体材料を含むSMOI構造90(図18)の実施形態を形成する他の方法の部分断面図である。図15は、図1の実質的な重複であり、図1に関して上に記載されたように形成されてもよい。図15に示すように、アクセプターウェーハ10は、第1の半導体基板102上に形成された絶縁体材料104を含む。
図16は、SMOI構造90(図18)を形成するために用いられるドナーウェーハ80の一実施形態の部分断面図である。ドナーウェーハ80が、前駆体半導体基板202と導電材料204との間に配置されたドープ半導体材料802を含んでもよいことを除いて、ドナーウェーハ80は、上の図2に関して記載されたドナーウェーハ20と実質的に類似していてもよく、図2に関して上に記載したように形成されてもよい。ドープ半導体材料802は、例えば、ゲルマニウム(Ge)、炭化ケイ素(SiC)、及び窒化ガリウム(GaN)の少なくとも1つから形成されてもよい。前駆体半導体基板202は、少なくとも1つのP+またはN+ドープ領域804を形成するためにドープされてもよい。ドープ半導体材料802はまた、Pドープ領域806及びNドープ領域808を形成するためにドープされてもよい。一実施例において、Pドープ領域806は、前駆体半導体基板202のP+またはN+ドープ領域804に隣接するPドープシリコンカーバイド材料を含んでもよく、Nドープ領域808はPドープ領域806に隣接するNドープシリコンカーバイド材料を含んでもよい。ドープ半導体材料802は、従来の蒸着または原位置成長技術を用いて前駆体半導体基板202上に形成されてもよく、例えば、低圧CVD法またはプラズマCVD等の化学気相成長法(CVD)、原子層堆積(ALD)、スピンオン堆積、熱分解、または熱成長を含んでもよい。導電材料204及びアモルファスシリコン材料206は、ドープ半導体材料802上に堆積されてもよく、前駆体半導体基板202は、図2に関して上に記載したように、注入ゾーン210及び劈開部208を形成するために原子種が注入されてもよい。
図17に示すように、ドナーウェーハ80は、図3〜図6に関して先に記載したように、アクセプターウェーハ10に重ね合わせて結合されてもよく、かつ、劈開部208は除去されてもよい。得られるSMOI構造90は、第1の半導体基板102と、絶縁体材料104、アモルファスシリコン材料206と、導電材料204と、Nドープ領域808及びPドープ領域806を含むドープ半導体材料802と、P+またはN+ドープ領域804を含む第2の半導体基板202’とを含む。図18に示すように、第2の半導体基板202’は、CMP等の当業者に公知の技術を用いて研磨されてもよい。
図19〜図21は、絶縁体材料104及び高誘電率(high−k)誘電材料112を含むSMOI構造120(図21)の実施形態を形成する他の方法の部分断面図である。図19は、図1の実質的な重複であり、図1に関して上に記載したように形成されてもよい。図19に示すように、アクセプターウェーハ10は、第1の半導体基板102上に形成された絶縁体材料104を含む。
図20は、SMOI構造120(図21)を形成するために用いられるドナーウェーハ110の一実施形態の部分断面図である。ドナーウェーハ110が、前駆体半導体基板202と、導電材料204との間に配置された高誘電率誘電材料112を含むことを除いて、ドナーウェーハ110は、上の図2に関して記載したドナーウェーハ20と実質的に類似していてもよく、図2に関して上に記載したように形成されてもよい。高誘電率誘電材料112は、これらに限定されるものではないが、TA、ZrO、HfO、TiO、Al、Y、La、HfSiO、ZrSiO、LaSiO、YSiO、ScSiO、CeSiO、HfLaSiO、HfAlO、ZrAlO、及びLaAlOを含む、例えば、二酸化ケイ素、酸化ハフニウム、及び他の酸化物、ケイ酸塩、または、ジルコニウム、アルミニウム、ランタン、ストロンチウム、チタン、または、それらの組み合わせのアルミンから形成されてもよい。加えて、ハフニウム酸窒化物、イリジウム酸窒化物、及び他の高誘電率誘電材料等の多金属酸化物が、単一または複合層のいずれかにおいて用いられてもよい。高誘電率誘電材料112は、従来の蒸着または原位置成長技術を用いて前駆体半導体基板202上に形成されてもよく、例えば、低圧CVD法またはプラズマCVD法等の化学気相成長法(CVD)、原子層堆積(ALD)、スピンオン堆積、熱分解、または熱成長を含んでもよい。必要に応じて、ドナーウェーハ110はまた、金属113及びドープ領域115を含んでもよい。金属113は、例えば、金属モードチタン(MMTi)、チタン(Ti)、タンタル(Ta)、コバルト(Co)、及びニッケル(Ni)等の反応性導体を含んでもよい。導電材料204及びアモルファスシリコン材料206は、高誘電率誘電材料112上に堆積させてもよく、前駆体半導体基板202は、図2に関して上に記載したように、注入ゾーン210及び劈開部208を形成するために原子種が注入されてもよい。
図21に示すように、ドナーウェーハ110は、図3〜図6に関して先に記載したように、アクセプターウェーハ10上に重ね合わせて結合されてもよく、かつ、劈開部208(図20)は除去されてもよい。得られるSMOI構造120は、基板102と、絶縁体材料104と、アモルファスシリコン材料206と、導電材料204と、高誘電率誘電材料112と、第2の半導体基板202’とを含む。
図28〜図22は、パターニングされた導電材料204’を含むSMOI構造140(図28)の他の実施形態を形成する方法の断面図である。図22は、図1の実質的な重複であり、図1に関して上に記載したように形成されてもよい。図22に示すように、アクセプターウェーハ10は、第1の半導体基板102上に形成された絶縁体材料104を含む。
図23は、SMOI構造140(図28)を形成するために使用されるドナーウェーハ130の一実施形態の部分断面図である。ドナーウェーハ130は、導電材料204及びその上に形成されたキャップ材料132を有する前駆体半導体基板202を含む。キャップ材料132は、窒化物材料または酸化物材料等の誘電材料で形成されてもよい。キャップ材料132は、これらに限定されるものではないが、ALD、CVD、またはPVDを含む、当業者に公知の蒸着技術によって形成されてもよい。
図24に示すように、キャップ材料132及び導電材料204は、をパターニングされたキャップ材料132’及びパターニングされた導電材料204'を含む少なくとも1つの構造体134を形成するようにパターニングされてもよい。キャップ材料132及び導電材料204は、フォトレジストマスキング及び異方性エッチング等の当業者に公知の技術を用いてパターニングされてもよい。あるいは、いくつかの実施形態において、パターニングされたキャップ材料132’及びパターニングされた導電材料204’は、当業者に公知であり、それゆえ、本明細書には詳細に記載しない、ダマシンフロー処理を用いて、少なくとも1つの構造体34として形成されてもよい。図25に示すように、層間誘電材料136は、パターニングされたキャップ材料132及びパターニングされた導電材料204’からなる構造体134の少なくとも1つの上に堆積されてもよい。層間誘電材料136は、少なくとも1つの構造体134を隣接する構造体134から電気的に分離するために用いられてもよい。図26に示すように、層間誘電材料136は、パターニングされたキャップ材料132’の上面を露出するために当業者に公知のCMP等によって除去されてもよい。パターニングされたキャップ材料132’は、CMPストッパーとして機能してもよい。
図27に示すように、アモルファスシリコン材料206は、層間誘電材料136及びパターニングされたキャップ材料132’上に形成されてもよい。ドナーウェーハ130はまた、図2に関して先に記載したように、原子種を注入され、注入ゾーン210及び劈開部208を形成してもよい。図28に示すように、ドナーウェーハ130は、図3〜図6に関して先に記載したように、アクセプターウェーハ10上に重ね合わせて結合されてもよく、かつ、劈開部208が削除されてもよい。得られるSMOI構造140は、第1の半導体基板102と、絶縁体材料104と、アモルファスシリコン材料206と、パターニングされたキャップ材料132’及び導電材料204’からなる構造体134の少なくとも1つと、層間誘電材料136によって電気的に絶縁されている構造体134の少なくとも1つと、第2の半導体基板202’とを含む。導電材料204’を含む柱134がパターニングされ、かつ、層間誘電材料136によって分離されるので、導電材料204’は、以下により詳細に記載されるように、更なる処理なくワード線またはビット線等の相互接続として用いてもよい。
更なる実施形態において、導電材料204は、ドナーウェーハ上ではなくアクセプターウェーハ上に形成されてもよい。例えば、図29〜図31は、導電材料204を含むSMOI構造170(図31)の実施形態を形成する他の方法の部分断面図を示す。図29に示すように、アクセプターウェーハ150は、第1の半導体基板102と、絶縁体材料104と、導電材料204とを含む。アクセプターウェーハ150は、必要に応じて、結合材料152を含んでもよい。結合材料152(存在する場合)は、先に記載したようなアモルファスシリコン材料であってもよく、二酸化ケイ素等の酸化物材料であってもよい。いくつかの実施形態において、導電材料204は、図22〜図28に関して上に記載したように、パターニングされるとともに、層間誘電材料(図示せず)を用いて充填されてもよい。
図30は、SMOI構造170(図31)を形成するために用いられるドナーウェーハ160の一実施形態の部分断面図である。ドナーウェーハ160は、前駆体半導体基板202及びアモルファスシリコン材料206を含んでもよい。ドナーウェーハ160は、図2に関して先に記載したように、原子種を注入され、注入ゾーン210及び劈開部208を形成してもよい。
図31に示すように、ドナーウェーハ160は、アクセプターウェーハ150上に重ね合わせて結合されてもよく、かつ、図3〜図6に関して先に記載したように、劈開部208は除去されてもよい。得られるSMOI構造170は、第1の半導体基板102と、絶縁体材料104と、導電材料204と、アモルファスシリコン材料206に結合された結合材料152(存在する場合)と、第2の半導体基板202’とを含む。
更なる実施形態において、複数のSMOI構造は、ドナーウェーハ上にシリコン材料の複数の層を生成することによって形成されてもよい。例えば、図32〜図34は、導電材料204を含むSMOI構造200(図32)の実施形態を形成する他の方法の部分断面図を示す。図32に示すように、アクセプターウェーハ180は、第1半導体基板102と、絶縁体材料104と、導電材料204とを含む。
図33は、SMOI構造200(図34)を形成するために用いられるドナーウェーハ190の一実施形態の部分断面図である。ドナーウェーハ190は、前駆体半導体基板202と、シリコン・ゲルマニウム(SiGe)材料192の少なくとも一部と、エピタキシャル(EPI)シリコン材料194の少なくとも一部とを含んでもよい。SiGe材料192及びEPIシリコン材料194は、当業者に公知の方法によって、かつ、任意の所望の厚さに形成されてもよい。更に、SiGe材料192及びEPIシリコン材料194は、ドープまたは非ドープであってもよい。図33は、SiGe材料192の一部分及びEPIシリコン材料194の一部分を示すが、SiGe材料192とEPIシリコン材料194とが交互になった部分を形成することにより、複数の部分が存在してもよい。いくつかの実施形態において、破線で図示されたアモルファスシリコン材料206は、EPIシリコン材料194またはSiGe材料192の最上部の上に必要に応じて形成されてもよい。あるいは、いくつかの実施形態において、アモルファスシリコン材料206は省略されてもよく、EPIシリコン材料194またはSiGe材料192の最上部は、アクセプターウェーハ180に結合されてもよい。ドナーウェーハ190はまた、原子種を注入され、図2に関して先に記載したように、注入ゾーン210及び劈開部208を形成してもよい。
図34に示すように、ドナーウェーハ190は、アクセプターウェーハ180上に重ね合わせて結合されてもよく、かつ、図3〜図6に関して先に記載したように、劈開部208は除去されてもよい。得られるSMOI構造200は、第1の半導体基板102と、絶縁体材料104と、導電材料204と、アモルファスシリコン材料206(存在する場合)と、EPIシリコン材料194の少なくとも一部分と、SiGe材料192の少なくとも一部分と、第2の半導体基板202’とを含む。図23においては、導電材料204にアモルファスシリコン材料206を結合させるように図示されているが、EPIシリコン材料194、SiGe材料192、またはアモルファスシリコン材料206(存在する場合)のいずれかが、アクセプターウェーハ180にドナーウェーハ190を結合させるために用いられてもよい。SMOI構造200が形成されると、SiGe材料192の部分は、例えば、ウェットアンダーカットエッチングを利用する等によって除去されてもよい。除去されたSiGe材料192の部分は、その後、酸化物材料等の誘電材料(図示せず)で充填されてもよく、または、除去された部分は充填されないまま、エアギャップ(図示せず)を形成してもよい。基板102上に複数のSMOI構造を形成するために、SiGe材料192の部分を誘電材料またはエアギャップと置換してもよい。更に他の実施形態では、SMOI構造200は、導電材料204無しに形成されてもよく、そのようにして、導電材料204無しに基板102上に複数のSMOI構造を形成することができる。
更なる実施形態において、SMOI構造は、複数部分の埋め込み誘電材料から形成されてもよい。例えば、図35〜図38は、複数部分の埋め込み誘電材料226を含むSMOI構造250(図38)の実施形態を形成する他の方法の部分断面図を示す。図35に示すように、アクセプターウェーハ220は、第1の半導体基板102と、絶縁体材料104と、酸化物材料222の少なくとも一部分と、窒化物材料224の少なくとも一部分を含む。いくつかの実施形態において、絶縁体材料104は、必要に応じて、省略されてもよい。酸化物材料222及び窒化物材料224の部分は、交互に形成されてもよい。酸化物材料222及び窒化物材料224は、当業者に公知の方法によって、かつ、任意の所望の厚さに形成されてもよい。図35は、窒化物材料224の2つの部分と交互に酸化物材料222の2つの部分を含むように図示されているが、任意の数の酸化物材料222及び窒化物材料224の部分が存在し得ることが理解される。
図36は、SMOI構造250(図38)を形成するために用いられるドナーウェーハ230の一実施形態の部分断面図である。ドナーウェーハ230は、図2に関して上に記載したドナーウェーハ20と実質的に類似していてもよく、図2に関して上に記載したように形成されてもよい。図36に示すように、ドナーウェーハ230は、前駆体半導体基板202及びアモルファスシリコン材料206を含んでもよい。ドナーウェーハ230はまた、原子種を注入され、注入ゾーン210及び劈開部208を形成してもよい。
図37に示すように、ドナーウェーハ230は、アクセプターウェーハ220上に重ね合わせて結合されてもよく、かつ、図3〜図6に関して先に記載したように、劈開部208は除去されてもよい。得られるSMOI構造240は、第1の半導体基板102と、絶縁体材料104と、酸化物材料222の少なくとも一部分と、窒化物材料224の少なくとも一部分と、アモルファスシリコン材料206と、第2の半導体基板202’とを含む。図37は、酸化物材料222の少なくとも一部にアモルファスシリコン材料206を結合するように図示されているが、窒化物材料224の少なくとも一部分、酸化物材料222の少なくとも一部分、または更なるアモルファスシリコン材料(図示せず)のいずれかが、アクセプターウェーハ220にドナーウェーハ230を結合させるために用いられてもよい。SMOI構造240が形成されると、窒化物材料224の部分が、例えば、ウェットエッチングを利用する選択的アンダーカット等によって、選択的に除去されてもよい。除去された窒化物材料224の部分には、その後、導電材料226が充填され、図38に図示したSMOI構造250を形成してもよい。窒化物材料224を導電材料226と交換することは、埋め込まれた導電材料226の複数の層を有するSMOI構造250を形成するために用いられてもよい。導電材料226の層は、等しい厚さを有するように図示されているが、導電材料226の異なる層は、SMOI構造250の所望の用途に応じて厚さが変化してもよいことが理解される。導電材料226の複数の層は、ワード線及びビット線等の複数の内部接続を形成するために用いられてもよい。更なる実施形態において、第2の半導体基板202’上または第2の半導体基板202’内に半導体素子を形成するとき、導電材料226の最上部だけが、以下でより詳細に記載されるように、半導体装置を形成するために利用されてもよく、導電材料226の下部はそのまま残されてもよい。そのまま残された導電材料226の下部は、SMOI構造250の結合強度及び安定性を向上させることがある。
本明細書に記載されるSMOI構造30、50、70、90、120、140、170、200、250は、「バイアスゲートを備えた単一トランジスタメモリセル(One−transistorMemory Cell withBias Gate)」と題したTang他の米国特許第7589995号明細書、「デュアルワーク機能を備えた埋込型アクセスデバイス及び形成方法(DualWork Function RecessedAccess Device andMethods of Forming)」と題したAnanthan他の米国特許出願公開第2007/0264771号明細書、「フローティングボディを有するメモリセルに関する方法、装置、及びシステム(Methods, Devices, and Systems Relatingto Memory CellsHaving a Floating Body)」と題したTang他の米国特許出願第12/410,207号明細書、「フローティングボディを有するメモリセルに関する方法、装置、及びシステム(Methods, Devices, and Systems Relatingto Memory CellsHaving a Floating Body)」と題したTangの米国特許出願第12/419,658号明細書に記載されているものを含む、当業者に公知の多数の半導体素子を形成するために用いられてもうよい。上記文書のそれぞれの開示は、この参照によりその全体が本明細書に組み込まれる。SMOI構造30、50、70、90、120、140、170、200、250は、2つ以上の端子を備える任意の半導体素子を形成するために用いられてもよい。例えば、SMOI構造30、50、70、90、120、140、170、200、250は、ダイナミック・ランダム・アクセス・メモリ(DRAM)、抵抗性の不揮発性RAM(ReRAM,抵抗変化型不揮発性メモリ)、相変化RAM(PCRAM)、ワンタイム・プログラマブル・リード・オンリ・メモリ(OTP・ROM)、またはキャッシュメモリ装置を形成するために用いられてもよい。
図39は、第2の半導体基板312の下に埋め込まれた導電材料304を有するSMOI構造301を含む半導体装置300の実施形態の一実施例を示す。SMOI構造301は、例えば、第1の半導体基板306、絶縁体材料308、アモルファスシリコン材料310、導電材料304、及び第2の半導体基板312を含むことができる。SMOI構造301は、図1〜図3、図1〜図6、図7〜図10、図11〜図14、図15〜図18、図19〜図21、図22〜図28、図32〜図34、または図35〜図38に関して上に記載したものと類似の方法で形成されてもよい。
アモルファスシリコン材料310、導電材料304、及び第2の半導体基板312は、ビット線314を形成するために、従来の技術によって第1の方向にパターニングされてもよい。あるいは、SMOI構造301が、図22〜28に関して上に記載したものと類似の方法で形成される場合、導電材料304は、既に第1の方向にパターニングされていてもよい。第2の半導体基板312は、ビット線314上に柱316を形成するために、従来の技術によって第1の方向と直交する第2方向にパターニングされてもよい。柱316は、ドレイン領域318、ソース領域320、及びチャネル領域322を形成するために、当業者に公知のようにドープされてもよい。あるいは、第2の半導体基板312は、図11〜図14及び図15〜図18に関して先に記載したように、既にドープされていてもよい。ドレイン領域318、ソース領域320、及びチャネル領域322は、柱316の本体から垂直に形成され、柱316は、ビット線314の上部に直接あるので、従来の平面配置よりも高いデバイス密度が達成され得る。ゲート誘電体324は、チャネル領域322に隣接する柱316の側壁に形成されてもよい。ゲート326もまた、ゲート誘電体324に隣接する柱316の側壁に形成されてもよい。ゲート誘電体324及びゲート326は、本明細書において詳細には記載されていない従来のスペーサエッチング技術を含む従来の技術を用いて形成されてもよい。
半導体装置300を形成するためにSMOI構造301を利用することによって、半導体装置300は、わずか3程度のパターニング工程で形成されてもよい。先に記載したように、第2の半導体基板312は、ビット線314を形成するために、第1の方向にパターニングされてもよく、第2の半導体基板312は、ビット線上に支柱316を形成するために、第2の方向にパターニングされてもよく、ゲート326及びゲート誘電体324は、柱316の側壁にゲート326及びゲート誘電体324を形成するためにパターニングされてもよい。更に、ドレイン領域318、ソース領域320、及びチャネル領域322は、ビット線314上の柱316から形成されているため、ビット線314及びドレイン領域318を電気的に結合するために別個の接点は必要ない。その上、論理装置(図示せず)及びバックエンドプロセス(配線形成工程、BEOL)素子(図示せず)は、半導体装置300の形成に先立って第1の半導体基板306上に形成されてもよいので、半導体装置300は、論理装置及びBEOL要素を形成するための処理条件に曝されることはない。このような処理条件への曝露を避けることは、半導体装置300の信頼性を向上させることがある。
図40は、第2の半導体基板412の下に埋め込まれた導電材料403を有するSMOI構造401を含む半導体装置400の他の実施形態を示す。半導体装置400は、ダイオード422等のアクセスデバイスに結合されたメモリセルを含んでもよい。SMOI構造401は、例えば、第1の半導体基板406、誘電材料408、アモルファスシリコン材料409、導電材料403、及び第2の半導体基板412を含んでもよい。SMOI構造401は、図1〜図6、図7〜図10、図11〜図14、図15〜図18、図19〜図21、図22〜図28、図32〜図34、または図35〜図38に関して上に記載したものと類似の方法で形成されてもよい。
アモルファスシリコン材料409、導電材料403、及び第2の半導体基板412は、ワード線415を形成するために、従来の技術によって第1の方向にパターニングされてもよい。あるいは、SMOI構造401が、図22〜図28に関して上に記載したものと類似の方法で形成されている場合、導電材料403は、第1の方向に既にパターニングされてもよい。第2の半導体基板412の一部分は、柱423を形成するために、従来の技術によって第2の方向にパターニングされてもよい。第2の半導体基板412は、ワード線415上にダイオード422を形成するために、従来の技術によってドープされてもよい。例えば、第2の半導体基板412は、単結晶シリコン材料から形成されてもよく、Nドープシリコン材料414及びPドープシリコン材料416を形成するためにドープされてもよい。Nドープシリコン材料414は、第2の方向にエッチングされていないワード線415の上方に延びる第2の半導体基板412の一部分を含んでもよい。Pドープシリコン材料416は、柱423を形成するために、第2の方向にエッチングされた第2の半導体基板412の一部分を含んでもよい。あるいは、第2の半導体基板は、図11〜図14及び図15〜図18に関して先に記載したようにドープされてもよい。記憶装置400の下部電極418は、従来技術を用いてダイオード412の上方に形成されてもよい。例えば、一実施形態において、下部電極418の材料は、第2の半導体基板412のパターニングに先立って、第2の半導体基板412上に堆積させてもよい。下部電極418の材料は、その後、従来の技術を用いて、第2の半導体基板412のパターニング及びエッチングと同時にパターニング及びエッチングされてもよい。記憶媒体420及び端子電極またはビット線424は、本明細書において詳細には記載されていない従来技術を用いて、ダイオード422上に電気的に通信して形成されてもよい。
半導体装置400を形成するためにSMOI構造401を利用することによって、半導体装置400は、わずか3つのパターン形成工程に形成されてもよい。先に記載したように、アモルファスシリコン材料409、導電材料403、及び第2の半導体基板412は、ワード線415を形成するために第1の方向にパターニングされてもよく;第2の半導体基板412及び下部電極418は、ダイオード422及び下部電極418を形成するために第2の方向にパターニングされてもよく;かつ、記憶媒体420及びビット線424は、記憶媒体420及びビット線424をダイオード422の上方に形成するようにパターニングされてもよい。記憶媒体420は、最後に堆積される材料の1つであるため、記憶媒体420が高い処理温度に曝されず、また、変更されなくてもよいので、相変化または抵抗性変化材料が記憶媒体420として用いられてもよい。
図41は、第2の半導体基板514の下に埋め込まれた導電材料504を有するSMOI構造502を含む半導体装置500の他の実施形態を示す。半導体装置500は、SMOI構造502の上及び/またはSMOI構造502の内に形成されたフローティングボディメモリセル501を含むことができる。SMOI構造502は、例えば、第1の半導体基板506、絶縁体材料508、アモルファスシリコン材料510、導電材料504、高誘電率ゲート誘電材料512、及び第2の半導体基板514を含んでもよい。SMOI構造502は、図29〜図31に関して上に記載したものと類似の方法で形成されてもよい。
フローティングボディメモリセル501は、更なる絶縁体材料518によって両側を囲まれた活性領域516を含む。活性領域516は、第2のシリコン基板514の単結晶シリコンから形成されてもよい。第2のシリコン基板514の全体の厚さは、図33に示すようなフローティングボディメモリセル501を形成するために用いられ、第2のシリコン基板514の下にある高誘電率ゲート誘電材料512がバックゲート誘電体を形成し、導電材料504が金属バックゲートを形成してもよい。ソース領域及びドレイン領域526は、活性領域516の一部をドーピングすることによって形成されてもよい。ソース領域及びドレイン領域526は、活性領域516とは異なるようにドープされる。例えば、活性領域516は、Pドープシリコンを含んでもよく、一方で、ソース領域及びドレイン領域526は、Nドープシリコンを含んでもよい。
図41に示すように、ゲート誘電体520の第2の高誘電率材料は、活性領域516上に形成されている。高誘電率ゲート誘電体520の材料は、二酸化ケイ素のそれよりも大きい誘電率を有する。高誘電率ゲート誘電体520に好適な材料は、例として、ハフニウムシリケート、ケイ酸ジルコニウム、二酸化ハフニウム、または二酸化ジルコニウムを含む。電界効果トランジスタ(FET)ゲート522は、高誘電率ゲート誘電体520上に形成される。FETゲート522及びその下にある高誘電率ゲート誘電体520は、その後、当業者に公知の好適なエッチングプロセスと組み合わせて、従来のフォトリソグラフィー技術を用いて画定されてもよい。スペーサ524は、本明細書において詳細には記載されていない従来技術を用いてFETゲート522の両側に隣接して形成されてもよい。
半導体装置500を形成するためにSMOI構造502を利用することによって、フローティングボディメモリセル501は、導電材料504と電気的に通信して形成されてもよく、このようにして、フローティングボディメモリセル501と導電材料504との間に更なる電気的接触の必要性を排除することができる。更に、論理装置(図示せず)及びバックエンドプロセス(BEOL)素子(図示せず)は、フローティングボディメモリセル501を形成することに先立って、第1の半導体基板506上に形成されてもよいので、フローティングボディメモリセル501は、論理装置及びBEOL素子を形成するために用いられる処理条件に曝されない。このような処理条件に対する曝露を避けることによって、半導体装置500が信頼性を向上することがある。
図42は、第2の半導体基板614の下に埋め込まれた導電材料603を有するSMOI構造601を含む半導体装置600の他の実施形態を示す。SMOI構造601は、例えば、第1の半導体基板605、絶縁体材料607、アモルファスシリコン材料609、導電材料603、誘電材料611、及び第2の半導体基板614を含んでもよい。SMOI構造601は、図29〜図31に関して上に記載したものと類似の方法で形成されてもよい。
第2の半導体基板614は、フローティングボディ領域616、ドレイン領域618、及びソース領域619を形成するために、当業者に公知のように、パターン化され、ドープされてもよい。第2の半導体基板614は、更に、ドレイン領域618とソース領域619との間のフローティングボディ領域616に凹部を形成するようにパターニングされてもよい。ワード線620は、凹部に形成されてもよい。誘電材料622は、ワード線620とフローティングボディ領域616との間に形成されてもよい。埋め込み導電材料603は、メモリセル用埋め込みゲートとして作用する。コンタクト624は、ビット線626につながるドレイン領域618上に形成されてもよい。コンタクト624は、例えば、N+ドープポリシリコンプラグまたは金属プラグを含んでもよい。共通のソース628は、ソース領域619上に形成されてもよい。
図43は、複数の半導体装置600(図42)を含む半導体装置700を示す。図43に示すように、アモルファスシリコン材料609、導電材料603、及び誘電材料611はまた、ビット線626に平行であるロウを形成するようにエッチングされてもよい。同様に、更なる実施形態において、アモルファスシリコン材料609、導電材料603、及び誘電材料611は、ビット線626と平行であるロウ(図示せず)を形成するためにエッチングされてもよい。
半導体装置700を形成するためにSMOI構造601を利用することによって、フローティングボディ領域616は、導電材料603上に形成されてもよく、このようにして、フローティングボディ領域616と導電材料603との間に更なる電気的接触の必要性を排除。更に、論理装置(図示せず)及びバックエンドプロセス(BEOL)素子(図示せず)は、フローティングボディ領域616の形成に先立って、第1の半導体基板605上に形成されてもよいので、フローティングボディ領域616は、論理装置及びBEOL素子を形成するために用いられる処理条件に曝されない。このような処理条件に対するフローティングボディ領域616の曝露を回避することによって、半導体装置600の信頼性が向上することがある。
先に本明細書に記載されたもの等の半導体装置は、本発明の電子システムの実施形態において用いられてもよい。例えば、図44は、本発明に係る例示的な電子システム800の概略的なブロック図である。電子システム800は、例えば、コンピュータまたはコンピュータのハードウェアコンポーネント、サーバまたは他のネットワークハードウェアコンポーネント、携帯電話機、デジタルカメラ、携帯情報端末(PDA)、携帯型メディア(例えば、音楽)プレーヤー等を含んでもよい。電子システム800は、少なくとも1つの記憶装置801を含む。電子システム800は更に、少なくとも一つの電子信号処理装置802(「マイクロプロセッサ」と呼ばれることも多い)を含んでもよい。少なくとも1つの電子信号処理装置802及び少なくとも1つの記憶装置の801は、例えば、上に記載した半導体装置300、400、500、600、700の実施形態を含んでもよい。換言すると、少なくとも1つの電子信号処理装置802及び少なくとも1つのメモリ装置801は、図39〜図43に図示した半導体装置300、400、500、600、700に関して先に記載したように、埋め込まれた導電材料を有するSMOI構造を含む半導体装置の一実施形態を含んでもよい。電子システム800は更に、例えば、マウスまたは他のポインティング装置、キーボード、タッチパッド、ボタン、またはコントロールパネル等のユーザが電子システム800に情報を入力する1つ以上の入力装置804を含んでもよい。電子システム800は更に、例えば、モニター、ディスプレイ、プリンタ、オーディオ出力ジャック、スピーカー等のユーザに情報(例えば、視覚出力または音声出力)を出力する1つ以上の出力装置806を含んでもよい。いくつかの実施形態において、入力装置804及び出力装置806は、電子システム800に情報を入力するためと、ユーザに視覚情報を出力するための両方に用いることができる単一のタッチスクリーン装置を含んでもよい。1つ以上の入力装置804及び出力装置806は、記憶装置801及び電子信号処理装置802の少なくとも1つと電気的に通信してもよい。
(結論)
いくつかの実施形態において、本発明は、セミコンダクタ・メタル・オン・インシュレータ(SMOI)構造、このような構造を含む装置、及びこのような構造を形成する方法を含む。SMOI構造は、第1の半導体基板上に絶縁体材料と、その絶縁体材料に結合したアモルファスシリコン材料と、そのアモルファスシリコン材料上に導電材料と、その導電材料上に第2の半導体基板とを含んでもよい。誘電材料はまた、導電材料と第2の半導体基板との間に配置されてもよい。他の実施形態において、導電材料はパターニングされてもよく、パターニングされた導電材料の隣接部分は誘電材料によって互いに分離されてもよい。
更なる実施形態において、本発明は、第1の半導体基板上に絶縁体材料と、その絶縁体材料に結合したアモルファスゲルマニウム材料と、そのアモルファスゲルマニウム材料上の導電材料と、その導電材料上の第2の半導体基板とを含むSMOIを含む。
更なる実施形態において、本発明は、第1の半導体基板上に絶縁体材料と、その絶縁体材料上に導電材料と、その絶縁体材料に結合したエピタキシャルシリコン材料の少なくとも一部分またはシリコン・ゲルマニウム材料の少なくとも一部分と、導電材料上の第2の半導体基板とを含むSMOI構造を含む。絶縁体材料は、酸化物材料とその上に形成されたアモルファスシリコン材料から形成されてもよい。
更なる実施形態において、本発明は、第1の半導体基板と、第1の半導体基板上に形成された酸化物材料の少なくとも一部分及び導電材料の少なくとも一部分と、導電材料上に形成された第2の半導体基板とを含むSMOI構造を含む。
更なる実施形態において、本発明は、第1の半導体基板上に絶縁体材料と、絶縁体材料に結合したアモルファスシリコン材料と、アモルファスシリコン材料上に導電材料と、導電材料上に第2の半導体基板と、第2のシリコン基板上にメモリセルとを含む半導体装置を含む。導電材料は、相互接続を形成してもよい。論理装置はまた、第1の半導体基板上に形成されてもよい。いくつかの実施形態において、誘電材料は、導電材料と第2の半導体基板の間に配置されてもよい。半導体装置のメモリセルは、絶縁体材料によって実質的に物理的に分離された活性領域と、活性領域内に形成されたドレイン領域及びソース領域と、ドレイン領域とソース領域との間の活性領域上に形成された高誘電率誘電材料と、高誘電率誘電材料上に形成された金属ゲートとを含むフローティングボディメモリセルを含んでもよい。
更なる実施形態において、本発明は、第1の半導体基板上に形成された絶縁体材料を含むアクセプターウェーハを形成することと、前駆体半導体基板上に導電材料、導電材料上にアモルファスシリコン材料、及び前駆体半導体内に注入ゾーンを含むドナーウェーハを形成することと、アクセプターウェーハの絶縁体材料にドナーウェーハのアモルファスシリコン材料を結合させることと、前駆体半導体基板内の注入ゾーンに近接した前駆体半導体基板の一部分を除去することとを含む、SMOI構造を形成する方法を含む。いくつかの実施形態において、アモルファスシリコン材料の表面及び絶縁体材料の表面の少なくとも一方は、絶縁体材料にドナーウェーハのアモルファスシリコン材料を結合させる前に、化学活性化、プラズマ活性化、または注入活性化により処理されてもよい。
更なる実施形態において、本発明は、第1の半導体基板上に形成された絶縁体材料を含むアクセプターウェーハを形成することと、前駆体半導体基板上に導電材料、導電材料上にアモルファスシリコン材料、及び前駆体半導体基板内に注入ゾーンを含むドナーウェーハを形成することと、アクセプターウェーハの絶縁体材料にドナーウェーハのアモルファスシリコン材料を結合させることと、第2の半導体を形成する注入ゾーンに近接した前駆体半導体基板の一部分を除去することと、第2の半導体基板上に少なくとも1つのメモリセルを製造することとを含む、半導体装置の製造方法を含む。
更に他の実施形態において、本発明は、第1の半導体基板上に形成された絶縁体材料を含むアクセプターウェーハを形成することと、前駆体半導体基板上に導電材料、導電材料上にアモルファスゲルマニウム材料、及び前駆体半導体基板内に注入ゾーンを含むドナーウェーハを形成することと、アクセプターウェーハの絶縁体材料にドナーウェーハのアモルファスゲルマニウム材料を結合させることと、前駆体半導体内の注入ゾーンに近接した前駆体半導体基板の一部分を除去することとを含む、SMOI構造を形成する方法を含む。
本発明は、様々な修正及び代替形態が可能であるが、具体的な実施形態が図面に実施例として図示されており、本明細書に詳細に記載されている。しかしながら、本発明は、開示された特定の形態に限定されるものではない。むしろ、本発明は、以下の添付の特許請求の範囲及びその法的均等物によって定義される本発明の範囲内にある、全ての変更、均等物、及び代替物を包含するものである。
(関連出願の相互参照)
本出願は、2010年3月2日付で出願され「フローティングボディセル構造、それを含む装置、及びそれらを形成する方法(FLOATINGBODY CELL STRUCTURES,DEVICESINCLUDING SAME,AND METHODSFOR FORMING SAME)」と題する同時係属中の米国特許出願第12/715,843号;2010年3月2日付で提出され「導電ストラップ上にダイオード構造を含む半導体装置、及び斯かる半導体装置を形成する方法(SEMICONDUCTORDEVICES INCLUDING ADIODE STRUCTURE OVERA CONDUCTIVE STRAP AND METHODSOF FORMING SUCHSEMICONDUCTOR DEVICES)」と題する同時係属中の米国特許出願第12/715,743号;2010年3月2日付で出願され「サイリスタベースのメモリセル、それを含む装置及びシステム、及びそれらを形成する方法(THYRISTORBASED MEMORY CELLS,DEVICES AND SYSTEMSINCLUDING THE SAMEAND METHODS FORFORMING THE SAME)」と題する同時係属中の米国特許出願第12/715,889号;及び、2010年3月2日付で提出され「埋め込み導電線を有する半導体セル、アレイ、装置、及びシステム、及びそれらを形成する方法(SEMICONDUCTORCELLS,ARRAYS,DEVICES AND SYSTEMS HAVING ABURIED CONDUCTIVE LINE AND METHODSFOR FORMING THESAME)」と題する同時係属中の米国特許出願第12/715,992号に関連し、これらの開示は、参照によ本明細書に組み込まれる。

Claims (31)

  1. 第1の半導体基板上に絶縁体材料と、
    前記絶縁体材料に結合したアモルファスシリコン材料と、
    前記アモルファスシリコン材料上に導電材料と、
    前記導電材料上に第2の半導体基板と
    を含む、セミコンダクタ・メタル・オン・インシュレータ構造。
  2. 前記導電材料と前記第2の半導体基板との間に配置された誘電材料を更に含む、請求項1に記載のセミコンダクタ・メタル・オン・インシュレータ構造。
  3. 前記導電材料はパターニングされ、前記パターニングされた導電材料の隣接部分が前記誘電材料によって互いに分離されている、請求項1に記載のセミコンダクタ・メタル・オン・インシュレータ構造。
  4. 前記導電材料は、導電性シリサイド材料を含む、請求項1に記載のセミコンダクタ・メタル・オン・インシュレータ構造。
  5. 第1の半導体基板上に絶縁体材料と、
    前記絶縁体材料に結合したアモルファスゲルマニウム材料と、
    前記アモルファスゲルマニウム材料上に導電材料と、
    前記導電材料上に第2の半導体基板と
    を含む、セミコンダクタ・メタル・オン・インシュレータ構造。
  6. 第1の半導体基板上に絶縁体材料と、
    前記絶縁体材料上に導電材料と、
    前記導電材料上にアモルファスシリコン材料と、
    前記導電材料上に第2の半導体基板と
    を含む、セミコンダクタ・メタル・オン・インシュレータ構造。
  7. 前記導電材料と前記アモルファスシリコン材料との間に配置された結合材料を更に含む、請求項6に記載のセミコンダクタ・メタル・オン・インシュレータ構造。
  8. 前記結合材料は、更なるアモルファスシリコン材料及び酸化物材料の少なくとも1つを含む、請求項7に記載のセミコンダクタ・メタル・オン・インシュレータ構造。
  9. 第1の半導体基板上に絶縁体材料と、
    前記絶縁体材料上に導電材料と、
    一方が前記絶縁体材料に結合したエピタキシャルシリコン材料の少なくとも一部分及びシリコン・ゲルマニウム材料の少なくとも一部分と、
    前記導電材料上に第2の半導体基板と
    を含む、セミコンダクタ・メタル・オン・インシュレータ構造。
  10. 前記絶縁体材料は、酸化物材料とその上に形成されたアモルファスシリコン材料を含む、請求項9に記載のセミコンダクタ・メタル・オン・インシュレータ構造。
  11. 第1の半導体基板と、
    前記第1の半導体基板上に形成された、酸化物材料の少なくとも一部分及び導電材料の少なくとも一部分と、
    前記導電材料上に形成された第2の半導体基板と
    を含む、セミコンダクタ・メタル・オン・インシュレータ構造。
  12. 第1の半導体基板上に絶縁体材料と、
    前記絶縁体材料に結合したアモルファスシリコン材料と、
    前記アモルファスシリコン材料上に導電材料と、
    前記導電材料上に第2の半導体基板と、
    前記第2の半導体基板上にメモリセルと
    を含む、半導体装置。
  13. 前記導電材料は、相互接続を形成する、請求項12に記載の半導体装置。
  14. 前記第1の半導体基板上に形成された論理装置を更に含む、請求項12に記載の半導体装置。
  15. 前記導電材料と前記第2の半導体基板との間に配置された誘電材料を含む、請求項12に記載の半導体装置。
  16. 前記メモリセルは、
    絶縁性材料によって実質的に物理的に単離された活性領域と、
    前記活性領域内に形成されたドレイン領域及びソース領域と、
    前記ドレイン領域と前記ソース領域との間の前記活性領域上に形成された高誘電率誘電材料と、
    前記高誘電率誘電材料上に形成された金属ゲートと、
    を含むフローティングボディメモリセルを含む、請求項15に記載の半導体装置。
  17. 第1の半導体基板上に形成された絶縁体材料を含むアクセプターウェーハを形成することと、
    前駆体半導体基板上に導電材料、前記導電材料上にアモルファスシリコン材料、及び前記前駆体半導体基板内に注入ゾーンを含むドナーウェーハを形成することと、
    アクセプターウェーハの絶縁体材料にドナーウェーハのアモルファスシリコン材料を結合させることと、
    前記前駆体半導体基板内の注入ゾーンに近接した前駆体半導体基板の一部分を除去することと
    を含む、セミコンダクタ・メタル・オン・インシュレータ構造を製造する方法。
  18. 更に、前記アクセプターウェーハの前記絶縁体材料に前記ドナーウェーハの前記アモルファスシリコン材料を結合させる前に、前記アモルファスシリコン材料の表面及び前記絶縁体材料の表面の少なくとも一方を、化学、プラズマ、または注入活性化によって処理することを更に含む、請求項17に記載の方法。
  19. 第1の半導体基板上に形成された絶縁体材料を含むアクセプターウェーハを形成することは、結晶シリコンを含む第1の半導体基板上に酸化物材料を形成することを含む、請求項17に記載の方法。
  20. 前駆体半導体基板上に導電材料、前記導電材料上にアモルファスシリコン材料、及び前記前駆体半導体基板内に注入ゾーンを含むドナーウェーハを形成することは、
    結晶シリコン基板上に導電材料、前記導電材料上にアモルファスシリコン材料、及び前記結晶シリコン基板内に水素注入ゾーンを形成することを含む、請求項17に記載の方法。
  21. ドナーウェーハを形成することは、前記導電材料と前記前駆体半導体基板との間に誘電材料を形成することを更に含む、請求項17に記載の方法。
  22. 前駆体半導体基板上に導電材料を含むドナーウェーハを形成することは、前記前駆体半導体基板上に非反応性導電材料、及び前記前記非反応性導電材料上に反応性導電材料を形成することと、導電性シリサイド材料を形成するために前記反応性導電材料を前記ドナーウェーハの前記アモルファスシリコン材料と反応させることを含むことと
    を含む、請求項17に記載の方法。
  23. 前記前駆体半導体基板上に前記導電材料を形成することは、
    前記前駆体半導体基板上に前記導電材料を堆積させることと、
    前記導電材料上にキャップ材料を形成することと、
    前記キャップ材料及び前記導電材料をパターニングすることと、
    前記パターニングされたキャップ材料の隣接する部分と前記パターニングされた導電材料との間に誘電材料を形成することと
    を含む、請求項17に記載の方法。
  24. 前記ドナーウェーハを形成することは、前記導電材料と前記アモルファスシリコン材料との間に、エピタキシャルシリコン材料の少なくとも一部分及びシリコン・ゲルマニウム材料の少なくとも一部分を形成することを更に含む、請求項17に記載の方法。
  25. 前記ドナーウェーハを形成することは、前記導電材料と前記アモルファスシリコン材料との間に、酸化物材料の少なくとも一部分及び窒化物材料の少なくとも一部分を形成することを更に含む、請求項17に記載の方法。
  26. 第1の半導体基板上に形成された絶縁体材料を含むアクセプターウェーハを形成することと、
    前駆体半導体基板上に導電材料、前記導電材料上にアモルファスシリコン材料、及び前記前駆体半導体基板内に注入ゾーンを含むドナーウェーハを形成することと、
    前記アクセプターウェーハの絶縁体材料に前記ドナーウェーハのアモルファスシリコン材料を結合させることと、
    第2の半導体基板を形成するために、前記注入ゾーンに近接した前記前駆体半導体基板の一部分を除去することと、
    前記第2の半導体基板上に少なくとも1つのメモリセルを製造することと
    を含む、半導体装置の製造方法。
  27. 埋め込みワード線及び埋め込みビット線のうちの少なくとも一方を形成するために、前記導電材料をパターニングすることを更に含む、請求項26に記載の方法。
  28. 前記第2の半導体基板上に少なくとも1つのメモリセルを製造することは、
    更なる絶縁体材料によって側面及び底部から実質的に囲まれた活性領域を、第2の半導体基板の一部分から形成することと、
    前記活性領域上に高誘電率ゲート誘電体及び金属ゲートを形成することと、
    前記活性領域にソース領域及びドレイン領域を形成することと
    を含む、請求項26に記載の方法。
  29. 前記第2の半導体基板上に少なくとも1つのメモリセルを製造することは、
    少なくとも1つの柱を形成するために前記第2の半導体基板をエッチングすることと、
    前記少なくとも1つの柱に、ソース領域、活性領域、及びドレイン領域を形成することと、
    前記活性領域上にゲート誘電体及びゲートを形成することと
    を含む、請求項26に記載の方法。
  30. 前記第2の半導体基板上に少なくとも1つのメモリセルを製造することは、
    前記第2の半導体基板にダイオードを形成することと、
    前記ダイオード上に、下部電極、記憶媒体、及び上部電極を形成することと
    を含む、請求項26に記載の方法。
  31. 第1の半導体基板上に形成された絶縁体材料を含むアクセプターウェーハを形成することと、
    前駆体半導体基板上に導電材料、前記導電材料上にアモルファスゲルマニウム材料、及び前記前駆体半導体基板内に注入ゾーンを含むドナーウェーハを形成することと、
    前記アクセプターウェーハの絶縁体材料に前記ドナーウェーハのアモルファスゲルマニウム材料を結合させることと、
    前記前駆体半導体基板内の注入ゾーンに近接した前記前駆体半導体基板の一部分を除去することと
    を含む、セミコンダクタ・メタル・オン・インシュレータ構造を製造する方法。
JP2012556081A 2010-03-02 2011-02-10 セミコンダクタ・メタル・オン・インシュレータ構造、斯かる構造の形成方法、及び斯かる構造を含む半導体装置 Active JP5671070B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/715,704 US9608119B2 (en) 2010-03-02 2010-03-02 Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US12/715,704 2010-03-02
PCT/US2011/024354 WO2011109146A2 (en) 2010-03-02 2011-02-10 Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures

Publications (2)

Publication Number Publication Date
JP2013521648A true JP2013521648A (ja) 2013-06-10
JP5671070B2 JP5671070B2 (ja) 2015-02-18

Family

ID=44530576

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012556081A Active JP5671070B2 (ja) 2010-03-02 2011-02-10 セミコンダクタ・メタル・オン・インシュレータ構造、斯かる構造の形成方法、及び斯かる構造を含む半導体装置

Country Status (8)

Country Link
US (2) US9608119B2 (ja)
EP (1) EP2543069A4 (ja)
JP (1) JP5671070B2 (ja)
KR (1) KR101430855B1 (ja)
CN (1) CN102782850B (ja)
SG (2) SG183452A1 (ja)
TW (1) TWI466256B (ja)
WO (1) WO2011109146A2 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9646869B2 (en) * 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
KR101670451B1 (ko) * 2010-03-12 2016-10-31 삼성전자주식회사 도전막 매립형 기판, 그 형성 방법, 반도체 소자 및 그 제조 방법
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
KR20130113032A (ko) * 2012-04-05 2013-10-15 에스케이하이닉스 주식회사 반도체 기판, 이를 갖는 반도체 칩 및 적층 반도체 패키지
US9709740B2 (en) 2012-06-04 2017-07-18 Micron Technology, Inc. Method and structure providing optical isolation of a waveguide on a silicon-on-insulator substrate
SG11201407282XA (en) * 2012-07-31 2015-01-29 Univ Nanyang Tech Semiconductor device and method for forming the same
TWI566328B (zh) * 2013-07-29 2017-01-11 高效電源轉換公司 具有用於產生附加構件之多晶矽層的氮化鎵電晶體
US20150171321A1 (en) * 2013-12-13 2015-06-18 Micron Technology, Inc. Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces
EP3155656A4 (en) * 2014-06-13 2018-02-14 Intel Corporation Surface encapsulation for wafer bonding
JP6165127B2 (ja) * 2014-12-22 2017-07-19 三菱重工工作機械株式会社 半導体装置及び半導体装置の製造方法
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10644187B2 (en) 2015-07-24 2020-05-05 Artilux, Inc. Multi-wafer based light absorption apparatus and applications thereof
US9704916B2 (en) 2015-07-24 2017-07-11 Artilux Inc. Multi-wafer based light absorption apparatus and applications thereof
EP3707755B1 (en) * 2018-02-12 2024-04-03 TriEye Ltd. Germanium on insulator for cmos imagers in the short wave infrared
US11658208B2 (en) * 2018-03-20 2023-05-23 Intel Corporation Thin film transistors for high voltage applications
FR3079346B1 (fr) * 2018-03-26 2020-05-29 Soitec Procede de fabrication d'un substrat donneur pour le transfert d'une couche piezoelectrique, et procede de transfert d'une telle couche piezoelectrique
KR102558816B1 (ko) 2020-01-07 2023-07-21 양쯔 메모리 테크놀로지스 씨오., 엘티디. 금속-유전체 결합 방법 및 구조

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02275663A (ja) * 1989-01-24 1990-11-09 Fujitsu Ltd 半導体装置およびその製造方法
JPH0464249A (ja) * 1990-07-04 1992-02-28 Fujitsu Ltd Soi基板の製造方法
JPH04186815A (ja) * 1990-11-21 1992-07-03 Fujitsu Ltd シリコンオンインシュレータ基板の製造方法
JPH04283914A (ja) * 1991-03-12 1992-10-08 Fujitsu Ltd 貼り合わせ半導体基板とその製造方法
JPH10150176A (ja) * 1996-11-15 1998-06-02 Tadahiro Omi 半導体基体とその作製方法
JPH11103035A (ja) * 1997-07-30 1999-04-13 Tadahiro Omi 半導体基板及びその作製方法
JP2000150905A (ja) * 1998-09-04 2000-05-30 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
WO2009088889A1 (en) * 2007-12-31 2009-07-16 Sandisk 3D, Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same

Family Cites Families (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3988771A (en) 1974-05-28 1976-10-26 General Electric Company Spatial control of lifetime in semiconductor device
US4487639A (en) 1980-09-26 1984-12-11 Texas Instruments Incorporated Localized epitaxy for VLSI devices
US5106776A (en) 1988-06-01 1992-04-21 Texas Instruments Incorporated Method of making high performance composed pillar dRAM cell
US5102025A (en) * 1989-12-01 1992-04-07 Gary Weldele Computer tractor rail paper margin stripper
EP0481703B1 (en) 1990-10-15 2003-09-17 Aptix Corporation Interconnect substrate having integrated circuit for programmable interconnection and sample testing
US5102821A (en) 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
US5098861A (en) * 1991-01-08 1992-03-24 Unitrode Corporation Method of processing a semiconductor substrate including silicide bonding
JPH05508266A (ja) * 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5465249A (en) 1991-11-26 1995-11-07 Cree Research, Inc. Nonvolatile random access memory device having transistor and capacitor made in silicon carbide substrate
US5412598A (en) 1992-04-27 1995-05-02 The University Of British Columbia Bistable four layer device, memory cell, and method for storing and retrieving binary information
JPH06104446A (ja) 1992-09-22 1994-04-15 Toshiba Corp 半導体装置
JPH0798460A (ja) 1992-10-21 1995-04-11 Seiko Instr Inc 半導体装置及び光弁装置
US5260233A (en) 1992-11-06 1993-11-09 International Business Machines Corporation Semiconductor device and wafer structure having a planar buried interconnect by wafer bonding
US5600160A (en) 1993-04-14 1997-02-04 Hvistendahl; Douglas D. Multichannel field effect device
US5510630A (en) 1993-10-18 1996-04-23 Westinghouse Electric Corporation Non-volatile random access memory cell constructed of silicon carbide
US5471039A (en) 1994-06-22 1995-11-28 Panda Eng. Inc. Electronic validation machine for documents
JPH0888153A (ja) 1994-09-19 1996-04-02 Toshiba Corp 積層構造ウェハおよびその形成方法
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
FR2729008B1 (fr) 1994-12-30 1997-03-21 Sgs Thomson Microelectronics Circuit integre de puissance
US5981992A (en) 1995-06-07 1999-11-09 International Business Machines Corporation Mechanical supports for very thin stacked capacitor plates
US6750091B1 (en) 1996-03-01 2004-06-15 Micron Technology Diode formation method
EP0842537B1 (en) * 1996-06-05 2005-08-24 Koninklijke Philips Electronics N.V. Programmable, non-volatile memory device, and method of manufacturing such a device
US5920105A (en) 1996-09-19 1999-07-06 Fujitsu Limited Compound semiconductor field effect transistor having an amorphous gas gate insulation layer
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
US8018058B2 (en) 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US7470598B2 (en) 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same
US7888764B2 (en) 2003-06-24 2011-02-15 Sang-Yun Lee Three-dimensional integrated circuit structure
FR2755537B1 (fr) 1996-11-05 1999-03-05 Commissariat Energie Atomique Procede de fabrication d'un film mince sur un support et structure ainsi obtenue
US5874760A (en) 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
US6225151B1 (en) 1997-06-09 2001-05-01 Advanced Micro Devices, Inc. Nitrogen liner beneath transistor source/drain regions to retard dopant diffusion
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US6255731B1 (en) 1997-07-30 2001-07-03 Canon Kabushiki Kaisha SOI bonding structure
FR2767416B1 (fr) 1997-08-12 1999-10-01 Commissariat Energie Atomique Procede de fabrication d'un film mince de materiau solide
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
US5904507A (en) 1998-02-23 1999-05-18 National Semiconductor Corporation Programmable anti-fuses using laser writing
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6242775B1 (en) 1998-02-24 2001-06-05 Micron Technology, Inc. Circuits and methods using vertical complementary transistors
US6365488B1 (en) 1998-03-05 2002-04-02 Industrial Technology Research Institute Method of manufacturing SOI wafer with buried layer
EP0945901A1 (de) 1998-03-23 1999-09-29 Siemens Aktiengesellschaft DRAM-Zellenanordnung mit vertikalen Transistoren und Verfahren zu deren Herstellung
US6225165B1 (en) 1998-05-13 2001-05-01 Micron Technology, Inc. High density SRAM cell with latched vertical transistors
US6545297B1 (en) 1998-05-13 2003-04-08 Micron Technology, Inc. High density vertical SRAM cell using bipolar latchup induced by gated diode breakdown
US6229161B1 (en) 1998-06-05 2001-05-08 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
US6137128A (en) 1998-06-09 2000-10-24 International Business Machines Corporation Self-isolated and self-aligned 4F-square vertical fet-trench dram cells
US6245663B1 (en) 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
US20040017721A1 (en) 1998-10-30 2004-01-29 Schwabe Nikolai Franz Gregoe Magnetic storage device
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
JP2000208771A (ja) 1999-01-11 2000-07-28 Hitachi Ltd 半導体装置、液晶表示装置およびこれらの製造方法
US6288954B1 (en) 1999-01-19 2001-09-11 Micron Technology, Inc. Integrated circuit having an on-board reference generator
JP3911585B2 (ja) 1999-05-18 2007-05-09 富士通株式会社 半導体装置およびその製造方法
US6690038B1 (en) 1999-06-05 2004-02-10 T-Ram, Inc. Thyristor-based device over substrate surface
FR2795865B1 (fr) 1999-06-30 2001-08-17 Commissariat Energie Atomique Procede de realisation d'un film mince utilisant une mise sous pression
US6225162B1 (en) * 1999-07-06 2001-05-01 Taiwan Semiconductor Manufacturing Company Step-shaped floating poly-si gate to improve gate coupling ratio for flash memory application
US6355520B1 (en) 1999-08-16 2002-03-12 Infineon Technologies Ag Method for fabricating 4F2 memory cells with improved gate conductor structure
US6391658B1 (en) 1999-10-26 2002-05-21 International Business Machines Corporation Formation of arrays of microelectronic elements
US6902987B1 (en) * 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
KR100392166B1 (ko) 2000-03-17 2003-07-22 가부시끼가이샤 도시바 반도체 장치의 제조 방법 및 반도체 장치
US6434932B2 (en) 2000-03-23 2002-08-20 Minolta Co., Ltd. Control mechanism with actuator employing shape memory alloy and method for adjusting servo control of the control mechanism
US6797604B2 (en) 2000-05-08 2004-09-28 International Business Machines Corporation Method for manufacturing device substrate with metal back-gate and structure formed thereby
JP2002359247A (ja) 2000-07-10 2002-12-13 Canon Inc 半導体部材、半導体装置およびそれらの製造方法
EP2323164B1 (en) 2000-08-14 2015-11-25 SanDisk 3D LLC Multilevel memory array and method for making same
US6621725B2 (en) 2000-08-17 2003-09-16 Kabushiki Kaisha Toshiba Semiconductor memory device with floating storage bulk region and method of manufacturing the same
US6600173B2 (en) 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
US6583440B2 (en) 2000-11-30 2003-06-24 Seiko Epson Corporation Soi substrate, element substrate, semiconductor device, electro-optical apparatus, electronic equipment, method of manufacturing the soi substrate, method of manufacturing the element substrate, and method of manufacturing the electro-optical apparatus
US6559471B2 (en) 2000-12-08 2003-05-06 Motorola, Inc. Quantum well infrared photodetector and method for fabricating same
FR2818010B1 (fr) 2000-12-08 2003-09-05 Commissariat Energie Atomique Procede de realisation d'une couche mince impliquant l'introduction d'especes gazeuses
JP2002184993A (ja) 2000-12-11 2002-06-28 Sony Corp 半導体装置
US6576944B2 (en) 2000-12-14 2003-06-10 Infineon Technologies Ag Self-aligned nitride pattern for improved process window
US6635550B2 (en) 2000-12-20 2003-10-21 Texas Instruments Incorporated Semiconductor on insulator device architecture and method of construction
US6570208B2 (en) 2001-01-18 2003-05-27 International Business Machines Corporation 6F2 Trench EDRAM cell with double-gated vertical MOSFET and self-aligned STI
US6713791B2 (en) 2001-01-26 2004-03-30 Ibm Corporation T-RAM array having a planar cell structure and method for fabricating the same
US6891205B1 (en) 2001-03-22 2005-05-10 T-Ram, Inc. Stability in thyristor-based memory device
US7456439B1 (en) 2001-03-22 2008-11-25 T-Ram Semiconductor, Inc. Vertical thyristor-based memory with trench isolation and its method of fabrication
US6897514B2 (en) 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
KR100419021B1 (ko) 2001-03-30 2004-02-19 주식회사 하이닉스반도체 반도체소자의 구리 배선 제조방법
US6492662B2 (en) 2001-04-16 2002-12-10 Ibm Corporation T-RAM structure having dual vertical devices and method for fabricating the same
US6627924B2 (en) 2001-04-30 2003-09-30 Ibm Corporation Memory system capable of operating at high temperatures and method for fabricating the same
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
US6906354B2 (en) 2001-06-13 2005-06-14 International Business Machines Corporation T-RAM cell having a buried vertical thyristor and a pseudo-TFT transfer gate and method for fabricating the same
DE10128718B4 (de) 2001-06-13 2005-10-06 Infineon Technologies Ag Grabenkondensator einer DRAM-Speicherzelle mit metallischem Collarbereich und nicht-metallischer Leitungsbrücke zum Auswahltransistor
US6777745B2 (en) 2001-06-14 2004-08-17 General Semiconductor, Inc. Symmetric trench MOSFET device and method of making same
JP3647777B2 (ja) 2001-07-06 2005-05-18 株式会社東芝 電界効果トランジスタの製造方法及び集積回路素子
JP2003030980A (ja) 2001-07-13 2003-01-31 Toshiba Corp 半導体記憶装置
DE10136544B4 (de) * 2001-07-26 2004-02-12 Infineon Technologies Ag Integrierter dynamischer Speicher und Betriebsverfahren
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6525953B1 (en) 2001-08-13 2003-02-25 Matrix Semiconductor, Inc. Vertically-stacked, field-programmable, nonvolatile memory and method of fabrication
US6841813B2 (en) 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
US6815781B2 (en) 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
WO2003041170A1 (fr) 2001-11-07 2003-05-15 Shindengen Electric Manufacturing Co., Ltd. Dispositif semi-conducteur de protection contre la surtension
US6576532B1 (en) * 2001-11-30 2003-06-10 Motorola Inc. Semiconductor device and method therefor
US7081663B2 (en) 2002-01-18 2006-07-25 National Semiconductor Corporation Gate-enhanced junction varactor with gradual capacitance variation
US20030186521A1 (en) 2002-03-29 2003-10-02 Kub Francis J. Method of transferring thin film functional material to a semiconductor substrate or optimized substrate using a hydrogen ion splitting technique
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
JP4951202B2 (ja) * 2002-05-07 2012-06-13 エーエスエム アメリカ インコーポレイテッド シリコンオンインシュレータ構造の製造方法
US7042749B2 (en) 2002-05-16 2006-05-09 Micron Technology, Inc. Stacked 1T-nmemory cell structure
US6940748B2 (en) 2002-05-16 2005-09-06 Micron Technology, Inc. Stacked 1T-nMTJ MRAM structure
JP2004003398A (ja) 2002-05-31 2004-01-08 Shin Caterpillar Mitsubishi Ltd 建設機械
US6781907B2 (en) 2002-06-06 2004-08-24 Micron Technology, Inc. Temperature compensated T-RAM memory device and method
US6764774B2 (en) 2002-06-19 2004-07-20 International Business Machines Corporation Structures with improved adhesion to Si and C containing dielectrics and method for preparing the same
US6914286B2 (en) 2002-06-27 2005-07-05 Samsung Electronics Co., Ltd. Semiconductor memory devices using sidewall spacers
KR100738065B1 (ko) * 2002-07-10 2007-07-10 삼성전자주식회사 한 개의 트랜지스터와 데이터 저장 수단으로 한 개의저항체를구비하는 메모리 소자 및 그 구동 방법
JP3621695B2 (ja) * 2002-07-29 2005-02-16 株式会社東芝 半導体装置及び素子形成用基板
US7402897B2 (en) * 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration
US7224024B2 (en) 2002-08-29 2007-05-29 Micron Technology, Inc. Single transistor vertical memory gain cell
US6838723B2 (en) 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
TWI320571B (en) 2002-09-12 2010-02-11 Qs Semiconductor Australia Pty Ltd Dynamic nonvolatile random access memory ne transistor cell and random access memory array
US6690039B1 (en) 2002-10-01 2004-02-10 T-Ram, Inc. Thyristor-based device that inhibits undesirable conductive channel formation
US6953953B1 (en) 2002-10-01 2005-10-11 T-Ram, Inc. Deep trench isolation for thyristor-based semiconductor device
US6965129B1 (en) 2002-11-06 2005-11-15 T-Ram, Inc. Thyristor-based device having dual control ports
US7710771B2 (en) 2002-11-20 2010-05-04 The Regents Of The University Of California Method and apparatus for capacitorless double-gate storage
JP3813123B2 (ja) * 2002-12-25 2006-08-23 株式会社沖データ 半導体装置及びledヘッド
US6812504B2 (en) 2003-02-10 2004-11-02 Micron Technology, Inc. TFT-based random access memory cells comprising thyristors
US6768156B1 (en) 2003-02-10 2004-07-27 Micron Technology, Inc. Non-volatile random access memory cells associated with thin film constructions
US6713810B1 (en) 2003-02-10 2004-03-30 Micron Technology, Inc. Non-volatile devices, and electronic systems comprising non-volatile devices
JP2004247545A (ja) 2003-02-14 2004-09-02 Nissan Motor Co Ltd 半導体装置及びその製造方法
US6956256B2 (en) 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
US6845034B2 (en) 2003-03-11 2005-01-18 Micron Technology, Inc. Electronic systems, constructions for detecting properties of objects, and assemblies for identifying persons
JP4062262B2 (ja) 2003-03-20 2008-03-19 松下電器産業株式会社 ヘッド支持装置およびそれを用いたディスク装置
WO2004090984A1 (en) 2003-04-03 2004-10-21 Kabushiki Kaisha Toshiba Phase change memory device
US20040228168A1 (en) 2003-05-13 2004-11-18 Richard Ferrant Semiconductor memory device and method of operating same
US6958513B2 (en) 2003-06-06 2005-10-25 Chih-Hsin Wang Floating-gate memory cell having trench structure with ballistic-charge injector, and the array of memory cells
JP3933608B2 (ja) 2003-06-30 2007-06-20 株式会社東芝 半導体記憶装置及び半導体集積回路
JP4282388B2 (ja) 2003-06-30 2009-06-17 株式会社東芝 半導体記憶装置
US8125003B2 (en) 2003-07-02 2012-02-28 Micron Technology, Inc. High-performance one-transistor memory cell
US6921692B2 (en) 2003-07-07 2005-07-26 Micron Technology, Inc. Methods of forming memory circuitry
US7018873B2 (en) * 2003-08-13 2006-03-28 International Business Machines Corporation Method of making a device threshold control of front-gate silicon-on-insulator MOSFET using a self-aligned back-gate
US7205185B2 (en) 2003-09-15 2007-04-17 International Busniess Machines Corporation Self-aligned planar double-gate process by self-aligned oxidation
US6890819B2 (en) 2003-09-18 2005-05-10 Macronix International Co., Ltd. Methods for forming PN junction, one-time programmable read-only memory and fabricating processes thereof
US7195959B1 (en) 2004-10-04 2007-03-27 T-Ram Semiconductor, Inc. Thyristor-based semiconductor device and method of fabrication
US7180135B1 (en) 2003-10-06 2007-02-20 George Mason Intellectual Properties, Inc. Double gate (DG) SOI ratioed logic with intrinsically on symmetric DG-MOSFET load
US6888199B2 (en) 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
JP4044510B2 (ja) 2003-10-30 2008-02-06 株式会社東芝 半導体集積回路装置
EP1530229B1 (en) * 2003-11-04 2012-04-04 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Beam optical component for charged particle beams
JP2005150156A (ja) 2003-11-11 2005-06-09 Toshiba Corp 磁気記憶装置
US7268373B1 (en) 2003-11-12 2007-09-11 T-Ram Semiconductor, Inc. Thyristor-based memory and its method of operation
US7304327B1 (en) 2003-11-12 2007-12-04 T-Ram Semiconductor, Inc. Thyristor circuit and approach for temperature stability
JP2005150393A (ja) * 2003-11-14 2005-06-09 Sharp Corp 受発光素子用サブマウント
US7015092B2 (en) 2003-12-18 2006-03-21 Infineon Technologies North America Corp. Methods for forming vertical gate transistors providing improved isolation and alignment of vertical gate contacts
US6878991B1 (en) 2004-01-30 2005-04-12 Micron Technology, Inc. Vertical device 4F2 EEPROM memory
US20060010056A1 (en) 2004-02-12 2006-01-12 De La Motte Alain L System and method for high-yield returns in riskless-principal interest rate/yield arbitrage
US7075146B2 (en) 2004-02-24 2006-07-11 Micron Technology, Inc. 4F2 EEPROM NROM memory arrays with vertical devices
US6995456B2 (en) 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US7049654B2 (en) 2004-03-31 2006-05-23 Intel Corporation Memory with split gate devices and method of fabrication
US20080211061A1 (en) * 2004-04-21 2008-09-04 California Institute Of Technology Method For the Fabrication of GaAs/Si and Related Wafer Bonded Virtual Substrates
JP4429798B2 (ja) 2004-05-12 2010-03-10 富士通マイクロエレクトロニクス株式会社 フィン型チャネルfetを用いたシステムlsi及びその製造方法
US7935836B2 (en) * 2004-05-18 2011-05-03 Alexander Graham Fallis Compounds comprising a linear series of five fused carbon rings, and preparation thereof
US20050261513A1 (en) * 2004-05-18 2005-11-24 Womack Gary B Process for producing indenol esters or ethers
US7112997B1 (en) 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7141476B2 (en) * 2004-06-18 2006-11-28 Freescale Semiconductor, Inc. Method of forming a transistor with a bottom gate
US7120048B2 (en) 2004-06-21 2006-10-10 Honeywell International Inc. Nonvolatile memory vertical ring bit and write-read structure
FR2872627B1 (fr) 2004-06-30 2006-08-18 Commissariat Energie Atomique Assemblage par adhesion moleculaire de deux substrats
CN101010793B (zh) 2004-06-30 2011-09-28 Nxp股份有限公司 制造具有通过纳米线接触的导电材料层的电子器件的方法
FR2872625B1 (fr) 2004-06-30 2006-09-22 Commissariat Energie Atomique Assemblage par adhesion moleculaire de deux substrats, l'un au moins supportant un film conducteur electrique
US7518182B2 (en) 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US20060034116A1 (en) 2004-08-13 2006-02-16 Lam Chung H Cross point array cell with series connected semiconductor diode and phase change storage media
US7145186B2 (en) 2004-08-24 2006-12-05 Micron Technology, Inc. Memory cell with trenched gated thyristor
US7365385B2 (en) 2004-08-30 2008-04-29 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7458439B2 (en) 2004-08-31 2008-12-02 Caterpillar Inc. Machine control pedestal
US7271052B1 (en) 2004-09-02 2007-09-18 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7259415B1 (en) 2004-09-02 2007-08-21 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
CN1606170A (zh) 2004-09-24 2005-04-13 中国科学院物理研究所 基于双势垒隧道结共振隧穿效应的晶体管
US7566974B2 (en) * 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US7476939B2 (en) 2004-11-04 2009-01-13 Innovative Silicon Isi Sa Memory cell having an electrically floating body transistor and programming technique therefor
ATE420461T1 (de) 2004-11-09 2009-01-15 Soitec Silicon On Insulator Verfahren zum herstellen von zusammengesetzten wafern
KR100618877B1 (ko) * 2004-11-19 2006-09-08 삼성전자주식회사 멀티비트 비휘발성 메모리 소자, 그 동작 방법 및 그 제조방법
US7326969B1 (en) 2004-12-02 2008-02-05 T-Ram Semiconductor, Inc. Semiconductor device incorporating thyristor-based memory and strained silicon
US7173312B2 (en) 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
KR100663359B1 (ko) 2005-03-31 2007-01-02 삼성전자주식회사 리세스 채널 트랜지스터 구조를 갖는 단일 트랜지스터플로팅 바디 디램 셀 및 그 제조방법
US7816728B2 (en) 2005-04-12 2010-10-19 International Business Machines Corporation Structure and method of fabricating high-density trench-based non-volatile random access SONOS memory cells for SOC applications
KR100702014B1 (ko) 2005-05-03 2007-03-30 삼성전자주식회사 수직 채널 트랜지스터 구조를 갖는 단일 트랜지스터 플로팅바디 디램 소자들 및 그 제조방법들
US7279740B2 (en) 2005-05-12 2007-10-09 Micron Technology, Inc. Band-engineered multi-gated non-volatile memory device with enhanced attributes
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
JP4696964B2 (ja) 2005-07-15 2011-06-08 ソニー株式会社 メモリ用の半導体装置
US7579623B2 (en) * 2005-07-22 2009-08-25 Translucent, Inc. Stacked transistors and process
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7538000B2 (en) 2005-07-28 2009-05-26 Freescale Semiconductor, Inc. Method of forming double gate transistors having varying gate dielectric thicknesses
US7511332B2 (en) 2005-08-29 2009-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical flash memory
US20070047364A1 (en) 2005-08-31 2007-03-01 International Business Machines Corporation Methods and apparatus for varying a supply voltage or reference voltage using independent control of diode voltage in asymmetrical double-gate devices
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
KR100673012B1 (ko) 2005-09-02 2007-01-24 삼성전자주식회사 이중 게이트형 수직 채널 트랜지스터들을 구비하는다이내믹 랜덤 억세스 메모리 장치 및 그 제조 방법
US7227233B2 (en) 2005-09-12 2007-06-05 International Business Machines Corporation Silicon-on-insulator (SOI) Read Only Memory (ROM) array and method of making a SOI ROM
JP4599259B2 (ja) * 2005-09-20 2010-12-15 株式会社東芝 磁気素子及びこれを用いた磁気信号処理装置
KR100675285B1 (ko) 2005-10-10 2007-01-29 삼성전자주식회사 수직 트랜지스터를 갖는 반도체소자 및 그 제조방법
KR100660881B1 (ko) 2005-10-12 2006-12-26 삼성전자주식회사 수직 채널 트랜지스터를 구비한 반도체 소자 및 그 제조방법
KR100663368B1 (ko) 2005-12-07 2007-01-02 삼성전자주식회사 반도체 메모리 장치 및 이 장치의 데이터 라이트 및 리드방법
US7786505B1 (en) 2005-12-16 2010-08-31 T-Ram Semiconductor, Inc. Reduction of charge leakage from a thyristor-based memory cell
US7563681B2 (en) * 2006-01-27 2009-07-21 Freescale Semiconductor, Inc. Double-gated non-volatile memory and methods for forming thereof
JP5011748B2 (ja) 2006-02-24 2012-08-29 株式会社デンソー 半導体装置
US7439594B2 (en) * 2006-03-16 2008-10-21 Micron Technology, Inc. Stacked non-volatile memory with silicon carbide-based amorphous silicon thin film transistors
US8501581B2 (en) 2006-03-29 2013-08-06 Micron Technology, Inc. Methods of forming semiconductor constructions
CN100454921C (zh) 2006-03-29 2009-01-21 华为技术有限公司 一种数字版权保护方法及系统
US8008144B2 (en) 2006-05-11 2011-08-30 Micron Technology, Inc. Dual work function recessed access device and methods of forming
US20080003778A1 (en) * 2006-06-13 2008-01-03 Rensselaer Polytechnic Institute Low-temperature welding with nano structures
JP2008010503A (ja) 2006-06-27 2008-01-17 Toshiba Corp 半導体記憶装置およびその製造方法
KR100745934B1 (ko) 2006-06-30 2007-08-02 주식회사 하이닉스반도체 반도체 소자 및 그의 형성 방법
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7410856B2 (en) 2006-09-14 2008-08-12 Micron Technology, Inc. Methods of forming vertical transistors
US7851859B2 (en) 2006-11-01 2010-12-14 Samsung Electronics Co., Ltd. Single transistor memory device having source and drain insulating regions and method of fabricating the same
US7592209B2 (en) 2006-11-13 2009-09-22 Intel Corporation Integration of a floating body memory on SOI with logic transistors on bulk substrate
US7619917B2 (en) 2006-11-28 2009-11-17 Qimonda North America Corp. Memory cell with trigger element
JP2008135670A (ja) * 2006-11-29 2008-06-12 Elpida Memory Inc 半導体装置およびその製造方法
US8217435B2 (en) 2006-12-22 2012-07-10 Intel Corporation Floating body memory cell having gates favoring different conductivity type regions
US7888742B2 (en) * 2007-01-10 2011-02-15 International Business Machines Corporation Self-aligned metal-semiconductor alloy and metallization for sub-lithographic source and drain contacts
JP2008177273A (ja) 2007-01-17 2008-07-31 Toshiba Corp 半導体記憶装置及び半導体記憶装置の製造方法
KR100852233B1 (ko) * 2007-02-21 2008-08-13 삼성전자주식회사 수직형 다이오드의 형성 방법 및 이를 이용하는 상변화메모리 장치의 제조 방법
US8368137B2 (en) 2007-06-26 2013-02-05 Sandisk Technologies Inc. Dual bit line metal layers for non-volatile memory
US8159035B2 (en) * 2007-07-09 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates of PMOS devices having high work functions
US7816216B2 (en) 2007-07-09 2010-10-19 Micron Technology, Inc. Semiconductor device comprising transistor structures and methods for forming same
US7969808B2 (en) 2007-07-20 2011-06-28 Samsung Electronics Co., Ltd. Memory cell structures, memory arrays, memory devices, memory controllers, and memory systems, and methods of manufacturing and operating the same
KR100881825B1 (ko) 2007-07-27 2009-02-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
JP4580966B2 (ja) * 2007-08-24 2010-11-17 株式会社東芝 ホイスラー合金を有する積層体、この積層体を用いたスピンmos電界効果トランジスタ及びトンネル磁気抵抗効果素子
US9129845B2 (en) 2007-09-19 2015-09-08 Micron Technology, Inc. Buried low-resistance metal word lines for cross-point variable-resistance material memories
US7439149B1 (en) 2007-09-26 2008-10-21 International Business Machines Corporation Structure and method for forming SOI trench memory with single-sided strap
US20090108351A1 (en) 2007-10-26 2009-04-30 International Business Machines Corporation Finfet memory device with dual separate gates and method of operation
US7719869B2 (en) 2007-11-19 2010-05-18 Qimonda Ag Memory cell array comprising floating body memory cells
KR20090054245A (ko) * 2007-11-26 2009-05-29 삼성전자주식회사 플로팅 바디 디램 소자 및 그 제조 방법
KR101027345B1 (ko) 2007-11-26 2011-04-11 주식회사 하이닉스반도체 핀 커패시턴스를 조절할 수 있는 정전기 방전 장치
DE102007057728B4 (de) 2007-11-30 2014-04-30 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleiterbauelements mit einer Kurzschlusstruktur
US7940558B2 (en) 2007-12-21 2011-05-10 Qimonda Ag Integrated circuit comprising a thyristor and method of controlling a memory cell comprising a thyristor
KR100950472B1 (ko) 2007-12-28 2010-03-31 주식회사 하이닉스반도체 4f2 트랜지스터를 갖는 반도체 소자의 제조방법
US20090173984A1 (en) 2008-01-08 2009-07-09 Qimonda Ag Integrated circuit and method of manufacturing an integrated circuit
US20090179262A1 (en) 2008-01-16 2009-07-16 Qimonda Ag Floating Body Memory Cell with a Non-Overlapping Gate Electrode
US7795691B2 (en) 2008-01-25 2010-09-14 Cree, Inc. Semiconductor transistor with P type re-grown channel layer
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US7742324B2 (en) 2008-02-19 2010-06-22 Micron Technology, Inc. Systems and devices including local data lines and methods of using, making, and operating the same
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US8030634B2 (en) 2008-03-31 2011-10-04 Macronix International Co., Ltd. Memory array with diode driver and method for fabricating the same
US7910451B2 (en) 2008-04-04 2011-03-22 International Business Machines Corporation Simultaneous buried strap and buried contact via formation for SOI deep trench capacitor
US7646847B2 (en) 2008-05-01 2010-01-12 Bruker Axs Inc. Handheld two-dimensional X-ray diffractometer
KR20090132872A (ko) 2008-06-23 2009-12-31 삼성전자주식회사 반도체 소자 및 반도체 기판
CN101621036B (zh) 2008-07-02 2011-08-17 中芯国际集成电路制造(上海)有限公司 具有非晶硅mas存储单元结构的半导体器件及其制造方法
KR101498873B1 (ko) 2008-07-08 2015-03-04 삼성전자주식회사 디램 및 비휘발성 메모리 특성을 갖는 메모리 소자의 구동방법
KR101159879B1 (ko) 2008-07-14 2012-06-25 에스케이하이닉스 주식회사 고집적 반도체 기억 장치
US20100044670A1 (en) 2008-08-19 2010-02-25 Peiching Ling Semiconductor device structures having single-crystalline switching device on conducting lines and methods thereof
US8130537B2 (en) 2008-09-09 2012-03-06 Qimonda Ag Phase change memory cell with MOSFET driven bipolar access device
KR20100070835A (ko) 2008-12-18 2010-06-28 삼성전자주식회사 사이리스터를 갖는 메모리 셀 및 그것을 포함한 메모리 장치
US8614131B2 (en) 2009-02-03 2013-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned static random access memory (SRAM) on metal gate
US8405121B2 (en) 2009-02-12 2013-03-26 Infineon Technologies Ag Semiconductor devices
KR101554531B1 (ko) 2009-02-12 2015-09-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR101073643B1 (ko) 2009-02-19 2011-10-14 서울대학교산학협력단 고성능 단일 트랜지스터 플로팅 바디 dram 소자 및 그 제조 방법
US8148780B2 (en) 2009-03-24 2012-04-03 Micron Technology, Inc. Devices and systems relating to a memory cell having a floating body
US7929343B2 (en) 2009-04-07 2011-04-19 Micron Technology, Inc. Methods, devices, and systems relating to memory cells having a floating body
KR101077453B1 (ko) 2009-03-31 2011-10-26 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US8183126B2 (en) 2009-07-13 2012-05-22 Seagate Technology Llc Patterning embedded control lines for vertically stacked semiconductor elements
US10566462B2 (en) 2009-07-30 2020-02-18 Infineon Technologies Austria Ag Bipolar semiconductor device and manufacturing method
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8588025B2 (en) * 2009-12-30 2013-11-19 Westerngeco L.L.C. Method and apparatus for acquiring wide-azimuth marine data using simultaneous shooting
US8836036B2 (en) 2010-01-05 2014-09-16 Globalfoundries Singapore Pte. Ltd. Method for fabricating semiconductor devices using stress engineering
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
JP5394360B2 (ja) 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
KR20110102738A (ko) 2010-03-11 2011-09-19 삼성전자주식회사 수직 채널 트랜지스터 및 그의 제조방법
KR101670451B1 (ko) 2010-03-12 2016-10-31 삼성전자주식회사 도전막 매립형 기판, 그 형성 방법, 반도체 소자 및 그 제조 방법
US8552490B2 (en) * 2010-06-18 2013-10-08 United Microelectronics Corp. Nonvolatile memory device with a high-K charge storage layer having a U-shaped,cross-sectional structure
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8435859B2 (en) 2011-02-16 2013-05-07 Micron Technology, Inc. Methods of forming electrical contacts
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US8518812B2 (en) 2011-05-23 2013-08-27 Micron Technology, Inc. Methods of forming electrical contacts
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US8530312B2 (en) 2011-08-08 2013-09-10 Micron Technology, Inc. Vertical devices and methods of forming
US8962465B2 (en) 2012-10-15 2015-02-24 Micron Technology, Inc. Methods of forming gated devices
US9214389B2 (en) 2014-04-29 2015-12-15 Micron Technology, Inc. Methods of forming memory arrays
US9224738B1 (en) 2014-08-18 2015-12-29 Micron Technology, Inc. Methods of forming an array of gated devices
US9673054B2 (en) 2014-08-18 2017-06-06 Micron Technology, Inc. Array of gated devices and methods of forming an array of gated devices
US9209187B1 (en) 2014-08-18 2015-12-08 Micron Technology, Inc. Methods of forming an array of gated devices

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02275663A (ja) * 1989-01-24 1990-11-09 Fujitsu Ltd 半導体装置およびその製造方法
JPH0464249A (ja) * 1990-07-04 1992-02-28 Fujitsu Ltd Soi基板の製造方法
JPH04186815A (ja) * 1990-11-21 1992-07-03 Fujitsu Ltd シリコンオンインシュレータ基板の製造方法
JPH04283914A (ja) * 1991-03-12 1992-10-08 Fujitsu Ltd 貼り合わせ半導体基板とその製造方法
JPH10150176A (ja) * 1996-11-15 1998-06-02 Tadahiro Omi 半導体基体とその作製方法
JPH11103035A (ja) * 1997-07-30 1999-04-13 Tadahiro Omi 半導体基板及びその作製方法
JP2000150905A (ja) * 1998-09-04 2000-05-30 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
WO2009088889A1 (en) * 2007-12-31 2009-07-16 Sandisk 3D, Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
JP2011508979A (ja) * 2007-12-31 2011-03-17 サンディスク スリーディー,エルエルシー 底部導体の上に形成された選択的に製造されたカーボンナノチューブ可逆抵抗切替素子を使用するメモリセルおよびそれを製造する方法

Also Published As

Publication number Publication date
SG10201501458TA (en) 2015-04-29
US20170194351A1 (en) 2017-07-06
KR101430855B1 (ko) 2014-08-18
TWI466256B (zh) 2014-12-21
WO2011109146A3 (en) 2011-12-15
US9608119B2 (en) 2017-03-28
CN102782850B (zh) 2015-07-15
JP5671070B2 (ja) 2015-02-18
SG183452A1 (en) 2012-09-27
KR20120124071A (ko) 2012-11-12
EP2543069A4 (en) 2015-04-08
EP2543069A2 (en) 2013-01-09
CN102782850A (zh) 2012-11-14
WO2011109146A2 (en) 2011-09-09
US10325926B2 (en) 2019-06-18
US20110215407A1 (en) 2011-09-08
TW201145487A (en) 2011-12-16

Similar Documents

Publication Publication Date Title
JP5671070B2 (ja) セミコンダクタ・メタル・オン・インシュレータ構造、斯かる構造の形成方法、及び斯かる構造を含む半導体装置
US10157769B2 (en) Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
TWI670837B (zh) 具有使用背面基底減薄形成的半導體插塞的三維記憶體裝置
TWI698005B (zh) 具有氮氧化矽的閘極到閘極介電質層的記憶堆疊體及其形成方法
TWI725430B (zh) 具有氮化矽的閘極到閘極介電質層的記憶堆疊體及其形成方法
US9646869B2 (en) Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
EP3910672A1 (en) Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
TWI715423B (zh) 具有位於記憶體串中的口袋結構的立體記憶體元件及其形成方法
US11393844B2 (en) Methods for forming three-dimensional memory devices
KR20160144542A (ko) 반도체 장치의 제조 방법
WO2021040809A1 (en) Embedded bonded assembly and method for making the same
CN111801802B (zh) 三维存储器件
TWI742886B (zh) 在儲存塊之間具有穩定結構的立體記憶體元件以及用於形成其的方法
CN112437983A (zh) 三维存储器件和用于形成三维存储器件的方法
TW202141758A (zh) 三維記憶體裝置及用於形成其的方法
TWI756745B (zh) 用於形成三維(3d)記憶體裝置的方法
TWI834945B (zh) 記憶體元件及其製作方法
TWI746228B (zh) 三維記憶體元件和用於形成三維記憶體元件的方法
TWI773086B (zh) 用於形成立體(3d)記憶體元件的方法
US20240032299A1 (en) Three-dimensional memory array with dual-level peripheral circuits and methods for forming the same
US20240023463A1 (en) Method for forming a memory device at a backside of a wafer substrate, and memory cell including a memory device at a backside of a wafer substrate
TW202145528A (zh) 3d記憶體裝置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140428

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140428

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141218

R150 Certificate of patent or registration of utility model

Ref document number: 5671070

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250