JP2008535217A - 凹まされたアクセス装置の形成方法 - Google Patents

凹まされたアクセス装置の形成方法 Download PDF

Info

Publication number
JP2008535217A
JP2008535217A JP2008503014A JP2008503014A JP2008535217A JP 2008535217 A JP2008535217 A JP 2008535217A JP 2008503014 A JP2008503014 A JP 2008503014A JP 2008503014 A JP2008503014 A JP 2008503014A JP 2008535217 A JP2008535217 A JP 2008535217A
Authority
JP
Japan
Prior art keywords
access device
substrate
recessed
electrically insulating
insulating material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008503014A
Other languages
English (en)
Other versions
JP4962874B2 (ja
Inventor
パレック,クナル,アール.
マシュー,スラジ,
トリヴェディ,ジギッシュ,ディー.
ザフラク,ジョン,ケー.
タング,サナー,ディー.
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2008535217A publication Critical patent/JP2008535217A/ja
Application granted granted Critical
Publication of JP4962874B2 publication Critical patent/JP4962874B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

この発明は凹まされたアクセス装置(180,182,184,186)を形成する方法を含む。基板(102)は、その中に、凹まれたアクセス装置溝を有するように設けられた。一対の凹されたアクセス装置(110)は互いに隣接する。導電体材料(144)はその凹されたアクセス装置溝内に形成され、そしてソース/ドレイン領域(170、172、174、176、178、180)は導電体材料の近くに形成される。導電体材料とソース/ドレイン領域は共に一対の隣接の凹されたアクセス装置に組み込まれる。凹されたアクセス装置溝が基板内に形成された後、溝化隔離領域を形成するために、隔離領域溝(130)が隣接の凹されたアクセス装置内に形成され、電気的に絶縁性の材料(136)によって充填される。
【選択図】図22

Description

本発明は半導体構造に関連する凹まされたアクセス装置の形成方法に関する。
半導体トランジスタ装置はゲートによって制御されるチャネルを通してソース/ドレイン領域の一対をお互いに相互接続させるゲートを含む装置である。トランジスタ装置は半導体構造の共通回路装置である。例としてトランジスタ装置は、例えば、ダイナミックスランダムアクセスメモリ(DRAM)やスタティックランダムアクセスメモリ(SRAM)を含むメモリ構造に組み入れられることができる。
半導体製造における継続している目標は集積のレベルを増加し、従って装置に使われる半導体領域の量を減らすことである。しかしながら、トランジスタ装置のサイズを減らすことは多くの難点をもたらす。例として、トランジスタ装置のチャネル長が減らされると、チャネルの向かい合う側にあるソース/ドレイン領域間の電子の流れを制御する試みにおいて多くの問題が発生する。これらの問題は一般的にショートチャネル効果と言われる。
ショートチャネル問題を克服するための有用とされる取り込みはトランジスタ装置を基板内部に凹ますことによって凹まされない時より装置に使われる領域の量は少なくなり、そして、まだ比較的に長いチャネルを持つ。図1は凹まされないトランジスタ装置を示し、そして、図2は凹まされない装置との比較のために、凹まされる装置を示す。
まず図1を参照し、半導体構造10は基板12を含むように図示されている。基板12は、例えば、バックグランドp型ドーパントで軽くドープされた単結晶シリコンを含むことができる。続くクレームの理解を助けるために、“半導体性の基板”及び“半導体基板”用語は半導体性の材料を含むどんな構造も意味するように定義され、半導体性のウエハーなどのバルク半導体性の材料(単独または他の材料を含むように組み立てられている)、そして半導体性の材料層(単独または他の材料を含むように組み立てられている)を含んでいるがこれに限定されない。“基板”用語は上述の半導体性の基板を含んでいるがこれに限定されていないどんな支える構造も指す。
トランジスタ装置14は基板によって支えられる。トランジスタ装置は誘電体材料18によって基板12から離されるゲート16を含み;ゲートの側壁に沿った側壁スペーサー24を含み、ゲートの向かい合う側にある一対のソース/ドレイン領域20を含み;そしてソース/ドレイン領域間のチャネル領域22を含む。
ゲート16は、例えば、各種の金属、金属合成物、そして/または伝導性ドープされたシリコンまたは他の伝導性ドープされた半導体材料を含む各種の電気的に伝導性の材料を含むことができる誘電体材料18はどんなふさわしい材料または材料の組み合わせも含むことができ、そして、典型的に二酸化シリコンからなる、あるいは実質的にはからなる、あるいはのみからなる。側壁スペーサー24はどんなふさわしい合成物または合成物の組み合わせも含むことができ、そして、典型的に窒化シリコンと二酸化シリコンの1つまたは両方からなる、あるいは実質的にからなる、あるいはのみからなる。
ソース/ドレイン領域20は単結晶基板12内部の伝導性ドープされた領域を含むことができ、そして軽くドープされた拡がりを持つ重くドープされた領域を含むことができる。例として、ソース/ドレイン領域20は重くn型ドープされた領域または重くp型ドープされた領域のどちらかを含むことができ、そして、側壁24の下に拡がる軽くドープされ
た部分を含むことができる。チャネル領域22は閾値電圧打ち込みでドープされ、そして、十分な電流がゲート16を通過時にソース/ドレイン領域20をお互いに動作的に相互接続をする。
図2は半導体基板32と基板によって支えられるトランジスタ34を含む構造30を示す。トランジスタは基板内部に拡がるゲート36、ゲートと基板間の誘電体材料38、ゲートに近い基板内部のソース/ドレイン領域40、そしてゲートの最下位部分の回りに拡がりソース/ドレイン領域40をお互いに相互接続するチャネル領域42を含む。図示されないが、側壁スペーサーは図1に関連して上述されたスペーサー24に類似してゲート36の近くに用意されることができる。
基板32、誘電体材料38、ゲート36そしてソース/ドレイン領域40は図1の基板12、ゲート16、誘電体材料18、そしてソース/ドレイン領域20について上述したものと同一の材料を含むことができる。さらに、図1の領域22内部に用意される閾値電圧打ち込みと同様にチャネル領域42内部に閾値電圧打ち込みを用意されることができる。
図1の凹まされない装置構造に対する図2の凹まされた装置構造間の違いは図2の装置のチャネル領域42がゲート36の凹まされた部分の周りに拡がっているおかげで伸ばされることである。このように図1の装置14に対して図2のトランジスタ装置34のために短いチャネルの影響を減らすことができる。
凹まされない装置と比較して凹まされた装置は短いチャネルの影響を回避しながら集積密度が達成できる利点を有するが、凹まされたアクセス装置は商業的に実現可能になれば、凹まされたアクセス装置の大規模製造には対応されるべき多くの問題に遭遇する。従って、凹まされたアクセス装置の大規模製造のための新しい方法論を開発することが要求されている。凹まされたアクセス装置のための応用はメモリアレイにあり、例えば、DRAMアレイなどである。従って、凹まされたアクセス装置の大規模製造のために開発された方法論はメモリアレイの製造に応用可能であることがさらに要求されるであろう。
[発明の要約]
1つの形態において、本発明は凹まされたアクセス装置の形成方法を含む。半導体基板が用意される。凹まされたアクセス装置溝が基板内部に形成される。凹まされたアクセス装置溝の対は互いに隣接する。電気的に伝導性のゲート材料が凹まされたアクセス装置溝内部に形成される。ソース/ドレイン領域が伝導性のゲート材料の近くに形成される。伝導性のゲート材料とソース/ドレイン領域は凹まされたアクセス装置の隣接対を形成する(特に、凹まされたアクセストランジスタの一対)。凹まされたアクセス装置溝が基板内部に形成された後、隣接する凹まされたアクセス装置間に隔離領域溝が形成される。溝部の隔離領域を形成するために隔離領域溝が電気的に絶縁性の材料で満たされる。
1つの形態において、本発明は凹まされるアクセス装置の他の形成方法を含む。半導体構造が用意され、そして凹まされたアクセス装置溝が基板内部に形成される。凹まされたアクセス装置溝が第一の電気的に絶縁性の材料で満たされる。第一の電気的に絶縁性の材料が複数のアクセス装置領域を定義するマスクにパターンニングされる。アクセス装置領域が隔離領域によって囲まれる島状部である。アクセス装置領域は凹まされたアクセス装置溝の部分のみを含む。隔離領域の基板を凹ませるために基板が隔離領域内部でエッチングされる。隔離領域を被覆するために凹まされた基板が第二の電気的に絶縁性の材料で被覆される。第二の電気的に絶縁性の材料の少なくとも大部分が残されながら第一の電気的に絶縁性の材料の少なくとも大部分が除去される。後に、アクセス装置領域に含まれる凹
まされたアクセス装置溝の部分の内部にゲート材料が形成される。
1つの形態において、本発明は凹まされたアクセス装置の他形成方法を含む。半導体基板が用意され、そして第一のパターンニングされたマスクが基板上に形成される。第一のパターンニングされたマスクはそこを通って拡がり、凹まされたアクセス装置の溝のための第一の位置を規定する開口部を有する。基板内部へ拡がる凹まされたアクセス装置溝を形成するために基板が第一の位置内にエッチングされる。凹まされたアクセス装置溝がゲート材料で満たされる。第一の電気的に絶縁性の材料が第一のパターンニングされたマスク上とゲート材料上に形成される。第一の電気的に絶縁性の材料が複数のアクセス装置領域を規定するマスクにパターンニングされる。アクセス装置領域は隔離領域によって囲まれる島状部である。アクセス装置領域は凹まされたアクセス装置溝の部分のみを含む。前記隔離領域の基板を凹ませるために基板がエッチングされる。凹まされた基板が第二の電気的に絶縁性の材料で被覆される。第一の電気的に絶縁性の材料が除去される。後に、複数の導電性の線が形成される。個々の導電性の線は複数のアクセス装置領域を横切って延出し複数のアクセス装置領域のゲート材料をお互いに電気的に相互接続する。
本発明は半導体構造に関連する凹まされたアクセス装置のための各種の形成方法を含む。特定の形態において、形成される構造は凹まされたアクセス装置と隣接する装置を電気的に隔離する浅い溝隔離領域を含む。従って、凹まされたアクセス装置ゲート溝と浅い溝隔離領域が製造される。凹まされたアクセス装置ゲート溝を形成する前に浅い溝隔離領域溝を形成する従来技術のプロセスに比べて、本発明の幾つかの方法は浅い溝隔離領域溝を形成する前に凹まされたアクセス装置ゲート溝を形成する。浅い溝隔離領域溝を形成する前に凹まされたアクセス装置ゲート溝が形成されるが、浅い溝隔離領域溝の形成前または後に、凹まされたアクセス装置ゲート溝内部にゲート材料を形成させることができる。
本発明の例示的な形態が図3−40と関連して述べられ、図3−22は第一実施形態に属し、図23−40は第二実施形態に属する。
まず図3と4を参照し、半導体構造100が本発明の第一実施形態の予備処理段階において図示される。構造100は基板102を含み、基板102は、例えば、軽いバックグランドp型ドーパントでドープされた単結晶シリコンからなる、実質的にからなる、あるいはのみからなることができる。
パターンニングされたマスク104が基板上に形成される。示されるパターンニングされたマスクは、二酸化シリコンからなる、実質的にからなる、あるいはのみからなる第一層106;と窒化シリコンからなる、実質的にからなる、あるいはのみからなる第二層108、を含む。マスク104上に光石版印刷のパターンニングされたフォトレジスト(図示しない)を用意し、フォトレジストからマスク104の材料へパターンを転写し、そして後にフォトレジストを除去することによってマスク104が示されるパターンへ形成がされる。
パターンニングされたマスク104はそこを通って拡がる開口部110を有し、そのような開口部で、凹まされたアクセス装置の溝のための位置を規定する。開口部110の位置は後続の考察において第一の位置とされる。
基板102内部へ拡がり凹まされたアクセス装置溝を形成するためにマスク104によって規定される第一の位置を通して基板102がエッチングされる。特定の形態において、このような溝は基板102の単結晶シリコンへ拡がる。溝は111の底面そして基板102の最上位表面から底面までの“D”の深さを有するように示されている。このような
深さは、例えば、およそ100Åからおよそ2000Åまで可能である。
本発明の例示的な形態において、基板102は図3と4の処理段階においてp−ウェルと/またはn−ウェルのインプラントを中に有する単結晶シリコンを含むことができる。層106は単結晶シリコンの最上位表面を酸化することで形成することができ、層106は二酸化シリコンから実質的になりあるいはのみからなり、そしておよそ50Åからおよそ100Åの厚さを有する。窒化物キャップ108はおよそ200Åからおよそ500Åの厚さを含むことができ、そして、典型的におよそ300Åからおよそ500Åの厚さを含む。
幾つかの形態において(示されない)開口部110の微細寸法は2ステップ処理により縮めることができる。まず、示される開口部110を形成するためにマスキング層104がエッチングされる。後に、開口部110の側壁に沿って拡がりかつ開口部の内部に窒化シリコン層を用意し、そして後にスペーサーを形成するためこのような層に異方性エッチングを受けさせることで窒化物スペーサーが開口部110の側壁に沿って形成される。。このようなスペーサーの形成後に開口部が基板102内部に拡張させることができ、そのために、開口部は光石版印刷の処理で最初に形成された寸法よりもより小さい微細寸法を有する。
次に図5と6を参照し、マスク104(図3と4)が除去され、そして層112が基板102上と溝110内部に形成される。層112は、例えば、二酸化シリコンからなる、実質的にからなる、あるいはのみからなるように構成できる。このような形態において、層112は単結晶シリコン102の露出された上位表面を熱酸化で形成させることができる。
次に図7と8を参照し、窒化シリコンからなる、実質的にからなる、あるいはのみからなる層114は層112上に形成される。ここに用意される層112と114の合成物は例示的な合成物として理解するこができ、そしてこれらの層はどんなふさわしい合成物を含むこともできることが理解するこができる。層112と114は共に溝110を満たすために用意された第一の絶縁性の材料とすることができる。絶縁性の材料114の下の溝の位置を示すために溝110は図7にて破線で示される。
次に図9と10を参照して、光石版印刷のパンターンニングされたフォトレジスト116が層114上に用意される。フォトレジストは層112と114を含む第一の絶縁性の材料へ転写されるパターンを規定する。その後、このようなパターンがふさわしいエッチングで層112と114に転写され、パターン層112と114がマスクへ転写される。マスクは複数のアクセス装置領域120、122、124と126を規定する。アクセス装置領域は隔離領域130に囲まれる島状部である。アクセス装置領域120、122、124と126は隔離領域130内部に溝の残りの部分を有し、最初に形成される凹まされたアクセス装置溝110の部分(つまり、図7と8の溝)のみを含む。
示されるアクセス装置領域120、122、124と126は図9において実質的に楕
円である。実質的に楕円のアクセス装置領域は領域120内の軸121として示される例示的な主要縦楕円軸を持つ主要縦楕円軸を有する。溝110は図9内の軸123として図示される例示的な長手軸を持つそれらの長さに沿って拡張する主要長手軸を含むように考察できることが注意されたい。図9に図示される応用において、主要縦楕円軸121は軸123とは相互的に斜角をなし、そして従って主要縦軸123とは実質的に直交しない。しかしながら、本発明はまた、アクセス装置領域の主要縦楕円軸は実質的に凹まされたアクセス装置溝の主要長手軸へ直交するような形態も含むことが理解できる(例えば、この後に述べる図31と32に関連する形態など)。
次に図11と12を参照し、隔離領域の基板130がエッチングによって凹まされる。エッチングは凹まされたアクセス装置領域120、122、124と126間の溝110部分を除去する。
エッチング後、隔離領域130の凹まされた基板は基板102の最上位表面の下の“E”の深さにある。特定の形態において、基板は凹まされたアクセス装置溝110の最下位レベルの下のレベルまで凹ませることができ、そのような深さ“E”は図4の深さ“D”より少なくともおよそ2倍大きい。深さ“E”は浅い溝隔離領域の深さに対応でき、そして特定の形態においておよそ500Åからおよそ3500Åまで可能である。
図12の2つの溝110はお互いに隣接するとみなせることができ、そして隔離領域130はそのような隣接する溝間に形成されることがみなせることができる。例として、1つの隣接する溝は131でラベリングされた溝、そして他は133でラベリングされた溝であり、そしてそのような溝は隔離領域130内でのそれらの間に形成された深い溝の向かい合い側にあるとみなせることができる。示される本発明の処理において、隣接する凹まされたアクセス装置溝131と133はそれらの間の深い溝の形成前に形成される。
次に図13と14を参照し、フォトレジスト116(図11と12)が除去され、そして後に電気的に絶縁性の材料136が隔離領域130の凹まされた基板上に形成され、と同様にアクセス装置領域120、122,124と126の層114上に形成される。アクセス装置領域120、122,124と126がそのような領域の位置を指すために図13にて破線で示され、しかし、図13の処理段階においてこれらの領域は絶縁性の材料136の下にあることが注意されたい。
材料136はどんなふさわしい合成物または合成物の組み合わせでも含むことができる。特定の形態において、材料136は浅い溝スタックと呼ばれるものに対応でき、そして従って基板102に沿った二酸化シリコンの薄い層、二酸化シリコン上の薄い窒化シリコンライナー、そしてライナー内部を満たす厚い二酸化シリコンを含むことができる。言い換えれば、絶縁性の材料136は元来薄い窒化シリコンライナーと薄い二酸化シリコンライナーによって基板102から分離される材料のバルク二酸化シリコンを持つ二酸化シリコンを含むことができる。材料136のバルク絶縁性の合成物は、幾つかの形態において、塗布誘電体であることができる。
図14において、誘電体で満たされた深い領域130は凹まされたアクセス装置溝131と133間に用意される溝形の隔離領域を含むようにみなせることができる。
次に図15と16を参照し、層114上から材料を除去し、そして材料136と層114を横切って拡がる平坦化された上位表面137を形成するために材料136が平坦化を受けさせられる(例えば、化学機械平坦化など)。図15と16の平坦化は隔離領域130の凹まされた基板上の絶縁性の材料136を残しながら層114上から絶縁性の材料136を除去するとみなせることができる。
次に図17と18を参照して、電気的に絶縁性の材料136を残しながら層112と114が基板102上から除去される。幾つかの形態において、層112と114は共に第一の電気的に絶縁性の材料を含むように考えることができそして材料136に対応する第二の電気的に絶縁性の材料の少なくとも大部分を残しながらそのような第一の電気的に絶縁性の材料の少なくとも大部分が除去されると考えることができる。示される形態において、層112と114に対応する第一の電気的に絶縁性の材料の全体が除去され、しかし本発明はそのような材料の全体よりも少ない量が除去される他の形態を含むことができる
と理解されたい。例として、材料112は二酸化シリコンを含めば、後続処理におけるゲート酸化に対応するように材料112が残されるようにできる。しかしながら、材料112は二酸化シリコンを含むか否かにもかかわらず材料112が除去されれば、このように実際のゲート誘電体材料の形成前に基盤102の表面が浄化されることを可能とする点で有利である。従って、層122と114の材料は典型的に犠牲材料に対応する。
例えば、p−ウェルまたはn−ウェルのようなドーパントウェルの形成要求があれば、ドーパントが図17と18の処理段階において基板102内部に用意されることができる。
次に図19と20を参照し、ゲート誘電体材料140が凹まされたアクセス装置溝110内部に形成され、そして後に導電性のゲート材料142がゲート誘電体材料上にそして溝を満たすために溝内部に形成される。示される本発明の形態において、伝導性のゲート材料142は第一層144と第二層146を含む。層144と146はインタフェース147において結びつく。層144は、例えば、導電性のドープされたシリコンからなる、実質的にはからなる、あるいはのみからなる。そして層146は、例えば、1つまたはそれ以上の金属を含んだ合成物からなる、実質的にはからなる、またはのみからなるように構成することができる。金属含有の合成物は純金属そして/または金属含有の混合物であることができる。特定の形態において、層146は図20にて降順でタングステン/タングステンケイ化物/窒化チタンのスタックを含むように構成できる。
示されるゲート材料142は溝110を満たすのみにならず、しかしまたそのような溝の外部まで拡がる。さらに、層146の金属含有の合成物と層144の導電性のドープされたシリコン間のインタフェース(つまり、インタフェース147)は溝の外にある。
電気的に絶縁性のキャップ150が導電性のゲート材料142上に形成される。キャップ150はどんなふさわしい合成物または合成物の組み合わせでも含むことができ、そして特定の形態において二酸化シリコンと窒化シリコンの内の1つまたは両方からなる、実質的にはからなる、あるいはのみからなるように構成する。
図19にてアクセス装置領域120、122、124と126が破線で示され、その領域は絶縁性キャップ150の下にあることを指す。
次に図21と22を参照し、材料140、144、146と150がアクセス装置領域120、122、124と126を横切って延びる導電性の線へパターンニングされる。より具体的に、材料140、144,146と150は複数の線160、162、164と166へパターンニングされ、各々の線は複数のアクセス装置領域を横切って延びそして異なるアクセス装置領域と関連するゲートをお互いに電気的に接続する。
ソース/ドレイン領域170、172、174、176,178と180は基板102内部そして電気的に導電性のゲート材料144の近くに形成される。ソース/ドレイン領域がどんなふさわしいドーパント型へもドープされることができ、そしてどんなふさわしいドーパントでも含むことができる。ソース/ドレインは基板102内部にドーパントを妥当な深さまで打ち込むことによって形成されることができる。導電性のゲート材料とソース/ドレイン領域は共に基板102に支えられる複数のトランジスタ装置180、182、184と186を形成する。そのようなトランジスタ装置は凹まされたアクセス装置溝110内部に拡がるゲートを有する凹まされたアクセス装置に対応する。
各々のトランジスタ装置180、182、184と186はソース/ドレイン領域の対をお互いに電気的に接続するゲートを含むものとして考えることができる。例として、ト
ランジスタ装置180はソース/ドレイン領域170と172をお互いに電気的に接続するゲートを含むものとして考えることができ、装置182はソース/ドレイン領域172と174とをお互いに電気的に接続するゲートを含むものとして考えることができ、装置184はソース/ドレイン領域176と178とをお互いに電気的に接続するゲートを含むものとして考えることができ、装置186はソース/ドレイン領域170と172とをお互いに電気的に接続するゲートを含むものとして考えることができる。
トランジスタ装置は幾つかの対となったソース/ドレイン領域をビット線の接点そして他を記憶装置ノードの接点(つまり、コンデンサー記憶装置ノード)へ接続することでダイナミックスアクセスメモリ(DRAM)アレイへ組み入れることができる。示される本発明の形態において、ソース/ドレイン領域170、174、176と180がそれぞれコンデンサー記憶装置ノード190、192、194と196に接続される;そしてソース/ドレイン領域172と178がそれぞれビット線198と200に接続される。故に、示された構造はDRAMアレイへ組み入れることができる。
次に図23と24を参照し、本発明の第二実施例の形態の予備処理段階における半導体構造300を図解する。本発明の第二実施例の形態に関連する図に関して、妥当な所において上記の本発明の第一実施例の形態の説明に使われた同様の番号付けが使われる。
構造300は上述の図3と4に関連する基板102、層106と108のパターンニングされたマスク104、そして溝110を含み、そして故に上述の図3と4に関する構造に同一に対応する。
次に図25と26を参照し、ゲート誘電体材料302が形成され溝110の底を線引き、そしてその後ゲート材料304が溝内部にそして誘電体材料302上に形成される。
誘電体材料302は、例えば、二酸化シリコンからなる、実質的にはからなる、あるいはのみからなるように構成することができる。そのような形態において、溝110内部に基板102から露出したシリコンを酸化することによって材料302が堆積または形成されるようにすることができる。要求されれば、誘電体材料302が2ステップで形成されることができ、1つのステップは溝110内部に第一の二酸化シリコンを最初に形成し、そして他のステップは溝110内部から第一誘電体材料を取り除きそして他の誘電体材料を溝内部に形成し最初に用意されたものより良質の二酸化シリコン誘電体ができる。
ゲート材料304はシリコンからなる、実質的にはからなる、あるいはのみからなるように構成することができる。シリコンは堆積された導電性のドープ、または非導電性のドープ形で堆積されそしてその後の処理段階で妥当な打ち込みでドープされるようにできる。
図26の構造はゲート材料304を横切りそして絶縁性の材料108を横切って拡がる平坦化された上位表面305を含むように示される。これは溝を満たすと同時に材料108をかぶせるゲート材料304を最初に用意し、そして後に材料304に平坦化を受けさせ(例えば、化学機械平坦化など)、材料108上から材料304を除去して平坦化された上位表面305を形成する。
要求されれば、溝110内部のゲート材料304の形成前に強化打ち込みそして/または閾値電圧打ち込みが基板102内部に用意されることができる。
ゲート材料304が層108の最上位表面と同一の広がりを持つ平坦化された表面を有するように示されているが、ゲート材料はまた層108の最上位表面の高度レベルより下
に凹まされた表面を有することができることが理解されたい。幾つかの形態において、シリコンを含んだ材料304が層108の最上位表面より下に凹まされることが好まれる。
次に図27と28を参照し、層108上に酸化物310そして層304上に酸化物312を形成するために、材料304の最上位表面と層108に酸化を受ける。本発明の特定の形態において、層108は窒化シリコンからなる、実質的にはからなる、あるいはのみからなるように構成し、そして従って酸化物310は窒化酸化シリコンからなる、実質的にはからなる、あるいはのみからなるように構成し、そして層304はシリコンからなる、実質的にはからなる、あるいはのみからなるように構成し、そして従って酸化物312は二酸化シリコンからなる、実質的にはからなる、あるいはのみからなるように構成する。酸化物310と312はおよそ30Åの厚さからおよそ60Åの厚さになるように形成される。
次に図29と30を参照し、電気的に絶縁性の材料314が酸化物310と312上に用意される。層314は、例えば、窒化シリコンからなる、実質的にはからなる、あるいはのみからなるように構成することができ、そしておよそ300Åからおよそ500Åまでの厚さで堆積させられることができる。本発明の幾つかの形態において、合成物310、312と314が共に第一パンターンニングされたマスク104とゲート材料304上に用意される絶縁性の材料316を形成するとみなせることできる。
溝の位置を指すために溝110は図29において破線で示される。
次に図31と32を参照し、材料316が複数のアクセス装置領域320、324、326、328、330、332、334と336を規定するマスクへパタンーンニングされる;そしてアクセス装置領域を囲む隔離領域340を規定する。材料316のパターンニングは材料316上に光石版印刷のパターンニングされたフォトレジストマスク(示されない)を用意し、フォトレジストから材料316にパターンを転写し、そして後にフォトレジストマスクを除去することで成し遂げることができる。
材料316がパターンニングされた後、基板102が隔離領域340内部でエッチングされ、そのような隔離領域の基板を凹ませる。隔離領域の凹まされた基板は溝110の最下位の高さレベルの下の高さレベルにあり、そして幾つかの形態において少なくとも溝110の高さレベルより2倍深い。
隔離領域340内部のエッチングはアクセス装置領域320、324、326、328、330、332、334と336内部のゲート材料を残しながら隔離領域内部からゲート材料304を除去する。隔離領域340内部のエッチングは、例えば、反応性イオンエッチングによって成し遂げることができる。
酸化物342と窒化ライナー344がエッチングされた隔離領域340内部に用意され、そしてまた示される形態において材料314上に拡がる。酸化物312は、例えば、基板102を沿う二酸化シリコンからなる、実質的にはからなる、あるいはのみからなるように構成することができ、そして窒化物344は、例えば、窒化シリコンからなる、実質的にはからなる、あるいはのみからなるように構成することができる。酸化物は構造300の露出された材料の酸化によって形成されることができ、そして従って材料108と314を沿うより基板102を沿った他の合成物を含むことができ、またはその代わりに堆積によって構成されることができる。このように反応性イオンエッチング中に出現しうるプラズマダメージを修復することができる点から酸化が望ましく、そしてまたゲート多結晶シリコンと基板102のバルクシリコン間に低い漏れを提供するために界面表面におけるゲート誘電体への侵食ができる。酸化物はおよそ30Åからおよそ80Åまでの厚さで
形成されることが望ましい。窒化物ライナー344は酸化物層342上に堆積されることができ、そして最終的に後続の塗布ガラス堆積プロセスのための保護層として機能することができる。
図9のアクセス装置領域に類似して図31のアクセス装置領域が楕円として示されていることに注意されたい。しかしながら、図9のアクセス装置領域と違って、図31のアクセス装置領域は主要元来縦楕円軸を有し、凹まされたアクセス装置溝110の主要長手軸に実質的に直交する。
次に図33と34を参照し、誘電体材料350が凹まされた隔離領域340を満たすために用意される。誘電体材料350は塗布グラスであることできる。誘電体材料350が絶縁性の材料316を覆うために最初に形成されることができ、と同時に凹まされた隔離領域340を満たし、そして後に絶縁性の材料316を除去してそして誘電体材料350と層314上が拡がる平坦化された表面351を形成するために平坦化(例えば、化学機械研磨など)が使用されることができる。幾つかの形態において、絶縁性の材料316(材料314、310と312を含む)は第一の絶縁性の材料として考えることができ、そして絶縁性の材料350は第二の絶縁性の材料として考えることができる。
次に図35と36を参照し、層314(図34)が除去される。これはウェット酸化物エッチングで材料314の窒化物より下の塗布ガラスを軽く凹ませ、続いて材料314除去するためにウェット窒化物を取り除くなどで成し遂げることができる。ウェット窒化物の取り除きは酸化物材料310と312にて選択的に停止することができる。
次に図37と38を参照し、複数の線370、372、374と376がアクセス装置領域320、324、326、328、330、332、334と336を横切って延びるように形成される。線は導電性の材料380と絶縁性のキャップ382を含む。導電性の材料380は、例えば、窒化チタン/タングステンケイ化物/タングステンのスタック(図20にて降順)を含むことができ、そしてキャップ382は窒化シリコンからなる、実質的にはからなる、あるいはのみからなることができる。
線は層380と382のふさわしい材料を構造300の最上位表面を全体的に横切って最初に堆積させ、そして後に層380と382上に光石版印刷のパンターンニングされたフォトレジストを形成し、フォトレジストからパターンを下にある層380と382上に転写し、そして後にフォトレジストを除去することで材料のパターンニングをすることによって形成することができる。
図37と38の構造は図21と22の構造と類似してダイナミックスアクセスメモリ(DRAM)アレイへ組み入れることができる。特に、妥当な伝導性のドープされた拡散領域が導電性のゲート材料144によって構成されるトランジスタゲートの近くに形成されることができ、そしてコンデンサー構造とビットライン構造が電気的にソース/ドレイン領域に結合されることができる。
図39と40は図37と38に関連する形態の別の形態について図示する。特に、線370、372、374と376の形成の前に構造300に平坦化を受けさせることができる。そのような平坦化は層108を除去し(図35と36)、そして示される平坦化された表面390を形成する。平坦化された表面390が材料106上に示されるが材料106を通して平坦化がまた拡がることが理解されたい。材料106を通して平坦化がまた拡がれば、線の形成前に他の誘電体の材料が基板102上に材料106のかわりに形成されることができる。図40の構造が図39の構造に関連する上述の類似方法でメモリアレイに取り込むことができる。
本発明の好まれる実施例が下記の関連する図面で説明される。
図1は従来技術のトランジスタ装置を図示する半導体ウェファー断片の断面図である。 図2は他の従来技術のトランジスタ装置を図示する半導体ウェファー断片の断面図である。 図3と4は本発明の例示的な形態の予備処理段階を図示する半導体ウェファー断片の上面図と図解断面側図面である。図4の断面は図3の線4−4に沿っている。 図3と4は本発明の例示的な形態の予備処理段階を図示する半導体ウェファー断片の上面図と図解断面側図面である。図4の断面は図3の線4−4に沿っている。 図5と6はそれぞれ図3と4の後続の処理段階における図3と4の断片を図示する。図6の断面は図5の線6−6に沿っている。 図5と6はそれぞれ図3と4の後続の処理段階における図3と4の断片を図示する。図6の断面は図5の線6−6に沿っている。 図7と8はそれぞれ図5と6の後続の処理段階における図3と4の断片を図示する。図8の断面は図7の線8−8に沿っている。 図7と8はそれぞれ図5と6の後続の処理段階における図3と4の断片を図示する。図8の断面は図7の線8−8に沿っている。 図9と10はそれぞれ図7と8の後続の処理段階における図3と4の断片を図示する。図10の断面は図9の線10−10に沿っている。 図9と10はそれぞれ図7と8の後続の処理段階における図3と4の断片を図示する。図10の断面は図9の線10−10に沿っている。 図11と12はそれぞれ図9と10の後続の処理段階における図3と4の断片を図示する。図12の断面は図11の線12−12に沿っている。 図11と12はそれぞれ図9と10の後続の処理段階における図3と4の断片を図示する。図12の断面は図11の線12−12に沿っている。 図13と14はそれぞれ図11と12の後続の処理段階における図3と4の断片を図示する。図14の断面は図13の線14−14に沿っている。 図13と14はそれぞれ図11と12の後続の処理段階における図3と4の断片を図示する。図14の断面は図13の線14−14に沿っている。 図15と16はそれぞれ図13と14の後続の処理段階における図3と4の断片を図示する。図16の断面は図15の線16−16に沿っている。 図15と16はそれぞれ図13と14の後続の処理段階における図3と4の断片を図示する。図16の断面は図15の線16−16に沿っている。 図17と18はそれぞれ図15と16の後続の処理段階における図3と4の断片を図示する。図18の断面は図17の線18−18に沿っている。 図17と18はそれぞれ図15と16の後続の処理段階における図3と4の断片を図示する。図18の断面は図17の線18−18に沿っている。 図19と20はそれぞれ図17と18の後続の処理段階における図3と4の断片を図示する。図20の断面は図19の線20−20に沿っている。 図19と20はそれぞれ図17と18の後続の処理段階における図3と4の断片を図示する。図20の断面は図19の線20−20に沿っている。 図21と22はそれぞれ図19と20の後続の処理段階における図3と4の断片を図示する。図22の断面は図21の線22−22に沿っている。 図21と22はそれぞれ図19と20の後続の処理段階における図3と4の断片を図示する。図22の断面は図21の線22−22に沿っている。 図23と24は本発明の第二実施例の例示的な形態の予備処理段階を図示する半導体ウェファー断片の図解的上面図と断面側図面である。図24の断面は図23の線24−24に沿っている。 図23と24は本発明の第二実施例の例示的な形態の予備処理段階を図示する半導体ウェファー断片の図解的上面図と断面側図面である。図24の断面は図23の線24−24に沿っている。 図25と26はそれぞれ図23と24の後続の処理段階における図23と24の断片を図示する。図26の断面は図25の線26−26に沿っている。 図25と26はそれぞれ図23と24の後続の処理段階における図23と24の断片を図示する。図26の断面は図25の線26−26に沿っている。 図27と28はそれぞれ図25と26の後続の処理段階における図23と24の断片を図示する。図28の断面は図27の線28−28に沿っている。 図27と28はそれぞれ図25と26の後続の処理段階における図23と24の断片を図示する。図28の断面は図27の線28−28に沿っている。 図29と30はそれぞれ図27と28の後続の処理段階における図23と24の断片を図示する。図30の断面は図29の線30−30に沿っている。 図29と30はそれぞれ図27と28の後続の処理段階における図23と24の断片を図示する。図30の断面は図29の線30−30に沿っている。 図31と32はそれぞれ図29と30の後続の処理段階における図23と24の断片を図示する。図32の断面は図31の線32−32に沿っている。 図31と32はそれぞれ図29と30の後続の処理段階における図23と24の断片を図示する。図32の断面は図31の線32−32に沿っている。 図33と34はそれぞれ図31と32の後続の処理段階における図23と24の断片を図示する。図34の断面は図33の線34−34に沿っている。 図33と34はそれぞれ図31と32の後続の処理段階における図23と24の断片を図示する。図34の断面は図33の線34−34に沿っている。 図35と36はそれぞれ図33と34の後続の処理段階における図23と24の断片を図示する。図36の断面は図35の線36−36に沿っている。 図35と36はそれぞれ図33と34の後続の処理段階における図23と24の断片を図示する。図36の断面は図35の線36−36に沿っている。 図37と38はそれぞれ図35と36の後続の処理段階における図23と24の断片を図示する。図38の断面は図37の線38−38に沿っている。 図37と38はそれぞれ図35と36の後続の処理段階における図23と24の断片を図示する。図38の断面は図37の線38−38に沿っている。 図39と40はそれぞれ図37と38の後続の処理段階における図23と24の断片を図示する。図40の断面は図39の線40−40に沿っている。 図39と40はそれぞれ図37と38の後続の処理段階における図23と24の断片を図示する。図40の断面は図39の線40−40に沿っている。
符号の説明
10半導体構造
12基板
14トランジスタ装置
16ゲート
18誘電体材料
20ソース/ドレイン領域
22チャネル領域
24側壁スペーサー

30構造
32半導体基板
34トランジスタ
36ゲート
38誘電体材料
40ソース/ドレイン領域
42チャネル領域

100半導体構造
102基板
104マスク
106第一層
108第二層
110開口部
111底面

112層

114層

116フォトレジスト
120、122、124、126アクセス装置領域
121主要縦楕円軸
123主要長手軸

130隔離領域
131、133アクセス装置溝
136電気的に絶縁性の材料

140ゲート誘電体材料
142導電性のゲート材料
144第一層
146第二層
147インタフェース
150キャップ

160、162、164、166線
170、172、174、176,178、180ソース/ドレイン領域
180、182、184、186トランジスタ装置
190、192、194、196コンデンサー記憶装置ノード
198、200ビット線

300半導体構造
302ゲート誘電体材料
304ゲート材料
305上位表面

310、312酸化物
314電気的に絶縁性の材料
320、324、326、328、330、332、334、336アクセス装置領域
340隔離領域


316材料
342酸化物
344窒化ライナー

350誘電体材料
370、372、374、376線
380導電性の材料
382絶縁性のキャップ
390表面

Claims (47)

  1. 半導体基板を用意し、
    前記基板内部に凹まされたアクセス装置溝を形成し、前記凹まされたアクセス装置溝の一対は他と隣接し、
    電気的に導電性のゲート材料を前記凹まされたアクセス装置溝内部に形成し、
    ソース/ドレイン領域を前記導電性のゲート材料の近くに形成し、
    前記導電性のゲート材料と前記ソース/ドレイン領域は共に前記凹まされたアクセス装置に対応するトランジスタを形成し、
    前記基板内部に前記凹まされたアクセス装置溝を形成した後、前記一対の隣接する凹まされたアクセス装置間に隔離領域溝を形成し、
    掘られた隔離領域を形成するために前記隔離領域溝を電気的に絶縁性の材料で満たす
    ことを特徴とする半導体構造に関連する凹まされたアクセス装置の形成方法。
  2. 前記基板は単結晶シリコンを含み、
    前記凹まされたアクセス装置溝と前記隔離領域溝は前記基板の前記単結晶シリコンに拡がる
    ことを特徴とする請求項1記載の形成方法。
  3. 前記凹まされたアクセス装置溝は前記単結晶シリコンの内部に第一深さまで拡がり、
    前記隔離領域溝は前記単結晶シリコンの内部に第二深さまで拡がり、前記第二深さは少なくとも第一深さより倍だけ深い
    ことを特徴とする請求項2記載の形成方法。
  4. 前記導電性のゲート材料の形成は前記隔離領域溝の形成後に発生する
    ことを特徴とする請求項1記載の形成方法。
  5. 前記導電性のゲート材料の形成は前記隔離領域溝の形成前に発生する
    ことを特徴とする請求項1記載の形成方法。
  6. 前記導電性のゲート材料は導電性ドープされたシリコンを含む
    ことを特徴とする請求項1記載の形成方法。
  7. 前記導電性のゲート材料は導電性ドープされたシリコンを含み、
    前記導電性のゲート材料の形成は前記凹まされたアクセス装置溝を実質上ドープされないシリコンで満たし、そして前記凹まされたアクセス装置溝内部にシリコンをドーピングすることを含む
    ことを特徴とする請求項1記載の形成方法。
  8. 前記電気的に絶縁性の材料は二酸化シリコンを含む
    ことを特徴とする請求項1記載の形成方法。
  9. 前記電気的に絶縁性の材料は前記溝内部に窒化シリコンのライナーを含み、該ライナーは前記二酸化シリコンと前記基板間にある
    ことを特徴とする請求項8記載の形成方法。
  10. 半導体基板を用意し、
    前記基板内部に凹まされたアクセス装置溝を形成し、
    前記凹まされたアクセス装置溝を第一の電気的に絶縁性の材料で満たし、
    複数のアクセス装置領域を規定するマスクへ前記第一の電気的に絶縁性の材料をパター
    ンニングし、前記アクセス装置領域が隔離領域に囲まれ、
    前記アクセス装置領域は前記凹まされたアクセス装置溝のみを含み、
    該隔離領域の前記基板を凹ますために該隔離領域の前記基板へエッチングし、
    前記凹まされた基板を第二の電気的に絶縁性の材料で被覆し、前記第二の電気的に絶縁性の材料で前記隔離領域を被覆し、
    前記第二の電気的に絶縁性の材料の少なくとも大部分を残しながら前記第一の電気的に絶縁性の材料の少なくとも大部分を除去し、
    前記第一の電気的に絶縁性の材料の少なくとも大部分を除去した後、前記アクセス装置領域に含まれる前記凹まされたアクセス装置溝の部分内部にゲート材料を形成する
    ことを特徴とする半導体構造に関連する凹まされたアクセス装置の形成方法。
  11. 前記基板は単結晶シリコンを含み、
    前記凹まされたアクセス装置溝は前記基板の前記単結晶シリコンに拡張する
    ことを特徴とする請求項10記載の形成方法。
  12. 前記第一の電気的に絶縁性の材料は二酸化シリコン含有層上の窒化シリコン含有層を含む
    ことを特徴とする請求項10記載の形成方法。
  13. 前記第一の電気的に絶縁性の材料の少なくとも大部分の除去は前記窒化シリコン含有層と前記二酸化シリコン含有層の両方を除去する
    ことを特徴とする請求項12記載の形成方法。
  14. 該隔離領域の前記基板へのエッチングは前記基板を前記凹まされたアクセス装置溝の最下位のレベルの下のレベルまで凹ます
    ことを特徴とする請求項10記載の形成方法。
  15. 前記アクセス装置領域は実質的に楕円である
    ことを特徴とする請求項10記載の形成方法。
  16. 前記アクセス装置領域は実質的に楕円であり、
    前記事実上楕円のアクセス装置領域は主要縦楕円軸を有し、
    前記凹まされたアクセス装置溝は主要長手軸を有し、
    前記実質的に楕円のアクセス装置領域の主要縦楕円軸は前記凹まされたアクセス装置溝の主要長手軸と事実上直交する
    ことを特徴とする請求項10記載の形成方法。
  17. 前記アクセス装置領域は実質的に楕円であり、
    前記実質的に楕円のアクセス装置領域は主要縦楕円軸を有し、
    前記凹まされたアクセス装置溝は主要長手軸を有し、
    前記実質的に楕円のアクセス装置領域の主要縦楕円軸は前記凹まされたアクセス装置溝の主要長手軸と事実上直交しない
    ことを特徴とする請求項10記載の形成方法。
  18. 前記ゲート材料は導電性ドープされたシリコンを含む
    ことを特徴とする請求項10記載の形成方法。
  19. 前記ゲート材料は前記凹まされたアクセス装置溝の外へ拡がり、前記ゲート材料は導電性ドープされたシリコンと前記導電性ドープされたシリコン上の金属含有材料を含む
    ことを特徴とする請求項10記載の形成方法。
  20. 前記導電性ドープされたシリコンと前記金属含有材料とは前記凹まされたアクセス装置溝の外のインタフェースにて接合する
    ことを特徴とする請求項10記載の形成方法。
  21. 前記第二の電気的に絶縁性の材料で前記凹まされた基板の被覆は、
    前記第一の電気的に絶縁性の材料上と前記隔離領域の前記凹まされた基板上に前記第二の電気的に絶縁性の材料を形成し、
    前記隔離領域の前記凹まされた基板上の前記第二の電気的に絶縁性の材料を残しながら前記第一の電気的に絶縁性の材料上から前記第二の電気的に絶縁性の材料を除去するために前記第二の電気的に絶縁性の材料を平坦化することによって成し遂げられる
    ことを特徴とする請求項10記載の形成方法。
  22. 前記第二の電気的に絶縁性の材料は二酸化シリコンを含む
    ことを特徴とする請求項10記載の形成方法。
  23. 前記第二の電気的に絶縁性の材料は前記二酸化シリコンと前記凹まされた基板間にある窒化シリコンのライナー含む
    ことを特徴とする請求項22記載の形成方法。
  24. 前記凹まされた装置領域のゲート材料に結び付けられたソース/ドレイン領域を形成し、
    ゲート材料は電気的に相互的にソース/ドレイン対を相互接続するゲートを含み、
    少なくとも幾つかの対となったソース/ドレイン領域はビット線接続点と格納装置ノード接続点を含み、そしてDRAM装置に組み入れられる
    ことをさらに含む
    ことを特徴とする請求項10記載の形成方法。
  25. 前記ゲート材料の形成は
    前記凹まされたアクセス装置溝の該部分内部と前記第二の電気的に絶縁性の材料上に前記ゲート材料を形成し、
    前記ゲート材料の線を形成するために前記ゲート材料をパターンニングし、
    各々の線は複数の前記アクセス装置領域を横切って拡がる
    ことを含む
    ことを特徴とする請求項10記載の形成方法。
  26. シリコンのスタック、金属含有材料と絶縁性の被覆材料の一部として前記ゲート材料を形成し、
    シリコンのスタック、金属含有材料と絶縁性の被覆材料を同時にパンターンニングする
    ことをさらに含む
    ことを特徴とする請求項25記載の形成方法。
  27. 前記金属含有材料は純金属を含む
    ことを特徴とする請求項26記載の形成方法。
  28. 前記金属含有材料は金属含有の合成物である
    ことを特徴とする請求項26記載の形成方法。
  29. 前記金属含有材料は複数の金属含有材料の一つで、
    少なくともそれらの一つは純金属でそして少なくともそれらの一つは金属含有の合成物
    である
    ことを特徴とする請求項26記載の形成方法。
  30. 前記凹まされた装置領域のゲート材料に結び付けられたソース/ドレイン領域を形成し、
    ゲート材料は電気的に相互的にソース/ドレイン対を相互接続するゲートを含み、
    少なくとも幾つかの対となったソース/ドレイン領域はビット線接続点と格納装置ノード接続点を含み、そしてDRAM装置に組み入れられる
    ことをさらに含む
    ことを特徴とする請求項25記載の形成方法。
  31. 半導体基板を用意し、
    前記基板上に第一パターンニングされたマスクを形成し、前記第一パターンニングされたマスクはそこを拡がる開口部を有し、前記開口部は凹まされたアクセス装置の第一の位置を規定し、
    前記基板へ拡がる凹まされたアクセス装置溝を形成するために該第一の位置を通じて前記基板をエッチングし、
    前記凹まされたアクセス装置溝をゲート材料で満たし、
    前記第一のパターンニングされたマスク上と前記ゲート材料上に第一の電気的に絶縁性の材料を形成し、
    複数のアクセス装置領域を規定するマスクへ前記第一の電気的に絶縁性の材料をパターンニングし、前記アクセス装置領域は隔離領域によって囲まれ、
    前記アクセス装置領域は前記凹まされたアクセス装置溝の部分のみを含み、
    該隔離領域の前記基板を凹ますために該隔離領域の前記基板へエッチングし、前記エッチングはまた前記アクセス領域内部の前記ゲート材料を残しながら前記アクセス領域間から前記ゲート材料を除去し
    前記凹まされた基板を第二の電気的に絶縁性の材料で被覆し、前記第二の電気的に絶縁性の材料で前記隔離領域を被覆し、
    前記第一の電気的に絶縁性の材料を除去し、
    前記第一の電気的に絶縁性の材料を除去した後、複数の導電性の線を形成し、各々の導電性の線は複数のアクセス装置領域を横切って拡がりそして前記複数のアクセス装置領域のゲート材料をお互いに電気的に相互接続する
    ことを特徴とする半導体構造に関連する凹まされたアクセス装置の形成方法。
  32. 前記第一のパターンニングされたマスクは二酸化シリコン含有層上の窒化シリコン含有層を含む
    ことを特徴とする請求項31記載の形成方法。
  33. 前記基板は単結晶シリコンを含み、
    前記第一の位置での前記基板の前記エッチングは前記単結晶シリコンへのエッチングを含む
    ことを特徴とする請求項31記載の形成方法。
  34. ゲート材料で前記凹まされたアクセス装置溝の前記した満たしステップは
    前記第一のパターンニングされたマスクを被覆しそして前記溝内部に前記ゲート材料を形成し、
    前記凹まされたアクセス装置溝内部の前記ゲート材料を残しながら
    前記第一のパターンニングされたマスク上から前記ゲート材料を除去するために前記ゲート材料を平坦化することを含む
    ことを特徴とする請求項31記載の形成方法。
  35. 前記平坦化前に前記ゲート材料はシリコンを含みそして伝導性ドープされる
    ことを特徴とする請求項34記載の形成方法。
  36. 前記平坦化前に前記ゲート材料はシリコンを含みそして伝導性ドープされない
    ことを特徴とする請求項34記載の形成方法。
  37. 前記第一の絶縁性の材料は酸化物と窒化シリコンを含み、
    前記平坦化は前記第一のパターンニングされたマスクと前記ゲート材料を横切って拡がる平坦化された表面を形成し、
    前記第一の電気的に絶縁性の材料の前記形成は
    前記第一のパターンニングされたマスクと前記ゲート材料を横切って拡がる前記第一の絶縁性の材料の酸化物を形成するために前記平坦化された表面を酸化し、前記第一の絶縁性の材料の酸化物上に前記第一の絶縁性の材料の窒化シリコンを形成する
    ことを含む
    ことを特徴とする請求項34記載の形成方法。
  38. 前記平坦化された表面は前記第一のパターンニングされたマスクに沿った窒化シリコンを含み、
    前記ゲート材料に沿って伝導性ドープされたシリコンを含み、
    前記酸化物は前記第一のパターンニングされたマスク上の窒化酸化シリコンと前記ゲート材料上の二酸化シリコンとを含む
    ことを特徴とする請求項37記載の形成方法。
  39. 前記アクセス装置領域は実質的に楕円である
    ことを特徴とする請求項31記載の形成方法。
  40. 前記アクセス装置領域は実質的に楕円であり、
    前記実質的に楕円のアクセス装置領域は主要縦楕円軸を有し、
    前記凹まされたアクセス装置溝は主要長手軸を有し、
    前記実質的に楕円のアクセス装置領域の主要縦楕円軸は前記凹まされたアクセス装置溝の主要長手軸と事実上直交する
    ことを特徴とする請求項31記載の形成方法。
  41. 前記アクセス装置領域は実質的に楕円であり、
    前記実質的に楕円のアクセス装置領域は主要縦楕円軸を有し、
    前記凹まされたアクセス装置溝は主要長手軸を有し、
    前記実質的に楕円のアクセス装置領域の主要縦楕円軸は前記凹まされたアクセス装置溝の主要長手軸と実質的に直交しない
    ことを特徴とする請求項31記載の形成方法。
  42. 前記基板は単結晶シリコンを含み、
    前記第一の位置へのエッチングは前記凹まされたアクセス装置溝を前記単結晶シリコンの内部に第一の深さまで形成し、
    該隔離領域の前記基板へのエッチングは前記基板の前記単結晶内部に第二の深さまでエッチングし、前記第二の深さは少なくとも第一の深さより倍だけ大きい
    ことを特徴とする請求項31記載の形成方法。
  43. 前記第二の電気的に絶縁性の材料は二酸化シリコンを含む
    ことを特徴とする請求項31記載の形成方法。
  44. 前記第二の電気的に絶縁性の材料は前記二酸化シリコンと前記凹まされた基板間の窒化シリコンのライナーを含む
    ことを特徴とする請求項43記載の形成方法。
  45. 前記第二の電気的に絶縁性の材料の形成は
    前記第一の電気的に絶縁性の材料と凹まされた基板を被覆するために前記第二の電気的に絶縁性の材料を形成し、
    前記隔離領域の前記凹まされた基板をかぶせるために前記第二の電気的に絶縁性の材料を残しながら前記第一の電気的に絶縁性の材料上から前記第二の電気的に絶縁性の材料を除去するために前記第二の電気的に絶縁性の材料を平坦化することを含む
    ことを特徴とする請求項31記載の形成方法。
  46. 前記導電性の線は前記第一の電気的に絶縁性の材料上にあると同時に前記アクセス装置領域内部の前記ゲート材料上にある
    ことを特徴とする請求項31記載の形成方法。
  47. 前記導電性の線の形成前に少なくとも同時に全ての前記第一の電気的に絶縁性の材料を除去する
    ことをさらに含む
    ことを特徴とする請求項31記載の形成方法。
JP2008503014A 2005-03-25 2006-03-08 凹状アクセスデバイスの形成方法 Active JP4962874B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/090,529 2005-03-25
US11/090,529 US7384849B2 (en) 2005-03-25 2005-03-25 Methods of forming recessed access devices associated with semiconductor constructions
PCT/US2006/008295 WO2006104654A1 (en) 2005-03-25 2006-03-08 Methods of forming recessed access devices

Publications (2)

Publication Number Publication Date
JP2008535217A true JP2008535217A (ja) 2008-08-28
JP4962874B2 JP4962874B2 (ja) 2012-06-27

Family

ID=36676528

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008503014A Active JP4962874B2 (ja) 2005-03-25 2006-03-08 凹状アクセスデバイスの形成方法

Country Status (8)

Country Link
US (3) US7384849B2 (ja)
EP (2) EP1880421B1 (ja)
JP (1) JP4962874B2 (ja)
KR (1) KR100895568B1 (ja)
CN (1) CN100536142C (ja)
AT (1) ATE533183T1 (ja)
TW (1) TWI314769B (ja)
WO (1) WO2006104654A1 (ja)

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6844591B1 (en) * 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
US7262089B2 (en) * 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
KR100538101B1 (ko) * 2004-07-07 2005-12-21 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US7518182B2 (en) * 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7244659B2 (en) * 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7867845B2 (en) * 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US8008144B2 (en) * 2006-05-11 2011-08-30 Micron Technology, Inc. Dual work function recessed access device and methods of forming
US8860174B2 (en) * 2006-05-11 2014-10-14 Micron Technology, Inc. Recessed antifuse structures and methods of making the same
US20070262395A1 (en) 2006-05-11 2007-11-15 Gibbons Jasper S Memory cell access devices and methods of making the same
KR100766233B1 (ko) * 2006-05-15 2007-10-10 주식회사 하이닉스반도체 플래쉬 메모리 소자 및 그의 제조 방법
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
DE102006035667B4 (de) * 2006-07-31 2010-10-21 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Lithographieeigenschaften während der Gateherstellung in Halbleitern mit einer ausgeprägten Oberflächentopographie
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
KR100763337B1 (ko) * 2006-10-02 2007-10-04 삼성전자주식회사 매립 게이트 라인을 갖는 반도체소자 및 그 제조방법
KR100771552B1 (ko) * 2006-10-31 2007-10-31 주식회사 하이닉스반도체 숏 채널 효과가 억제되는 모스트랜지스터 및 그 제조방법
JP2008171872A (ja) * 2007-01-09 2008-07-24 Elpida Memory Inc 半導体装置及びその製造方法
JP2008171863A (ja) * 2007-01-09 2008-07-24 Elpida Memory Inc トレンチゲートの形成方法
US7768047B2 (en) * 2007-05-10 2010-08-03 Micron Technology, Inc. Imager element, device and system with recessed transfer gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR100825815B1 (ko) * 2007-06-07 2008-04-28 삼성전자주식회사 채널 리세스부를 갖는 활성패턴을 구비하는 반도체 소자 및그의 제조방법
JP2009141260A (ja) * 2007-12-10 2009-06-25 Elpida Memory Inc 半導体装置、及びその製造方法
US7875919B2 (en) * 2008-03-31 2011-01-25 International Business Machines Corporation Shallow trench capacitor compatible with high-K / metal gate
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US7687862B2 (en) * 2008-05-13 2010-03-30 Infineon Technologies Ag Semiconductor devices with active regions of different heights
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US7824983B2 (en) * 2008-06-02 2010-11-02 Micron Technology, Inc. Methods of providing electrical isolation in semiconductor structures
US7824986B2 (en) * 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
KR101561061B1 (ko) * 2009-04-10 2015-10-16 삼성전자주식회사 돌출형 소자 분리막을 가지는 반도체 소자
KR101159900B1 (ko) * 2009-04-22 2012-06-25 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8039340B2 (en) 2010-03-09 2011-10-18 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
EP2477216A1 (en) 2011-01-13 2012-07-18 Soitec Hybrid bulk/SOI device with a buried doped layer and manufacturing method thereof
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9401363B2 (en) 2011-08-23 2016-07-26 Micron Technology, Inc. Vertical transistor devices, memory arrays, and methods of forming vertical transistor devices
US9385132B2 (en) * 2011-08-25 2016-07-05 Micron Technology, Inc. Arrays of recessed access devices, methods of forming recessed access gate constructions, and methods of forming isolation gate constructions in the fabrication of recessed access devices
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8648407B2 (en) * 2012-01-14 2014-02-11 Nanya Technology Corporation Semiconductor device and method for fabricating thereof
US8703550B2 (en) * 2012-06-18 2014-04-22 International Business Machines Corporation Dual shallow trench isolation liner for preventing electrical shorts
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9768055B2 (en) * 2012-08-21 2017-09-19 Stmicroelectronics, Inc. Isolation regions for SOI devices
US9005463B2 (en) 2013-05-29 2015-04-14 Micron Technology, Inc. Methods of forming a substrate opening
EP3147345B1 (en) 2014-05-22 2020-04-01 JX Nippon Oil & Energy Corporation Working fluid composition for refrigerating machines
US10096696B2 (en) * 2014-06-03 2018-10-09 Micron Technology, Inc. Field effect transistors having a fin
TWI704647B (zh) * 2015-10-22 2020-09-11 聯華電子股份有限公司 積體電路及其製程
US10199461B2 (en) * 2015-10-27 2019-02-05 Texas Instruments Incorporated Isolation of circuit elements using front side deep trench etch
US10128251B2 (en) * 2016-09-09 2018-11-13 United Microelectronics Corp. Semiconductor integrated circuit structure and method for forming the same
US10347635B2 (en) 2017-06-30 2019-07-09 Micron Technology, Inc. Apparatuses comprising memory cells, and apparatuses comprising memory arrays
US10431695B2 (en) 2017-12-20 2019-10-01 Micron Technology, Inc. Transistors comprising at lease one of GaP, GaN, and GaAs
US10825816B2 (en) * 2017-12-28 2020-11-03 Micron Technology, Inc. Recessed access devices and DRAM constructions
US10319586B1 (en) 2018-01-02 2019-06-11 Micron Technology, Inc. Methods comprising an atomic layer deposition sequence
US10734527B2 (en) 2018-02-06 2020-08-04 Micron Technology, Inc. Transistors comprising a pair of source/drain regions having a channel there-between
US10818665B2 (en) 2018-08-24 2020-10-27 Micron Technology, Inc. Array of recessed access devices and an array of memory cells individually comprising a capacitor and a transistor
US11848309B2 (en) 2021-06-10 2023-12-19 Micron Technology, Inc. Microelectronic devices, related electronic systems, and methods of forming microelectronic devices
US11810901B2 (en) 2021-06-10 2023-11-07 Micron Technology, Inc. Microelectronic devices, related memory devices and electronic systems, and methods of forming microelectronic devices
US11842990B2 (en) 2021-06-30 2023-12-12 Micron Technology, Inc. Microelectronic devices and electronic systems
US11776925B2 (en) 2021-06-30 2023-10-03 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
US11837594B2 (en) 2021-06-30 2023-12-05 Micron Technology, Inc. Microelectronic devices and electronic systems
US11930634B2 (en) 2021-06-30 2024-03-12 Micron Technology, Inc. Methods of forming microelectronic devices
US11996377B2 (en) 2021-06-30 2024-05-28 Micron Technology, Inc. Microelectronic devices and electronic systems
US11810838B2 (en) 2021-06-30 2023-11-07 Micron Technology, Inc. Microelectronic devices, and related electronic systems and methods of forming microelectronic devices
US11785764B2 (en) 2021-06-30 2023-10-10 Micron Technology, Inc. Methods of forming microelectronic devices
US11751383B2 (en) 2021-08-31 2023-09-05 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
US11791273B2 (en) 2021-10-13 2023-10-17 Micron Technology, Inc. Microelectronic devices including contact structures, and related memory devices, electronic systems, and methods
US11916032B2 (en) 2021-12-27 2024-02-27 Micron Technology, Inc. Microelectronic devices, related electronic systems, and methods of forming microelectronic devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106435A (ja) * 1993-10-08 1995-04-21 Hitachi Ltd 半導体記憶装置及びその製造方法
JPH07297297A (ja) * 1994-04-22 1995-11-10 Nec Corp 半導体記憶装置およびその製造方法
JPH11274478A (ja) * 1998-02-17 1999-10-08 Internatl Business Mach Corp <Ibm> 隆起したソ―ス及びドレインを有する高性能mosfet素子

Family Cites Families (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5681974A (en) 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
KR920010461B1 (ko) 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 반도체 메모리와 그 제조 방법
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
JPS63183691A (ja) 1987-01-26 1988-07-29 Mitsubishi Electric Corp 半導体記憶装置
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
US4931409A (en) 1988-01-30 1990-06-05 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having trench isolation
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
US5108938A (en) 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
US5021355A (en) * 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (ja) 1990-04-21 1996-03-29 株式会社東芝 半導体記憶装置
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5122848A (en) 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
KR940006679B1 (ko) 1991-09-26 1994-07-25 현대전자산업 주식회사 수직형 트랜지스터를 갖는 dram셀 및 그 제조방법
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JP2748072B2 (ja) 1992-07-03 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JP2889061B2 (ja) 1992-09-25 1999-05-10 ローム株式会社 半導体記憶装置およびその製法
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
US5358879A (en) 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
KR0141218B1 (ko) 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
KR0151195B1 (ko) 1994-09-13 1998-10-01 문정환 박막 트랜지스터의 구조 및 제조방법
US5753947A (en) 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5574621A (en) * 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
DE19519160C1 (de) 1995-05-24 1996-09-12 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
DE19524092C2 (de) 1995-07-01 1997-08-07 Hewlett Packard Gmbh Verfahren und Vorrichtung zum Komprimieren und Anzeigen digitaler Daten, insbesondere der Herzfrequenz von Kardiotokographen
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US5892319A (en) * 1996-01-04 1999-04-06 Rossi; Paul Top and side firing spark plug
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
US5792687A (en) 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
TW304290B (en) 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5714786A (en) 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
JP4053647B2 (ja) 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US5869359A (en) 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6380026B2 (en) 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP3502531B2 (ja) 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US6696746B1 (en) 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US5972754A (en) 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
KR100304717B1 (ko) * 1998-08-18 2001-11-15 김덕중 트렌치형게이트를갖는반도체장치및그제조방법
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
DE19845003C1 (de) 1998-09-30 2000-02-10 Siemens Ag Vertikaler Feldeffekttransistor mit innenliegendem ringförmigen Gate und Herstellverfahren
US6114205A (en) 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US6180494B1 (en) 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
KR100282452B1 (ko) 1999-03-18 2001-02-15 김영환 반도체 소자 및 그의 제조 방법
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
DE19943760C1 (de) 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP3450758B2 (ja) 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
JP4860022B2 (ja) 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
JP4363736B2 (ja) 2000-03-01 2009-11-11 新電元工業株式会社 トランジスタ及びその製造方法
DE10038728A1 (de) 2000-07-31 2002-02-21 Infineon Technologies Ag Halbleiterspeicher-Zellenanordnung und Verfahren zu deren Herstellung
AU2001286895A1 (en) 2000-08-29 2002-03-13 Boise State University Damascene double gated transistors and related manufacturing methods
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6391720B1 (en) 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
JP4635333B2 (ja) 2000-12-14 2011-02-23 ソニー株式会社 半導体装置の製造方法
US6864536B2 (en) 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
JP3944367B2 (ja) 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
US6759707B2 (en) 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
DE10111755C1 (de) 2001-03-12 2002-05-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle eines Halbleiterspeichers
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP4895430B2 (ja) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
EP1253634A3 (en) 2001-04-26 2005-08-31 Kabushiki Kaisha Toshiba Semiconductor device
US6498062B2 (en) 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
DE10125967C1 (de) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
JP2002353445A (ja) 2001-05-30 2002-12-06 Sony Corp 溝ゲート型電界効果トランジスタの製造方法
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
JP4246929B2 (ja) 2001-06-29 2009-04-02 株式会社東芝 半導体記憶装置およびその製造方法
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
KR100398955B1 (ko) 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
DE10139827A1 (de) 2001-08-14 2003-03-13 Infineon Technologies Ag Speicherzelle mit Grabenkondensator und vertikalem Auswahltransistor und einem zwischen diesen geformten ringförmigen Kontaktierungsbereich
US6800899B2 (en) 2001-08-30 2004-10-05 Micron Technology, Inc. Vertical transistors, electrical devices containing a vertical transistor, and computer systems containing a vertical transistor
KR100436287B1 (ko) 2001-11-17 2004-06-16 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6858500B2 (en) 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
DE10208249B4 (de) 2002-02-26 2006-09-14 Infineon Technologies Ag Halbleiterspeicher mit vertikalem Auswahltransistor
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
US6756625B2 (en) 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US20040034587A1 (en) 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
KR100521369B1 (ko) 2002-12-18 2005-10-12 삼성전자주식회사 고속도 및 저전력 소모 반도체 소자 및 그 제조 방법
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
KR20040061967A (ko) * 2002-12-31 2004-07-07 동부전자 주식회사 반도체 소자의 제조방법
JP2004281736A (ja) 2003-03-17 2004-10-07 Nec Electronics Corp 半導体記憶装置
KR100480645B1 (ko) 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
FR2853319B1 (fr) * 2003-04-03 2005-05-06 Rhodia Chimie Sa Composition reticulable pour electrolyte de batterie
US6967143B2 (en) 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
TW587338B (en) 2003-05-06 2004-05-11 Mosel Vitelic Inc Stop structure of trench type DMOS device and its formation method
JP3913709B2 (ja) 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
JP2004335031A (ja) 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
KR100521381B1 (ko) 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
KR100511045B1 (ko) * 2003-07-14 2005-08-30 삼성전자주식회사 리세스된 게이트 전극을 갖는 반도체 소자의 집적방법
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
KR100546378B1 (ko) 2003-09-09 2006-01-26 삼성전자주식회사 리세스 채널을 가지는 트랜지스터 제조 방법
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7468311B2 (en) 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
KR100521383B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 소자분리막 상에 형성된 소오스/드레인을 갖는 반도체소자 및 그 제조방법
KR20050066879A (ko) * 2003-12-27 2005-06-30 동부아남반도체 주식회사 트랜치 아이솔레이션을 갖는 플래시 메모리 소자의 제조방법
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
KR100540371B1 (ko) 2004-03-02 2006-01-11 이태복 고 내압용 반도체 소자 및 그 제조방법
US7262089B2 (en) 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7122425B2 (en) 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
JP2006237455A (ja) 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
US7244659B2 (en) 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7214621B2 (en) 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7517741B2 (en) 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
JP4773182B2 (ja) 2005-10-28 2011-09-14 エルピーダメモリ株式会社 半導体装置の製造方法
TWI293207B (en) 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7349232B2 (en) 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
JP5070810B2 (ja) * 2006-11-14 2012-11-14 横河電機株式会社 フィルタホイール
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP2009058688A (ja) * 2007-08-30 2009-03-19 Seiko Epson Corp 液体現像剤および画像形成装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106435A (ja) * 1993-10-08 1995-04-21 Hitachi Ltd 半導体記憶装置及びその製造方法
JPH07297297A (ja) * 1994-04-22 1995-11-10 Nec Corp 半導体記憶装置およびその製造方法
JPH11274478A (ja) * 1998-02-17 1999-10-08 Internatl Business Mach Corp <Ibm> 隆起したソ―ス及びドレインを有する高性能mosfet素子

Also Published As

Publication number Publication date
US20110117725A1 (en) 2011-05-19
US7384849B2 (en) 2008-06-10
US20060216894A1 (en) 2006-09-28
CN100536142C (zh) 2009-09-02
KR100895568B1 (ko) 2009-04-29
US8067286B2 (en) 2011-11-29
KR20070105376A (ko) 2007-10-30
EP1880421A1 (en) 2008-01-23
JP4962874B2 (ja) 2012-06-27
EP1880421B1 (en) 2014-07-16
TWI314769B (en) 2009-09-11
EP2001054A2 (en) 2008-12-10
US20080166856A1 (en) 2008-07-10
CN101147257A (zh) 2008-03-19
WO2006104654A1 (en) 2006-10-05
EP2001054A3 (en) 2008-12-17
US7897460B2 (en) 2011-03-01
TW200644169A (en) 2006-12-16
EP2001054B1 (en) 2011-11-09
ATE533183T1 (de) 2011-11-15

Similar Documents

Publication Publication Date Title
JP4962874B2 (ja) 凹状アクセスデバイスの形成方法
US20240107750A1 (en) Semiconductor device including insulating element and method of making
US7358142B2 (en) Method for forming a FinFET by a damascene process
US8143152B2 (en) Manufacturing method of semiconductor device having self-aligned contact connected to silicide layer on substrate surface
US8669152B2 (en) Methods of manufacturing semiconductor devices
US20040157396A1 (en) Methods for forming double gate electrodes using tunnel and trench
KR100566303B1 (ko) 리세스된 게이트 전극 형성 방법
US7078307B2 (en) Method for manufacturing single-sided buried strap in semiconductor devices
US10347629B2 (en) FinFET device
TWI769797B (zh) 動態隨機存取記憶體及其製造法方法
JP2008251812A (ja) 半導体装置およびその製造方法
GB2276980A (en) Semiconductor device stacked capacitor and method of manufacture
TWI419266B (zh) 半導體裝置之製造方法
JPH10303393A (ja) 半導体装置の製造方法
US6620698B1 (en) Method of manufacturing a flash memory
TWI518802B (zh) 半導體元件的製造方法
KR20040016496A (ko) 반도체 소자의 스페이서 형성방법 및 이를 이용한 반도체소자의 제조방법
KR20220148000A (ko) 반도체 장치 제조방법
CN111799269A (zh) 闪存存储器及其制造方法
TW200903728A (en) Methods for fabricating a semiconductor device
KR20080060303A (ko) 반도체 소자의 제조 방법
KR20030059230A (ko) 집적회로 제조 방법
US20100124807A1 (en) Method of manufacturing semiconductor device having step gates
KR20000038331A (ko) 반도체 메모리 소자의 제조 방법
JPH10261703A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100514

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100514

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100527

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100601

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100601

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110808

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110808

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120221

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120315

R150 Certificate of patent or registration of utility model

Ref document number: 4962874

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150406

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250