KR100895568B1 - 리세스된 액세스 디바이스 형성 방법 - Google Patents

리세스된 액세스 디바이스 형성 방법 Download PDF

Info

Publication number
KR100895568B1
KR100895568B1 KR1020077021689A KR20077021689A KR100895568B1 KR 100895568 B1 KR100895568 B1 KR 100895568B1 KR 1020077021689 A KR1020077021689 A KR 1020077021689A KR 20077021689 A KR20077021689 A KR 20077021689A KR 100895568 B1 KR100895568 B1 KR 100895568B1
Authority
KR
South Korea
Prior art keywords
delete delete
access device
substrate
recessed
trenches
Prior art date
Application number
KR1020077021689A
Other languages
English (en)
Other versions
KR20070105376A (ko
Inventor
쿠날 알. 파레크흐
수라즈 매튜
지기쉬 디. 트리베디
존 케이. 자후락
산흐 디. 탕
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20070105376A publication Critical patent/KR20070105376A/ko
Application granted granted Critical
Publication of KR100895568B1 publication Critical patent/KR100895568B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

본 발명은 리세스된 액세스 디바이스들(180, 182, 184, 186)을 형성하는 방법들을 포함한다. 기판(102)에는 그 내부에 리세스된 액세스 디바이스의 트렌치들을 갖도록 제공된다. 한 쌍의 리세스된 액세스 디바이스의 트렌치들(110)은 상호 인접해 있다. 전기적으로 도전성인 재료(144)는 리세스된 액세스 디바이스의 트렌치들 내부에 형성되며, 소스/드레인 영역들(170, 172, 174, 176, 178, 180)은 전기적으로 도전성인 재료에 인접하여 형성된다. 전기적으로 도전성인 재료 및 소스/드레인 영역들은 한 쌍의 인접한 리세스된 액세스 디바이스들에 함께 포함된다. 기판 내부에 리세스된 액세스 디바이스의 트렌치들이 형성된 후에, 인접한 리세스된 액세스 디바이스들 사이에 아이솔레이션 영역의 트렌치(130)가 형성되고, 전기적으로 절연성인 재료(136)로 채워져 트렌치된 아이솔레이션 영역을 형성한다.
리세스된 액세스 디바이스, 게이트 재료, 트렌치, 전기적 절연 재료

Description

리세스된 액세스 디바이스 형성 방법{METHODS OF FORMING RECESSED ACCESS DEVICES}
본 발명은 반도체 구조와 관련된 리세스된 액세스 디바이스 형성 방법에 관한 것이다.
반도체 트랜지스터 디바이스는 게이트의 의해 채널을 제어하여 한 쌍의 소스/드레인 영역을 서로 연결시키는 게이트를 포함한다. 트랜지스터 디바이스는 반도체 구조의 공통 회로 디바이스이다. 예를 들면 트랜지스터 디바이스는 동적 랜덤 액세스 메모리(DRAM), 정적 랜덤 액세스 메모리(SRAM) 등의 메모리 구조에 포함될 수 있다.
반도체 제조에 있어서의 영속적인 목표는 집적도의 증가이며, 따라서 디바이스가 소비하는 반도체 리얼 이스테이트(real-estate)량을 감소시키는 것이다. 그러나, 트랜지스터 디바이스의 크기를 감소시키는 것은 매우 어렵다. 예를 들면, 트랜지스터 디바이스의 채널 길이를 감소시킬수록, 채널의 반대측에서 소스/드레인 영역 사이에서의 전자 흐름을 제어하려 할 때에 많은 문제가 발생한다. 일반적으로 이러한 문제들을 단채널 효과라고 한다.
단채널 문제 극복에 유용한 한 방법은 기판 내에 트랜지스터 디바이스를 리 세스하여 리세스하지 않은 것보다 리얼 이스테이트를 덜 소비하도록 하는 것인데, 그럼에도 이러한 트랜지스터 디바이스는 비교적 긴 채널을 갖는다. 리세스되지 않은 트랜지스터 디바이스를 도 1에 도시하였으며, 이 리세스되지 않은 트랜지스터 디바이스와의 비교를 위해서 리세스된 트랜지스터 디바이스를 도 2에 도시하였다.
먼저 도 1을 참조해 보면, 반도체 구조(10)는 기판(12)을 포함하고 있다. 기판(12)은 예컨대 백그라운드 p형 도펀트를 저농도로 도핑한 단결정 실리콘을 포함할 수 있다. 후술하는 청구 범위의 해석을 돕기 위해서, 용어 "반도체성 기판(semiconductive substrate)" 및 "반도체 기판(semiconductor substrate)"은, (단독으로 또는 조립체에서 그 위에 다른 재료를 포함하는) 반도체 웨이퍼와 같은 벌크형 반도체성 재료, (단독으로 또는 조립체에서 다른 재료를 포함하는) 반도체성 재료층 등을 포함한(이것들로 한정되지 않음), 반도체성 재료를 포함하는 임의의 구조를 의미하는 것으로 정의한다. 용어 "기판"은 상기한 반도체 기판을 포함한(이것으로 한정되지 않음), 임의의 지지 구조를 의미한다.
기판은 트랜지스터 디바이스(14)를 지지한다. 트랜지스터 디바이스는, 유전체 재료(18)에 의해 기판(12)으로부터 이격되는 게이트(16)와, 게이트의 측벽을 따라 위치한 측벽 스페이서(24)와, 게이트의 반대측에 위치한 한 쌍의 소스/드레인 영역(20)과, 소스/드레인 영역 사이에 위치한 채널 영역(22)을 포함한다.
게이트(16)는 각종 금속, 금속 조성물, 및/또는 도전성으로 도핑된 실리콘 또는 그 밖의 도전성으로 도핑된 반도체 재료 등을 포함한, 각종 전기 도전성 재료를 포함할 수 있다. 유전체 재료(18)는 임의의 적합한 재료 또는 재료의 조합을 포함할 수 있으며, 통상적으로 이산화규소를 포함하거나, 본질적으로 이산화규소로 구성되거나, 또는 이산화규소로 구성된다. 측벽 스페이서(24)는 임의의 적합한 조성물 또는 조성물의 조합을 포함할 수 있으며, 통상적으로 질화규소과 이산화규소 중 하나 또는 둘다를 포함한다. 소스/드레인(20)은 단결정 기판(12) 내에 도전성으로 도핑된 영역을 포함할 수 있으며, 저농도로 도핑된 연장부와 함께 고농도로 도핑된 영역을 포함할 수 있다. 예를 들면, 소스/드레인 영역(20)은 고농도로 도핑된 n형 영역이나 고농도로 도핑된 p형 영역을 포함할 수 있으며, 저농도로 도핑된 측벽(24) 아래로의 연장부를 포함할 수 있다. 채널 영역(22)은 임계 전압 주입(implant)으로 도핑되며, 충분한 전류가 게이트(16)를 통과할 때 소스/드레인 영역(20)을 동작 가능하게 서로 연결한다.
도 2는 반도체 기판(32)과 이 기판에 의해 지지되는 트랜지스터(34)를 포함한다. 트랜지스터는 기판 내로 연장된 게이트(36)와, 이 게이트와 기판 사이에 위치한 유전체 재료(38)와, 게이트에 가까운 기판 내에 위치한 소스/드레인 영역(40)과, 게이트의 최하부 주위로 연장되어 소스/드레인 영역(40)을 서로 연결하는 채널 영역(42)을 포함한다. 도시하지는 않았지만, 도 1을 참조하여 전술한 스페이서(24)와 마찬가지로 게이트(26) 근처에 측벽 스페이서를 제공할 수 있다.
기판(32), 유전체 재료(38), 게이트(36) 및 소스/드레인 영역(40)은 도 1의 기판(12), 게이트(16), 유전체 재료(18) 및 소스/드레인 영역(20)에 관하여 전술한 것과 동일한 재료를 포함할 수 있다. 또한, 도 1의 영역(22) 내에 제공된 임계 전압 주입과 마찬가지로 채널 영역(42) 내에 임계 전압 주입을 제공할 수 있다.
도 1의 리세스되지 않은 디바이스 구조에 대한 도 2의 리세스된 디바이스 구조의 차이점은 도 2의 디바이스의 채널 영역(42)이 게이트(36)의 리세스된 부분의 주위로 연장되어 길어진다는 점이다. 이로써 도 1의 디바이스(14)에 비하여 도 2의 트랜지스터 디바이스(34)의 단채널 효과를 줄일 수 있다.
리세스된 액세스 디바이스는 단채널 효과를 회피하면서 달성할 수 있는 패킹 밀도의 면에서 리세스되지 않은 디바이스에 비해 유리하지만, 리세스된 액세스 디바이스를 상용 가능하게 만드는 경우에 해결해야 하는 각종 문제가 리세스된 액세스 디바이스의 대규모 제조 시에 발생한다. 따라서, 리세스된 액세스 디바이스의 대규모 제조를 위한 새로운 방법의 개발이 요구된다. 리세스된 액세스 디바이스의 일 용례는 DRAM 어레이 등의 메모리 어레이에 대한 것이다. 따라서, 리세스된 액세스 디바이스의 대규모 제조를 위해 개발한 방법이 메모리 어레이의 제조에 적용 가능하다면 더욱 바람직할 것이다.
일 양태에 있어서, 본 발명은 리세스된 액세스 디바이스들을 형성하는 방법을 포함한다. 반도체 기판을 제공한다. 상기 기판 내에는 리세스된 액세스 디바이스의 트렌치들을 형성한다. 한 쌍의 리세된 액세스 디바이스의 트렌치들은 서로 인접해 있다. 리세스된 액세스 디바이스의 트렌치들 내에는 전기적으로 도전성인 게이트 재료를 형성한다. 전기적으로 도전성인 게이트 재료에 인접해 있는 소스/드레인 영역들을 형성한다. 전기적으로 도전성인 게이트 재료 및 소스/드레인 영역들은 한 쌍의 리세스된 액세스 디바이스들(구체적으로, 한 쌍의 리세스된 액세스 트랜지스터)에 대응하는 트랜지스터 디바이스들을 함께 형성한다. 기판 내에 리세스된 액세스 디바이스의 트렌치들을 형성한 후, 인접해 있는 상기 한 쌍의 리세스된 액세스 디바이스들 사이에 아이솔레이션 영역의 트렌치를 형성한다. 아이솔레이션 영역의 트렌치에 전기적으로 절연성인 재료를 채워 넣어 트렌치된 아이솔레이션 영역을 형성한다.
일 양태에 있어서, 본 발명은 리세스된 액세스 디바이스를 형성하는 다른 방법을 포함한다. 반도체 기판을 제공하고, 기판 내에 리세스된 액세스 디바이스의 트렌치들을 형성한다. 리세스된 액세스 디바이스의 트렌치들에 제1 전기적 절연 재료를 채운다. 제1 전기적 절연 재료를 복수의 액세스 디바이스 영역들을 정의하는 마스크로 패터닝한다. 액세스 디바이스 영역들은 아이솔레이션 영역에 의해 섬모양으로 둘러싸인다. 액세스 디바이스 영역들은 상기 리세스된 액세스 디바이스의 트렌치들의 부분들만을 포함한다. 아이솔레이션 영역의 기판으로 에칭하여 상기 아이솔레이션 영역의 기판으로 리세스한다. 리세스된 기판을 제2 전기적 절연 재료로 피복하여 상기 아이솔레이션 영역을 제2 전기적 절연 재료로 피복한다. 제2 전기적 절연 재료의 적어도 대부분을 남겨두면서 제1 전기적 절연 재료의 적어도 대부분을 제거한다. 이어서, 액세스된 디바이스 영역들이 포함하는 리세스된 액세스 디바이스의 트렌치들의 부분들 내에 게이트 재료를 형성한다.
일 양태에 있어서, 본 발명은 리세스된 액세스 디바이스를 형성하는 또 다른 방법을 포함한다. 반도체 기판을 제공하고, 기판 위에 제1 패터닝 마스크를 형성한다. 제1 패터닝 마스크는 상기 제1 패터닝 마스크를 통해 연장되어 리세스된 액세스 디바이스들의 트렌치들에 대한 제1 위치들을 정의하는 개구들을 가진다. 제1 위치들을 통해 기판을 에칭하여 기판으로 연장되는 리세스된 액세스 디바이스의 트렌치들을 형성한다. 리세스된 액세스 디바이스의 트렌치들을 게이트 재료로 채운다. 제1 패터닝 마스크의 위 및 상기 게이트 재료의 위에 제1 전기적 절연 재료를 형성한다. 복수의 액세스 디바이스 영역들을 정의하는 마스크로 제1 전기적 절연 재료를 패터닝한다. 액세스 디바이스 영역들은 아이솔레이션 영역에 의해 둘러싸인다. 액세스 디바이스 영역들은 상기 리세스된 액세스 디바이스의 트렌치들의 일부만을 포함한다. 아이솔레이션 영역의 기판으로 에칭하여 아이솔레이션 영역의 기판을 리세스한다. 에칭은 액세스 디바이스 영역들 내에 게이트 재료를 남기면서 상기 액세스 디바이스 영역들 사이로부터 게이트 재료를 제거한다. 리세스된 기판을 제2 전기적 절연 재료로 피복하여 아이솔레이션 영역을 제2 전기적 절연 재료로 피복한다. 제1 전기적 절연 재료를 제거한다. 이어서, 복수의 도전성 라인들을 형성한다. 개개의 도전성 라인들은 다수의 액세스 디바이스 영역들에 걸쳐 연장되며, 다수의 액세스 디바이스 영역들의 게이트 재료를 전기적으로 상호 접속한다.
다음의 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 후술한다.
도 1은 종래 기술의 트랜지스터 디바이스를 도시하는 반도체 웨이퍼 일부분의 개략적인 단면도.
도 2는 또 다른 종래 기술의 트랜지스터 디바이스를 도시하는 반도체 웨이퍼 일부분의 개략적인 단면도.
도 3 및 도 4는 본 발명의 예시적인 형태의 예비 처리 단계에서 도시하는 반도체 웨이퍼 일부분의 개략적인 상면도 및 단면도. 도 4의 단면도는 도 3의 라인 4-4를 따라 절취한 도면.
도 5 및 도 6은 각각 도 3 및 도 4의 단계에 후속하는 처리 단계에서의 도 3 및 도 4의 반도체 웨이퍼 일부분을 도시하는 도면. 도 6의 단면도는 도 5의 라인 6-6을 따라 절취한 도면.
도 7 및 도 8은 각각 도 5 및 도 6의 단계에 후속하는 처리 단계에서의 도 3 및 도 4의 반도체 웨이퍼 일부분을 도시하는 도면. 도 8의 단면도는 도 7의 라인 8-8을 따라 절취한 도면.
도 9 및 도 10은 각각 도 7 및 도 8의 단계에 후속하는 처리 단계에서의 도 3 및 도 4의 반도체 웨이퍼 일부분을 도시하는 도면. 도 10의 단면도는 도 9의 라인 10-10을 따라 절취한 도면.
도 11 및 도 12는 각각 도 9 및 도 10의 단계에 후속하는 처리 단계에서의 도 3 및 도 4의 반도체 웨이퍼 일부분을 도시하는 도면. 도 12의 단면도는 도 11의 라인 12-12를 따라 절취한 도면.
도 13 및 도 14는 각각 도 11 및 도 12의 단계에 후속하는 처리 단계에서의 도 3 및 도 4의 반도체 웨이퍼 일부분을 도시하는 도면. 도 14의 단면도는 도 13의 라인 14-14를 따라 절취한 도면.
도 15 및 도 16은 각각 도 13 및 도 14의 단계에 후속하는 처리 단계에서의 도 3 및 도 4의 반도체 웨이퍼 일부분을 도시하는 도면. 도 16의 단면도는 도 15 의 라인 16-16을 따라 절취한 도면.
도 17 및 도 18은 각각 도 15 및 도 16의 단계에 후속하는 처리 단계에서의 도 3 및 도 4의 반도체 웨이퍼 일부분을 도시하는 도면. 도 18의 단면도는 도 17의 라인 18-18을 따라 절취한 도면.
도 19 및 도 20은, 각각 도 17 및 도 18에 후속하는 처리 단계에서의 도 3 및 도 4의 일부분을 도시하는 도면. 도 20의 단면도는 도 19의 라인 20-20을 따라 절취한 도면.
도 21 및 도 22는, 각각 도 19 및 도 20에 후속하는 처리 단계에서의 도 3 및 도 4의 일부분을 도시하는 도면. 도 22의 단면도는 도 21의 라인 22-22를 따라 절취한 도면.
도 23 및 도 24는, 본 발명의 제2 실시예의 예시적 양태의 전처리 단계에서 나타난 반도체 웨이퍼 부분의 도식적인 상면도 및 도식적인 단면도를 도시한 도면. 도 24의 단면도는 도 23의 라인 24-24를 따라 절취한 도면.
도 25 및 도 26은, 각각 도 23 및 도 24에 후속하는 처리 단계에서의 도 23 및 도 24의 일부분을 도시하는 도면. 도 26의 단면도는 도 25의 라인 26-26을 따라 절취한 도면.
도 27 및 도 28은, 각각 도 25 및 도 26에 후속하는 처리 단계에서의 도 23 및 도 24의 일부분을 도시하는 도면. 도 28의 단면도는 도 27의 라인 28-28을 따라 절취한 도면.
도 29 및 도 30은, 각각 도 27 및 도 28에 후속하는 처리 단계에서의 도 23 및 도 24의 일부분을 도시하는 도면. 도 30의 단면도는 도 29의 라인 30-30을 따른 것이다.
도 31 및 도 32는, 각각 도 29 및 도 30에 후속하는 처리 단계에서의 도 23 및 도 24의 일부분을 도시하는 도면. 도 32의 단면도는 도 31의 라인 32-32를 따라 절취한 도면.
도 33 및 도 34는, 각각 도 31 및 도 32에 후속하는 처리 단계에서의 도 23 및 도 24의 일부분을 도시하는 도면이며, 도 34의 단면도는 도 33의 라인 34-34를 따라 절취한 도면.
도 35 및 도 36은, 각각 도 33 및 도 34에 후속하는 처리 단계에서의 도 23 및 도 24의 일부분을 도시하는 도면. 도 36의 단면도는 도 35의 라인 36-36를 따라 절취한 도면.
도 37 및 도 38은, 각각 도 35 및 도 36에 후속하는 처리 단계에서의 도 23 및 도 24의 일부분을 도시하는 도면. 도 38의 단면도는 도 37의 라인 38-38를 따라 절취한 도면.
도 39 및 도 40은, 각각 도 37 및 도 38에 후속하는 처리 단계에서의 도 23 및 도 24의 일부분을 도시하는 도면. 도 40의 단면도는 도 39의 라인 40-40을 따라 절취한 도면.
본 발명은 반도체 구조와 관련된 리세스형 액세스 디바이스들을 형성하기 위한 각종 방법들을 포함한다. 특정 양태에 있어서, 리세스형 액세스 디바이스들 및 얕은 트렌치 아이솔레이션 영역들을 포함하는 구조들이 전기적으로 절연된 인접 디바이스들에 형성된다. 따라서, 리세스형 액세스 디바이스의 게이트 트렌치들 및 얕은 트렌치 아이솔레이션 영역 트렌치들이 제조된다. 리세스형 액세스 디바이스의 게이트 트렌치들의 형성 이전에 얕은 트렌치 아이솔레이션 영역 트렌치들을 형성하는 종래 기술의 공정에 비해, 본 발명의 일부 방법들은 얕은 트렌치 아이솔레이션 영역 트렌치들을 형성하기 이전에 리세스형 액세스 디바이스의 게이트 트렌치들을 형성한다. 리세스형 액세스 디바이스의 게이트 트렌치들이 얕은 트렌치 아이솔레이션 영역 트렌치들 이전에 형성될지라도, 얕은 트렌치 아이솔레이션 영역 트렌치들의 형성 이전 또는 이후에 리세스형 액세스 디바이스의 게이트 트렌치들 내에 게이트 재료가 형성될 수 있다.
본 발명의 예시적인 양태들을 도 3 내지 도 40을 참조하여 설명하는데, 이 도면들 중 도 3 내지 도 22는 본 발명의 제1 실시예의 양태에 속하고, 도 23 내지 도 40은 본 발명의 제2 실시예의 양태에 속한다.
먼저, 도 3 및 도 4를 참조하면, 반도체 구조(100)를 본 발명의 제1 실시예의 양태의 전처리 단계에서 설명한다. 구조(100)는, 예컨대, p형 도펀트로 저농도 백그라운드 도핑된 단결정 실리콘을 포함하거나, 이러한 단결정 실리콘으로 본질적으로 구성되거나, 이러한 단결정 실리콘으로 구성될 수 있는 기판(102)을 포함한다.
기판 위에는 패터닝 마스크(104)가 형성된다. 도시된 패터닝 마스크는 이산화규소를 포함하거나, 이산화규소로 본질적으로 구성되거나, 이산화규소로 구성되 는 제1 층(106); 및 질화규소를 포함하거나, 질화규소로 본질적으로 구성되거나, 질화규소로 구성되는 제2 층(108)을 포함한다. 마스크(104)는 마스크(104) 위에 포토리소그래피로 패터닝된 포토레지스트(도시되지 않음)를 제공하고, 그 포토레지스트로부터 마스크(104)의 재료로 패턴을 전사하고, 다음으로 그 포토레지스트를 제거함으로써 도시된 패턴으로 형성될 수 있다.
패터닝 마스크(104)는 이를 통해 연장하는 개구들(110)을 가지며, 그 개구들은 리세스형 액세스 디바이스들의 트렌치들의 위치를 정의한다. 개구들(110)의 위치는 이하의 설명에서 제1 위치로서 언급될 수 있다.
마스크(104)에 의해 정의된 제1 위치들을 통해 기판(102)을 에칭하여, 기판(102)으로 연장하는 리세스형 액세스 디바이스의 트렌치들을 형성한다. 특정 양태에서, 그러한 트렌치들은 기판(102)의 단결정 실리콘으로 연장할 것이다. 트렌치들은 하부 주변들(111) 및 기판(102)의 최상부 표면에서 하부 주변까지의 깊이 "D"를 갖는 것으로 도시되어 있다. 그러한 깊이는, 예컨대, 약 100Å 내지 약 2000Å이 될 수 있다.
본 발명의 예시적인 양태에 있어서, 기판(102)은 도 3 및 도 4의 처리 단계에서 내부에 p-웰 및/또는 n-웰 주입을 갖는 단결정 실리콘을 포함할 수 있다. 층(106)은, 이산화규소로 본질적으로 구성되거나, 이산화규소로 구성되며, 약 50Å 내지 약 100Å의 두께를 갖도록, 층(106)을 형성하는 단결정 실리콘의 최상부 표면을 산화시킴으로써 형성될 수 있다. 질화물 캡(108)은 약 200Å 내지 약 500Å의 두께를 갖고, 전형적으로는 약 300Å 내지 약 500Å의 두께를 가질 것이다.
일부 양태(도시되지 않음)에 있어서, 개구들(110)의 임계 치수는 2 단계 공정에 의해 축소될 수 있다. 먼저, 마스킹 층(104)은 도시된 개구들(110)을 형성하도록 에칭된다. 다음, 개구들 내에 연장하는 질화규소층을 제공함으로써 개구들(110)의 측벽을 따라 질화물 스페이서들을 형성하고, 이어서 그 층에 이방성 에칭을 행하여 스페이서들을 형성한다. 다음, 개구들은 그러한 스페이서들을 형성한 후에 기판(102)으로 연장될 수 있으므로, 개구들은 초기에 포토리소그래피 처리에 의해 형성된 치수보다 작은 임계 치수를 갖는다.
다음, 도 5 및 도 6을 참조하면, 마스크(104)(도 3 및 도 4)가 제거되고, 층(112)이 기판(102) 위 및 트렌치(110) 내에 형성된다. 층(112)은, 예컨대 이산화규소를 포함하거나, 본질적으로 이산화규소로 구성되거나, 이산화규소로 구성될 수 있다. 이러한 양태에서, 층(112)은 단결정 기판(102)의 노출된 상부 표면을 열적으로 산화시킴으로써 형성될 수 있다.
다음, 도 7 및 도 8을 참조하면, 층(112) 위에는 질화규소를 포함하거나, 본질적으로 질화규소로 구성되거나, 질화규소로 구성된 층(114)이 형성된다. 여기서 제공된 층들(112, 114)의 구성은 예시적 구성이며, 그 층들은 임의의 적절한 구성을 포함할 수 있음을 이해해야 한다. 층들(112, 114)은 트렌치들(110)을 채우도록 제공된 제1 절연 재료로서 함께 언급될 수 있다. 트렌치들(110)은 절연 재료(114) 아래의 트렌치들의 위치를 나타내도록 도 7에 점선으로 도시하였다.
다음, 도 9 및 도 10을 참조하면, 층(114) 위에는 포토리소그래피로 패터닝된 포로레지스트(116)가 제공된다. 포토레지스트는 층(112, 114)을 포함하는 제1 절연 재료로 전사되는 패턴을 정의한다. 다음, 그러한 패턴은 층들(112, 114)을 마스크로 패터닝하는 적절한 에칭에 의해 층들(112, 114)에 전사된다. 마스크는 복수의 액세스 디바이스 영역들(120, 122, 124, 126)을 정의한다. 액세스 디바이스 영역들은 아이솔레이션 영역(130)으로 둘러싸인 섬(island)들이다. 액세스 디바이스 영역들(120, 122, 124, 126)은 초기에 형성된 리세스된 액세스 디바이스의 트렌치들(110)의 일부만을 포함하며, 트렌치들의 나머지 부분들은 아이솔레이션 영역(130) 내에 존재한다.
도시된 액세스 디바이스 영역들(120, 122, 124, 126)은 도 9를 참조하면 실질적으로 타원이다. 실질적으로 타원인 액세스 디바이스 영역들은, 주 타원 종축(primary longitudinal ellptical axis)이 영역(120) 내에 축(121)으로 도시되어 있는 바와 같은 주 타원 종축을 갖는다. 트렌치들(110)은, 예시적인 길이 축이 도 9에서 축(123)으로 도시되어 있는 바와 같은, 길이를 따라 연장하는 주 길이 축을 포함하도록 고려될 수 있다. 도 9에 도시된 어플리케이션에서, 주 타원 종축(121)은 축(123)에 대하여 경사져 있으며, 따라서 주 길이 축(123)에 대해 실질적으로는 수직이 아니다. 그러나, 본 발명은 액세스 디바이스 영역의 주 타원 종축이 리세스형 액세스 디바이스 트렌치의 주 길이 축에 실질적으로 직교하는 양태(예컨대, 이하의 도 31 및 도 32를 참조하여 기술된 양태 등)를 포함함을 이해해야 한다.
다음, 도 11 및 도 12를 참조하면, 아이솔레이션 영역들(130)의 기판은 에칭에 의해 리세스된다. 에칭은 리세스형 액세스 디바이스 영역들(120, 122, 124, 126) 사이의 트렌치들(110)의 부분들을 제거한다.
에칭 후에, 아이솔레이션 영역(130)의 리세스된 기판은 기판(102)의 최상부 표면의 아래의 깊이 "E"에 위치한다. 특정 양태에서, 기판은 리세스된 액세스 디바이스 트렌치(110)의 최하위 레벨의 아래의 레벨로 리세스하기 때문에, 깊이 "E"는 적어도 도 4의 깊이 "D"보다 약 2배 깊다. 깊이 "E"는 얕은 트렌치 아이솔레이션 영역의 깊이에 대응할 수 있고, 특정 양태에서는 약 500Å에서 약 3500Å일 수 있다.
도 12의 트렌치 중 2개는 서로 인접한 것으로 고려될 수 있고, 아이솔레이션 영역(130)은 그러한 인접한 트렌치들 사이에 형성되는 것으로 고려될 수 있다. 예를 들어, 인접한 트렌치들 중 하나는 식별번호 131이 부여된 트렌치일 수 있고, 다른 하나는 식별번호 133이 부여된 트렌치일 수 있으며, 그러한 트렌치들은 아이솔레이션 영역(130)에서, 그들 사이에 형성된 깊은 트렌치의 대향면들 상에 존재하는 것으로 고려될 수 있다. 본 발명의 도시된 공정에서, 인접한 리세스된 액세스 디바이스의 트렌치들(131, 133)은 그들 사이에서 깊은 트렌치를 형성하기 이전에 형성되었다.
도 13 및 도 14를 참조하면, 포토레지스트(116)(도 11 및 도 12)가 제거되고, 후속하여 전기적 절연 재료(136)이 아이솔레이션(130)의 리세스된 기판 위뿐만 아니라 액세스 디바이스 영역들(120, 122, 124 및 126)의 층(114) 위로도 형성되어 있다. 액세스 디바이스 영역들(120, 122, 124 및 126)은 도 13에서 점선으로 도시되어 그러한 영역들의 위치를 나타내지만, 그러한 영역들이 도 13의 공정 단계에서 절연 재료(136)의 아래에 존재한다는 것을 이해해야 한다.
재료(136)는 임의의 적절한 조성물 또는 조성물들의 결합을 포함할 수 있다. 특정 양태에서, 재료(136)는 소위 얕은 트렌치 적층에 대응할 수 있고, 따라서 기판(102)을 따라 이산화규소의 얇은 층, 이산화규소를 따라 얇은 질화규소 라이너(liner), 및 라이너 내의 두꺼운 이산화규소 충진물을 포함할 수 있다. 즉, 절연 재료(136)는 이산화규소를 주로 포함할 수 있는데, 그 재료의 벌크의 이산화규소는 박형의 질화규소 라이너 및 박형의 이산화규소 라이너에 의해 기판(102)으로부터 분리되어 있다. 일부 양태에서, 재료(136)의 벌크의 절연성 조성물은 스핀-온-유전체(spin-on-dielectric)일 수 있다.
도 14에서, 유전체로 채워진 깊은 영역(130)은 리세스된 액세스 디바이스의 트렌치들(131, 133) 사이에 제공된 트렌치된 아이솔레이션 영역을 포함하는 것으로 고려될 수 있다.
다음, 도 15 및 도 16을 참조하면, 재료(136)는 (예컨대, 화학 기계적인 연마 등의) 평탄화가 행해져, 층(114) 위로부터 재료를 제거하고, 재료(136) 및 층(114)에 걸쳐 연장되는 평탄화된 상부 표면(137)을 형성한다. 도 15 및 도 16의 평탄화는 아이솔레이션 영역(130)의 리세스된 기판 위에 절연 재료(136)를 남기면서, 층(114) 위로부터 절연 재료(136)를 제거하는 것으로 고려될 수 있다.
다음, 도 17 및 도 18을 참조하면, 층들(112, 114)은 전기적 절연 재료(136)을 남기면서 기판(102) 위로부터 제거된다. 일부 양태들에서, 층들(112, 114)은 제1 전기적 절연 재료를 포함하는 것으로 함께 고려될 수 있고, 재료(136)에 대응하는 제2 전기적 절연 재료의 적어도 대부분을 남기면서, 그러한 제1 전기적 절연 재료의 적어도 대부분을 제거하는 것으로 고려될 수 있다. 도시된 양태에서, 층들(112, 114)에 대응하는 제1 전기적 절연 재료의 전체가 제거되었지만, 본 발명은 그러한 재료의 전체보다 적은 부분이 제거되는 다른 양태들도 포함한다는 것을 이해해야 한다. 예를 들어, 재료(112)가 이산화규소를 포함하는 경우, 재료(112)는 후속 처리에서 게이트 산화물에 대응하도록 남겨질 수 있다. 그러나, 재료(112)가 제거되는 경우, 재료(112)가 이산화규소를 포함하는지의 여부와 무관하게, 기판(102)의 표면이 실제의 게이트 유전체 재료의 형성 이전에 클리닝되도록 하는 것이 바람직할 수 있다. 따라서, 층들(112, 114)의 재료는 전형적으로 희생 재료에 대응할 것이다.
예를 들어, p웰 또는 n웰과 같은 도펀트 웰들의 형성을 원하는 경우에는 도 17 및 도 18의 공정 단계에서 기판(102) 내에 도펀트를 제공할 수 있다.
다음, 도 19 및 도 20을 참조하면, 리세스된 액세스 디바이스 트렌치(110) 내에는 게이트 유전체 재료(140)가 형성되고, 후속하여 게이트 유전체 재료의 상부와 트렌치의 내부에 도전성 게이트 재료(142)가 형성되어 트렌치를 채운다. 본 발명의 도시된 양태에서, 도전성 게이트 재료(142)는 제1 층(144) 및 제2 층(146)을 포함한다. 층들(144, 146)은 인터페이스(147)에서 접한다. 층(144)은, 예컨대 도전성으로 도핑된 실리콘을 포함하거나, 본질적으로 도전성으로 도핑된 실리콘으로 구성되거나, 또는 도전성으로 도핑된 실리콘으로 구성될 수 있으며, 층(146)은, 예컨대 하나 이상의 금속-함유 조성물을 포함하거나, 본질적으로 하나 이상의 금속-함유 조성물로 구성되거나, 또는 하나 이상의 금속-함유 조성물로 구성될 수 있다. 금속-함유 조성물은 순수한 금속 및/또는 금속-함유 화합물일 수 있다. 특정 양태에서, 층(146)은, 도 20에서와 같이 내림 순으로 텅스텐/텅스텐 실리사이드/질화 티타늄의 적층체를 포함할 수 있다.
도시된 게이트 재료(142)는 트렌치들(110)을 채울 뿐만 아니라 그러한 트렌치들의 외측으로 연장된다. 또한, 층(146)의 금속-함유 조성물과 층(144)의 도전성으로 도핑된 실리콘 사이의 인터페이스(예컨대, 인터페이스(147))는 트렌치들의 외부에 존재한다.
전기적 절연 캡(150)은 도전성 게이트 재료(142)의 위에 형성된다. 캡(150)은 임의의 적절한 조성물 또는 조성물들의 결합을 포함할 수 있고, 특정 양태에서는, 이산화규소와 질화규소 중의 하나 또는 모두를 포함하거나, 본질적으로 그들 중 하나 또는 모두로 구성되거나 또는 그들 중 하나 또는 모두로 구성될 수 있다.
액세스 디바이스 영역들(120, 122, 124 및 126)은 도 19에서 점선으로 도시되어 영역들이 절연 캡(150)의 아래에 있음을 나타낸다.
다음, 도 21 및 도 22를 참조하면, 재료들(140, 144, 146 및 150)은 액세스 디바이스 영역들(120, 122, 124 및 126)에 걸쳐 연장하는 도전성 라인들로 패터닝된다. 보다 구체적으로, 재료들(140, 144, 146 및 150)은 복수의 라인(160, 162, 164 및 166)으로 패터닝되고, 라인들의 각각은 다수의 액세스 디바이스 영역에 걸쳐 연장되고 상이한 액세스 디바이스 영역들에 관련된 게이트들을 전기적으로 상호 연결한다.
소스/드레인 영역들(170, 172, 174, 176, 178 및 180)은 기판(102) 내 및 전기적 도전성 게이트 재료(144)의 근방에 형성된다. 소스/드레인 영역들은 임의의 적절한 도핑 타입으로 도핑될 수 있고, 임의의 적절한 도펀트를 포함할 수 있다. 소스/드레인 영역들은 도펀트를 기판(102)에 적절한 깊이로 주입하여 형성될 수 있다. 도전성 게이트 재료 및 소스/드레인 영역들은 기판(102)에 의해 지지되는 복수의 트랜지스터 디바이스들(180, 182, 184 및 186)을 함께 형성한다. 그러한 트랜지스터 디바이스는 리세스된 액세스 디바이스의 트렌치들(110) 내로 연장하는 게이트들을 갖는 리세스된 액세스 디바이스들에 대응한다.
트랜지스터 디바이스들(180, 182, 184 및 186)의 각각은 한 쌍의 소스/드레인 영역들을 전기적으로 서로 연결하는 게이트를 포함하는 것으로 고려될 수 있다. 예를 들어, 트랜지스터 디바이스(180)는 소스/드레인 영역들(170, 172)을 전기적으로 서로 연결하는 게이트를 포함하는 것으로 고려될 수 있고; 디바이스(182)는 소스/드레인 영역들(172, 174)을 전기적으로 서로 연결하는 게이트를 포함하는 것으로 고려될 수 있고; 디바이스(184)는 소스/드레인 영역들(176, 178)을 전기적으로 서로 연결하는 게이트를 포함하는 것으로 고려될 수 있고; 디바이스(186)는 소스/드레인 영역들(178, 180)을 전기적으로 서로 연결하는 게이트를 포함하는 것으로 고려될 수 있다.
트랜지스터 디바이스들은, 노드 컨택트들(즉, 커패시터 저장 노드들)을 저장하기 위하여, 쌍을 이루는 소스/드레인 영역들의 일부를 비트라인 컨택트들 및 다른 것들에 연결함으로써 DRAM 어레이에 포함될 수 있다. 본 발명의 도시된 양태에서, 소스/드레인 영역들(170, 174, 176 및 180)은 커패시터 저장 노드들(190, 192, 194 및 196)에 각각 연결되고; 소스/드레인 영역들(172, 178)은 비트라인들(198, 200)에 각각 접속된다. 따라서, 도시된 구성은 DRAM 어레이에 포함될 수 있다.
다음, 도 23 및 도 24를 참조하면, 이 도면들은 본 발명의 제2 실시예의 예비 공정 단계에서의 반도체 구조(300)를 도시한다. 본 발명의 제2 실시예와 관련된 도면들을 참조함에 있어서, 본 발명의 제1 실시예를 기술하는 데 사용된 상기 사용된 것과 유사한 참조번호를 적절히 사용할 것이다.
구조(300)는, 도 3 및 도 4를 참조하여 전술한 기판(102), 층들(106, 108)의 패터닝 마스크(104), 및 트렌치들(110)을 포함하고, 이에 따라, 도 3 및 도 4를 참조하여 전술한 구조와 동일하게 대응한다.
다음, 도 25 및 도 26을 참조하면, 게이트 유전체 재료(302)는 트렌치들(110)의 하부를 채우도록 형성되고, 후속하여 게이트 재료(304)는 트렌치의 내부 및 유전체 재료의 위에 형성된다.
유전체 재료(302)는, 예컨대 이산화규소를 포함하거나, 본질적으로 이산화규소로 구성되거나, 또는 이산화규소로 구성될 수 있다. 이러한 양태에서, 재료(302)는 트렌치들(110) 내에서 기판(102)으로부터 노출된 실리콘을 산화시킴으로써 성막되거나 형성될 수 있다. 유전체 재료(302)는, 필요에 따라, 2 단계로 형성될 수 있는데, 한 단계는 트렌치들(110) 내에 제1 이산화규소 재료를 초기에 형성하는 것이고, 다른 단계는 트렌치 내로부터 제1 유전체 재료를 제거하고 초기에 제공된 이산화규소 유전체보다 보다 양질의 이산화규소 유전체일 수 있는 다른 유전체 재료를 트렌치 내에 형성하는 것이다.
게이트 재료(304)는 실리콘을 포함하거나, 본질적으로 실리콘으로 구성되거나, 또는 실리콘으로 구성될 수 있다. 실리콘은 성막될 때 도전성으로 도핑될 수 있거나, 또는 비도전성으로 도핑된 형태로 성막된 후 후속 공정 단계에서 적절한 주입으로 도핑될 수 있다.
도 26의 구조는 게이트 재료(304) 및 절연용 재료(108)를 가로질러 연장되는 평탄화된 상부 표면(305)을 포함하는 것을 도시한다. 이것은, 트랜치들을 채울 뿐 아니라 재료(108)를 덮기 위한 게이트 재료(304)를 초기에 제공하고, 후속하여 재료(304)에 평탄화를 행하고(예를 들면, 화학적 기계적 연마 등), 재료(108)의 상부로부터 재료(304)를 제거하여, 평탄화된 상부 표면(305)을 형성함으로써 형성된다.
원하는 경우, 트랜치들(110) 내의 게이트 재료(304)의 형성 전에, 기판 내에 인핸스먼트 주입(enhancement implant) 및/또는 문턱 전압(threshold voltage) 주입을 제공할 수 있다.
비록 게이트 재료(304)가 최상부 표면층(108)과 동일 공간에 펼쳐진 평탄화된 표면을 갖는 것으로 도시되어 있으나, 게이트 재료는 또한 최상부 표면층(108)의 높은 레벨(elevation level) 아래쪽으로 리세스된 표면을 가질 수 있음을 이해해야 한다. 일부 양태에서는, 실리콘을 함유하는 재료(304)가 최상부 표면층(108) 아래로 리세스되는 것이 바람직할 수 있다.
다음, 도 27 및 도 28을 참조하면, 재료(304)와 층(108)의 최상부 표면층들에 산화를 행하여, 층(108) 위에 산화물(310)을, 그리고 층(304) 위에 산화물(312)을 형성한다. 본 발명의 특정 양태에서는, 층(108)은 질화규소를 포함하거나, 본 질적으로 질화규소로 구성되거나, 또는 질화규소로 구성될 것이고, 따라서 산화물(310)은 질산화규소를 포함하거나, 본질적으로 질산화규소로 구성되거나, 또는 질산화규소로 구성될 것이며, 층(304)은 실리콘을 포함하거나, 본질적으로 실리콘으로 구성되거나, 또는 실리콘으로 구성될 것이므로, 따라서 산화물(312)은 이산화규소를 포함하거나, 본질적으로 이산화규소로 구성되거나, 또는 이산화규소로 구성될 것이다. 산화물(310, 312)은 약 30Å에서 약 60Å의 두께로 형성될 수 있다.
다음, 도 29 및 도 30을 참조하면, 산화물들(310, 312) 위에 전기적 절연 재료(314)가 제공된다. 층(314)은, 예를 들어, 질화규소를 포함하거나, 본질적으로 질화규소로 구성되거나, 또는 질화규소로 구성될 수 있으며, 약 300Å에서 약 500Å의 두께로 성막될 수 있다. 본 발명의 일부 양태에서는, 조성물들(310, 312 및 314)은 패터닝된 제1 마스크(104) 및 게이트 재료(304)에 위에 제공되는 절연 재료(316)를 형성하기 위해 함께 고려될 수 있다.
도 29에 트랜치들의 위치를 나타내기 위해 점선도로 트랜치들(110)이 도시되어 있다.
다음에 도 31 및 도 32를 참조하면, 복수의 액세스 디바이스 영역(320, 324, 326, 328, 330, 332, 334 및 336)을 정의하고, 이 액세스 디바이스 영역을 둘러싸는 분리 영역(340)들을 정의하는 마스크 내로 재료(316)가 패터닝된다. 재료(316)의 패터닝은, 재료(316) 위에 포토리소그래피로 패터닝된 포토레지스트 마스크(도시되지 않음)를 제공하고, 이 포토레지스트 마스크로부터 패턴을 재료(316)로 전사하고, 후속하여 포토레지스트 마스크를 제거함으로써 달성될 수 있다.
재료(316)가 패터닝된 후에, 기판(102)을 분리 영역(340)내에서 에칭하여 이러한 분리영역의 기판을 리세스한다. 리세스된 분리 영역의 기판은 트랜치들(110)의 가장 낮은 레벨보다 낮은 레벨에 있고, 그리고 일부 양태에서는, 트랜치들(110)의 레벨보다 적어도 2배 깊은 곳에 존재한다.
분리 영역(340) 내부의 에칭에 의해 분리 영역 내로부터 게이트 재료(304)가 제거되는 한편, 액세스 디바이스 영역들(320, 324, 326, 328, 330, 332, 334 및 336)내의 게이트 재료들은 남아있게 된다. 분리 영역(340) 내부의 에칭은, 예를 들면, 반응성 이온 에칭에 의해 달성될 수 있다.
산화물(342) 및 질화물 라이너(liner)(344)는 에칭된 분리 영역(340) 내에 제공되며, 도시된 양태에서 또한 재료(314) 위로 연장된다. 산화물(342)은, 예를 들어, 기판(102)을 따라 이산화규소를 포함하거나, 본질적으로 이산화규소로 구성되거나, 또는 이산화규소로 구성될 수 있고; 질화물(344)은, 예를 들어, 질화규소를 포함하거나, 본질적으로 질화규소로 구성되거나, 또는 질화규소로 구성될 수 있다. 산화물은 구조(300)의 노출된 재료를 산화시켜서 형성될 수 있고, 따라서 재료(108, 314)를 따르기보다는 기판(102)을 따라 서로 다른 조성을 포함할 수 있거나, 또는 대안적으로 성막에 의해 형성될 수 있다. 산화는 반응성 이온 에칭 중에 발생할 수 있는 플라즈마 유도(plasma-induced) 손상들을 리페어(repair)할 수 있고, 또한 계면들에서 게이트-유전체쪽으로 잠식하여 게이트 폴리실리콘(polysilicon)과 기판(102)의 벌크 실리콘(bulk silicon) 사이에 낮은 누설을 제공한다는 점에서 바람직할 수 있다. 산화물은 바람직하게는 약 30Å 에서 약 80Å 의 두께로 형성된다. 질화물 라이너(344)는 산화층(342) 위에 성막될 수 있고, 궁극적으로는 후속하는 스핀-온 글래스(spin-on glass) 성막 공정에 대해 보호층으로서의 기능을 할 수 있다.
도 31의 액세스 디바이스 영역들은 도 9의 액세스 디바이스 영역들과 유사하게 타원형으로 도시되었다. 그러나, 도 9의 액세스 디바이스 영역들과는 달리, 도 31의 액세스 디바이스 영역들은, 리세스된 액세스 디바이스 트랜치들(110)의 주 길이 축들에 대하여 실질적으로 직교하는 주 타원 종축들을 가진다.
다음에 도 33 및 도 34를 참조하면, 리세스된 분리 영역(340)을 채우기 위해 유전 재료(350)가 제공된다. 유전 재료(350)는 스핀-온 글래스일 수 있다. 유전 재료(350)는 초기에 리세스된 분리 영역(340)을 채울 뿐 아니라, 절연 재료(316)를 덮기 위해서 형성될 수 있으며, 후속하여 평탄화(예를 들어, 화학적-기계적-연마 등)를 이용하여 절연 재료(316) 위로부터 유전 재료를 제거하여 유전 재료(350) 및 층(314) 위로 연장하는 도시된 평탄화된 표면(351)을 형성할 수 있다. 일부 양태에서는, 절연 재료(316)(재료들(314, 310 및 312)을 포함함)은 제1 절연 재료로 지칭될 수 있으며, 절연 재료(350)는 제2 절연 재료로 지칭될 수 있다.
다음에 도 35 및 도 36을 참조하면, 층(314)(도 34)이 제거된다. 이것은 재료(314)의 질화물 아래의 스핀-온 글래스를 약간 리세스하기 위하여 습식 에칭(wet oxide etch)을 행하고, 다음에 재료(314)를 제거하기 위해서 습식 질화물 스트립(wet nitride strip)을 행함으로써 달성될 수 있다. 습식 질화물 스트립은 산화 재료들(310, 312)에서 선택적으로 중단될 수 있다.
다음에 도 37 및 도 38을 참조하면, 복수의 라인들(370, 372, 374 및 376)이 액세스 디바이스 영역들(320, 324, 326, 328, 330, 332 및 336)을 가로질러 연장되어 형성된다. 상기 라인들은 도전성 재료(380) 및 절연 캡(insulative cap)을 포함한다. 도전성 재료(380)는, 예를 들어, 질화 티타늄/텅스텐 실리사이드/텅스텐(도 38에서 위를 향하는 순서로)의 적층을 포함하고, 캡(382)은 질화규소를 포함하거나, 본질적으로 질화규소로 구성되거나, 또는 질화규소로 구성된다.
라인들은, 초기에 구조(300)의 최상부 표면에 전체적으로 적절한 재료의 층들(380, 382)을 성막하고, 후속하여 층들(380 및 382)에 대해서 포토리소그래피로 패터닝된 포토레지스트를 형성하고, 포토레지스트로부터 하부층들(380, 382)까지 패턴을 전사한 후에, 포토레지스트를 제거함으로써 재료들을 패터닝하는것에 의해 형성될 수 있다.
도 37 및 도 38의 구조는 도 21 및 도 22의 구조와 마찬가지로 DRAM 배열에 포함될 수 있다. 구체적으로는, 적절하게 도전성으로 도핑된 확산 영역들을 도전성 재료(144)에 의해 이루어지는 트랜지스터 게이트들에 인접하여 형성할 수 있고, 캐패시터 구조들 및 비트라인(bitline) 구조들이 소스/드레인(source/drain) 영역과 전기적으로 결합될 수 있다.
도 39 및 도 40은 도 37 및 도 38의 양태에 대응하는 대안적 양태를 도시한다. 구체적으로는, 구조(300)에 라인들(370, 372, 374 및 376)을 형성하기 전에 평탄화를 행할 수 있다. 그러한 평탄화는 층(108)(도 35 및 도 36)을 제거하여, 도시된 평탄화된 표면(390)을 형성한다. 평탄화된 표면(390)이 재료(106)의 위에 도 시되어 있으나, 평탄화는 또한 재료(106)을 통하여 연장될 수 있음이 이해되어야 한다. 만약, 평탄화가 재료(106)를 통하여 연장된다면, 라인들을 형성하기 전에 재료(106) 대신에 다른 유전 재료를 기판(102)의 위에 형성할 수 있다. 도 40의 구조는 상기 도 39의 구조에 관하여 논의된 것과 마찬가지 방식으로 메모리 배열에 포함될 수 있다.

Claims (47)

  1. 삭제
  2. 반도체 구조에 관련된 리세스된 액세스 디바이스들을 형성하는 방법으로서,
    반도체 기판을 제공하는 단계;
    상기 기판 내에 리세스된 액세스 디바이스의 트렌치들을 형성하는 단계;
    상기 리세스된 액세스 디바이스의 트렌치들에 제1 전기적 절연 재료를 채우는 단계;
    상기 제1 전기적 절연 재료를 복수의 액세스 디바이스 영역들을 정의하는 마스크로 패터닝하는 단계 - 상기 액세스 디바이스 영역들은 아이솔레이션 영역에 의해 둘러싸인 섬모양이며, 상기 액세스 디바이스 영역들은 상기 리세스된 액세스 디바이스의 트렌치들의 일부만을 포함함 - ;
    상기 아이솔레이션 영역의 기판으로 에칭하여 상기 아이솔레이션 영역의 기판을 리세스하는 단계;
    상기 리세스된 기판을 제2 전기적 절연 재료로 피복하여 상기 아이솔레이션 영역을 상기 제2 전기적 절연 재료로 채우는 단계;
    상기 아이솔레이션 영역 내의 상기 제2 전기적 절연 재료를 남겨두면서 상기 제1 전기적 절연 재료를 제거하는 단계; 및
    상기 제1 전기적 절연 재료를 제거한 후, 상기 액세스된 디바이스 영역들이 포함하는 상기 리세스된 액세스 디바이스의 트렌치들의 일부 내에 게이트 재료를 형성하는 단계
    를 포함하는 방법.
  3. 제2항에 있어서,
    상기 리세스된 기판을 상기 제2 전기적 절연 재료로 피복하는 단계는,
    상기 아이솔레이션 영역의 리세스된 기판 위 및 상기 제1 전기적 절연 재료 위에 상기 제2 전기적 절연 재료를 형성하는 단계; 및
    상기 아이솔레이션 영역의 리세스된 기판 위에 상기 제2 전기적 절연 재료를 남기면서, 상기 제1 전기적 절연 재료 위의 상기 제2 전기적 절연 재료를 제거하도록 상기 제2 전기적 절연 재료를 평탄화(planarizing)하는 단계
    에 의해 수행되는 방법.
  4. 제2항에 있어서,
    상기 리세스된 디바이스 영역들의 게이트 재료와 관련된 소스/드레인 영역들을 형성하는 단계를 더 포함하며,
    상기 게이트 재료는, 소스/드레인 영역들의 쌍들과 전기적으로 상호 접속하는 게이트들을 포함하며, 쌍을 이룬 소스/드레인 영역들 중 적어도 일부는 비트라인 컨택트(bitline contact) 및 스토리지 노드 컨택트(storage node contact)를 포함하고, DRAM 디바이스들에 포함되는 방법.
  5. 반도체 구조에 관련된 리세스된 액세스 디바이스들을 형성하는 방법으로서,
    반도체 기판을 제공하는 단계;
    상기 기판 위에 제1 패터닝 마스크를 형성하는 단계 - 상기 제1 패터닝 마스크는 상기 제1 패터닝 마스크를 통해 연장되어 리세스된 액세스 디바이스들의 트렌치들에 대한 제1 위치들을 정의하는 개구들을 가짐 - ;
    상기 제1 위치들을 통해 상기 기판을 에칭하여 상기 기판으로 연장되는 리세스된 액세스 디바이스의 트렌치들을 형성하는 단계;
    상기 리세스된 액세스 디바이스의 트렌치들을 게이트 재료로 채우는 단계;
    상기 제1 패터닝 마스크의 위 및 상기 게이트 재료의 위에 제1 전기적 절연 재료를 형성하는 단계;
    복수의 액세스 디바이스 영역들을 정의하는 마스크로 상기 제1 전기적 절연 재료를 패터닝하는 단계 - 상기 액세스 디바이스 영역들은 아이솔레이션 영역에 의해 둘러싸인 섬모양이며, 상기 액세스 디바이스 영역들은 상기 리세스된 액세스 디바이스의 트렌치들의 일부만을 포함함 - ;
    상기 아이솔레이션 영역의 기판으로 에칭하여 상기 아이솔레이션 영역의 기판을 리세스하는 단계 - 상기 에칭은 또한 액세스 디바이스 영역들 내의 게이트 재료를 남기면서 상기 액세스 디바이스 영역들 사이로부터 게이트 재료를 제거함 - ;
    상기 리세스된 기판을 제2 전기적 절연 재료로 피복하여 상기 아이솔레이션 영역을 상기 제2 전기적 절연 재료로 채우는 단계;
    상기 아이솔레이션 영역 내의 상기 제2 전기적 절연 재료를 남겨두면서 상기 제1 전기적 절연 재료를 제거하는 단계; 및
    상기 제1 전기적 절연 재료를 제거한 후, 복수의 도전성 라인들을 형성하는 단계 - 개개의 도전성 라인들은 다수의 액세스 디바이스 영역들에 걸쳐 연장되며, 다수의 액세스 디바이스 영역들의 게이트 재료를 전기적으로 상호 접속함 -
    를 포함하는 방법.
  6. 제5항에 있어서,
    상기 리세스된 액세스 디바이스의 트렌치들을 게이트 재료로 채우는 단계는,
    상기 제1 패터닝 마스크를 피복하고 상기 트렌치들 내에 존재하도록 게이트 재료를 형성하는 단계; 및
    상기 리세스된 액세스 디바이스의 트렌치들 내에 상기 게이트 재료를 남기면서 상기 제1 패터닝 마스크의 위로부터 상기 게이트 재료를 제거하도록 상기 게이트 재료를 평탄화하는 단계
    를 포함하는 방법.
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
KR1020077021689A 2005-03-25 2006-03-08 리세스된 액세스 디바이스 형성 방법 KR100895568B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/090,529 2005-03-25
US11/090,529 US7384849B2 (en) 2005-03-25 2005-03-25 Methods of forming recessed access devices associated with semiconductor constructions

Publications (2)

Publication Number Publication Date
KR20070105376A KR20070105376A (ko) 2007-10-30
KR100895568B1 true KR100895568B1 (ko) 2009-04-29

Family

ID=36676528

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077021689A KR100895568B1 (ko) 2005-03-25 2006-03-08 리세스된 액세스 디바이스 형성 방법

Country Status (8)

Country Link
US (3) US7384849B2 (ko)
EP (2) EP1880421B1 (ko)
JP (1) JP4962874B2 (ko)
KR (1) KR100895568B1 (ko)
CN (1) CN100536142C (ko)
AT (1) ATE533183T1 (ko)
TW (1) TWI314769B (ko)
WO (1) WO2006104654A1 (ko)

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6844591B1 (en) * 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
US7262089B2 (en) * 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
KR100538101B1 (ko) * 2004-07-07 2005-12-21 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US7518182B2 (en) * 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7244659B2 (en) * 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7867845B2 (en) * 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US8008144B2 (en) * 2006-05-11 2011-08-30 Micron Technology, Inc. Dual work function recessed access device and methods of forming
US8860174B2 (en) * 2006-05-11 2014-10-14 Micron Technology, Inc. Recessed antifuse structures and methods of making the same
US20070262395A1 (en) 2006-05-11 2007-11-15 Gibbons Jasper S Memory cell access devices and methods of making the same
KR100766233B1 (ko) * 2006-05-15 2007-10-10 주식회사 하이닉스반도체 플래쉬 메모리 소자 및 그의 제조 방법
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
DE102006035667B4 (de) * 2006-07-31 2010-10-21 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Lithographieeigenschaften während der Gateherstellung in Halbleitern mit einer ausgeprägten Oberflächentopographie
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
KR100763337B1 (ko) * 2006-10-02 2007-10-04 삼성전자주식회사 매립 게이트 라인을 갖는 반도체소자 및 그 제조방법
KR100771552B1 (ko) * 2006-10-31 2007-10-31 주식회사 하이닉스반도체 숏 채널 효과가 억제되는 모스트랜지스터 및 그 제조방법
JP2008171872A (ja) * 2007-01-09 2008-07-24 Elpida Memory Inc 半導体装置及びその製造方法
JP2008171863A (ja) * 2007-01-09 2008-07-24 Elpida Memory Inc トレンチゲートの形成方法
US7768047B2 (en) * 2007-05-10 2010-08-03 Micron Technology, Inc. Imager element, device and system with recessed transfer gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR100825815B1 (ko) * 2007-06-07 2008-04-28 삼성전자주식회사 채널 리세스부를 갖는 활성패턴을 구비하는 반도체 소자 및그의 제조방법
JP2009141260A (ja) * 2007-12-10 2009-06-25 Elpida Memory Inc 半導体装置、及びその製造方法
US7875919B2 (en) * 2008-03-31 2011-01-25 International Business Machines Corporation Shallow trench capacitor compatible with high-K / metal gate
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US7687862B2 (en) * 2008-05-13 2010-03-30 Infineon Technologies Ag Semiconductor devices with active regions of different heights
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US7824983B2 (en) * 2008-06-02 2010-11-02 Micron Technology, Inc. Methods of providing electrical isolation in semiconductor structures
US7824986B2 (en) * 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
KR101561061B1 (ko) * 2009-04-10 2015-10-16 삼성전자주식회사 돌출형 소자 분리막을 가지는 반도체 소자
KR101159900B1 (ko) * 2009-04-22 2012-06-25 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8039340B2 (en) 2010-03-09 2011-10-18 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
EP2477216A1 (en) 2011-01-13 2012-07-18 Soitec Hybrid bulk/SOI device with a buried doped layer and manufacturing method thereof
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9401363B2 (en) 2011-08-23 2016-07-26 Micron Technology, Inc. Vertical transistor devices, memory arrays, and methods of forming vertical transistor devices
US9385132B2 (en) * 2011-08-25 2016-07-05 Micron Technology, Inc. Arrays of recessed access devices, methods of forming recessed access gate constructions, and methods of forming isolation gate constructions in the fabrication of recessed access devices
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8648407B2 (en) * 2012-01-14 2014-02-11 Nanya Technology Corporation Semiconductor device and method for fabricating thereof
US8703550B2 (en) * 2012-06-18 2014-04-22 International Business Machines Corporation Dual shallow trench isolation liner for preventing electrical shorts
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9768055B2 (en) * 2012-08-21 2017-09-19 Stmicroelectronics, Inc. Isolation regions for SOI devices
US9005463B2 (en) 2013-05-29 2015-04-14 Micron Technology, Inc. Methods of forming a substrate opening
EP3147345B1 (en) 2014-05-22 2020-04-01 JX Nippon Oil & Energy Corporation Working fluid composition for refrigerating machines
US10096696B2 (en) * 2014-06-03 2018-10-09 Micron Technology, Inc. Field effect transistors having a fin
TWI704647B (zh) * 2015-10-22 2020-09-11 聯華電子股份有限公司 積體電路及其製程
US10199461B2 (en) * 2015-10-27 2019-02-05 Texas Instruments Incorporated Isolation of circuit elements using front side deep trench etch
US10128251B2 (en) * 2016-09-09 2018-11-13 United Microelectronics Corp. Semiconductor integrated circuit structure and method for forming the same
US10347635B2 (en) 2017-06-30 2019-07-09 Micron Technology, Inc. Apparatuses comprising memory cells, and apparatuses comprising memory arrays
US10431695B2 (en) 2017-12-20 2019-10-01 Micron Technology, Inc. Transistors comprising at lease one of GaP, GaN, and GaAs
US10825816B2 (en) * 2017-12-28 2020-11-03 Micron Technology, Inc. Recessed access devices and DRAM constructions
US10319586B1 (en) 2018-01-02 2019-06-11 Micron Technology, Inc. Methods comprising an atomic layer deposition sequence
US10734527B2 (en) 2018-02-06 2020-08-04 Micron Technology, Inc. Transistors comprising a pair of source/drain regions having a channel there-between
US10818665B2 (en) 2018-08-24 2020-10-27 Micron Technology, Inc. Array of recessed access devices and an array of memory cells individually comprising a capacitor and a transistor
US11848309B2 (en) 2021-06-10 2023-12-19 Micron Technology, Inc. Microelectronic devices, related electronic systems, and methods of forming microelectronic devices
US11810901B2 (en) 2021-06-10 2023-11-07 Micron Technology, Inc. Microelectronic devices, related memory devices and electronic systems, and methods of forming microelectronic devices
US11842990B2 (en) 2021-06-30 2023-12-12 Micron Technology, Inc. Microelectronic devices and electronic systems
US11776925B2 (en) 2021-06-30 2023-10-03 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
US11837594B2 (en) 2021-06-30 2023-12-05 Micron Technology, Inc. Microelectronic devices and electronic systems
US11930634B2 (en) 2021-06-30 2024-03-12 Micron Technology, Inc. Methods of forming microelectronic devices
US11996377B2 (en) 2021-06-30 2024-05-28 Micron Technology, Inc. Microelectronic devices and electronic systems
US11810838B2 (en) 2021-06-30 2023-11-07 Micron Technology, Inc. Microelectronic devices, and related electronic systems and methods of forming microelectronic devices
US11785764B2 (en) 2021-06-30 2023-10-10 Micron Technology, Inc. Methods of forming microelectronic devices
US11751383B2 (en) 2021-08-31 2023-09-05 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
US11791273B2 (en) 2021-10-13 2023-10-17 Micron Technology, Inc. Microelectronic devices including contact structures, and related memory devices, electronic systems, and methods
US11916032B2 (en) 2021-12-27 2024-02-27 Micron Technology, Inc. Microelectronic devices, related electronic systems, and methods of forming microelectronic devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5798544A (en) * 1994-04-22 1998-08-25 Nec Corporation Semiconductor memory device having trench isolation regions and bit lines formed thereover
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
KR20050066879A (ko) * 2003-12-27 2005-06-30 동부아남반도체 주식회사 트랜치 아이솔레이션을 갖는 플래시 메모리 소자의 제조방법

Family Cites Families (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5681974A (en) 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
KR920010461B1 (ko) 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 반도체 메모리와 그 제조 방법
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
JPS63183691A (ja) 1987-01-26 1988-07-29 Mitsubishi Electric Corp 半導体記憶装置
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
US4931409A (en) 1988-01-30 1990-06-05 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having trench isolation
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
US5108938A (en) 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
US5021355A (en) * 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (ja) 1990-04-21 1996-03-29 株式会社東芝 半導体記憶装置
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5122848A (en) 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
KR940006679B1 (ko) 1991-09-26 1994-07-25 현대전자산업 주식회사 수직형 트랜지스터를 갖는 dram셀 및 그 제조방법
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JP2748072B2 (ja) 1992-07-03 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JP2889061B2 (ja) 1992-09-25 1999-05-10 ローム株式会社 半導体記憶装置およびその製法
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
US5358879A (en) 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
JPH07106435A (ja) * 1993-10-08 1995-04-21 Hitachi Ltd 半導体記憶装置及びその製造方法
KR0141218B1 (ko) 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
KR0151195B1 (ko) 1994-09-13 1998-10-01 문정환 박막 트랜지스터의 구조 및 제조방법
US5753947A (en) 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5574621A (en) * 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
DE19519160C1 (de) 1995-05-24 1996-09-12 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
DE19524092C2 (de) 1995-07-01 1997-08-07 Hewlett Packard Gmbh Verfahren und Vorrichtung zum Komprimieren und Anzeigen digitaler Daten, insbesondere der Herzfrequenz von Kardiotokographen
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US5892319A (en) * 1996-01-04 1999-04-06 Rossi; Paul Top and side firing spark plug
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
US5792687A (en) 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
TW304290B (en) 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5714786A (en) 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
JP4053647B2 (ja) 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US5869359A (en) 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6380026B2 (en) 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP3502531B2 (ja) 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
US5998835A (en) * 1998-02-17 1999-12-07 International Business Machines Corporation High performance MOSFET device with raised source and drain
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US6696746B1 (en) 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US5972754A (en) 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
KR100304717B1 (ko) * 1998-08-18 2001-11-15 김덕중 트렌치형게이트를갖는반도체장치및그제조방법
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
DE19845003C1 (de) 1998-09-30 2000-02-10 Siemens Ag Vertikaler Feldeffekttransistor mit innenliegendem ringförmigen Gate und Herstellverfahren
US6114205A (en) 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US6180494B1 (en) 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
KR100282452B1 (ko) 1999-03-18 2001-02-15 김영환 반도체 소자 및 그의 제조 방법
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
DE19943760C1 (de) 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP3450758B2 (ja) 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
JP4860022B2 (ja) 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
JP4363736B2 (ja) 2000-03-01 2009-11-11 新電元工業株式会社 トランジスタ及びその製造方法
DE10038728A1 (de) 2000-07-31 2002-02-21 Infineon Technologies Ag Halbleiterspeicher-Zellenanordnung und Verfahren zu deren Herstellung
AU2001286895A1 (en) 2000-08-29 2002-03-13 Boise State University Damascene double gated transistors and related manufacturing methods
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6391720B1 (en) 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
JP4635333B2 (ja) 2000-12-14 2011-02-23 ソニー株式会社 半導体装置の製造方法
US6864536B2 (en) 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
JP3944367B2 (ja) 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
US6759707B2 (en) 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
DE10111755C1 (de) 2001-03-12 2002-05-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle eines Halbleiterspeichers
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP4895430B2 (ja) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
EP1253634A3 (en) 2001-04-26 2005-08-31 Kabushiki Kaisha Toshiba Semiconductor device
US6498062B2 (en) 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
DE10125967C1 (de) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
JP2002353445A (ja) 2001-05-30 2002-12-06 Sony Corp 溝ゲート型電界効果トランジスタの製造方法
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
JP4246929B2 (ja) 2001-06-29 2009-04-02 株式会社東芝 半導体記憶装置およびその製造方法
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
KR100398955B1 (ko) 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
DE10139827A1 (de) 2001-08-14 2003-03-13 Infineon Technologies Ag Speicherzelle mit Grabenkondensator und vertikalem Auswahltransistor und einem zwischen diesen geformten ringförmigen Kontaktierungsbereich
US6800899B2 (en) 2001-08-30 2004-10-05 Micron Technology, Inc. Vertical transistors, electrical devices containing a vertical transistor, and computer systems containing a vertical transistor
KR100436287B1 (ko) 2001-11-17 2004-06-16 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6858500B2 (en) 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
DE10208249B4 (de) 2002-02-26 2006-09-14 Infineon Technologies Ag Halbleiterspeicher mit vertikalem Auswahltransistor
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
US6756625B2 (en) 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US20040034587A1 (en) 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
KR100521369B1 (ko) 2002-12-18 2005-10-12 삼성전자주식회사 고속도 및 저전력 소모 반도체 소자 및 그 제조 방법
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
KR20040061967A (ko) * 2002-12-31 2004-07-07 동부전자 주식회사 반도체 소자의 제조방법
JP2004281736A (ja) 2003-03-17 2004-10-07 Nec Electronics Corp 半導体記憶装置
KR100480645B1 (ko) 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
FR2853319B1 (fr) * 2003-04-03 2005-05-06 Rhodia Chimie Sa Composition reticulable pour electrolyte de batterie
US6967143B2 (en) 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
TW587338B (en) 2003-05-06 2004-05-11 Mosel Vitelic Inc Stop structure of trench type DMOS device and its formation method
JP3913709B2 (ja) 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
JP2004335031A (ja) 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
KR100521381B1 (ko) 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
KR100511045B1 (ko) * 2003-07-14 2005-08-30 삼성전자주식회사 리세스된 게이트 전극을 갖는 반도체 소자의 집적방법
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
KR100546378B1 (ko) 2003-09-09 2006-01-26 삼성전자주식회사 리세스 채널을 가지는 트랜지스터 제조 방법
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7468311B2 (en) 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
KR100521383B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 소자분리막 상에 형성된 소오스/드레인을 갖는 반도체소자 및 그 제조방법
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
KR100540371B1 (ko) 2004-03-02 2006-01-11 이태복 고 내압용 반도체 소자 및 그 제조방법
US7262089B2 (en) 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7122425B2 (en) 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
JP2006237455A (ja) 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
US7244659B2 (en) 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7214621B2 (en) 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7517741B2 (en) 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
JP4773182B2 (ja) 2005-10-28 2011-09-14 エルピーダメモリ株式会社 半導体装置の製造方法
TWI293207B (en) 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7349232B2 (en) 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
JP5070810B2 (ja) * 2006-11-14 2012-11-14 横河電機株式会社 フィルタホイール
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP2009058688A (ja) * 2007-08-30 2009-03-19 Seiko Epson Corp 液体現像剤および画像形成装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5798544A (en) * 1994-04-22 1998-08-25 Nec Corporation Semiconductor memory device having trench isolation regions and bit lines formed thereover
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
KR20050066879A (ko) * 2003-12-27 2005-06-30 동부아남반도체 주식회사 트랜치 아이솔레이션을 갖는 플래시 메모리 소자의 제조방법

Also Published As

Publication number Publication date
US20110117725A1 (en) 2011-05-19
US7384849B2 (en) 2008-06-10
US20060216894A1 (en) 2006-09-28
CN100536142C (zh) 2009-09-02
US8067286B2 (en) 2011-11-29
KR20070105376A (ko) 2007-10-30
EP1880421A1 (en) 2008-01-23
JP4962874B2 (ja) 2012-06-27
JP2008535217A (ja) 2008-08-28
EP1880421B1 (en) 2014-07-16
TWI314769B (en) 2009-09-11
EP2001054A2 (en) 2008-12-10
US20080166856A1 (en) 2008-07-10
CN101147257A (zh) 2008-03-19
WO2006104654A1 (en) 2006-10-05
EP2001054A3 (en) 2008-12-17
US7897460B2 (en) 2011-03-01
TW200644169A (en) 2006-12-16
EP2001054B1 (en) 2011-11-09
ATE533183T1 (de) 2011-11-15

Similar Documents

Publication Publication Date Title
KR100895568B1 (ko) 리세스된 액세스 디바이스 형성 방법
US8120101B2 (en) Semiconductor constructions and transistors, and methods of forming semiconductor constructions and transistors
US8048737B2 (en) Semiconductor device and method of fabricating the same
USRE46890E1 (en) Method of forming semiconductor device having contact pad on source/drain region in peripheral circuit area
US7491603B2 (en) Transistors of semiconductor device having channel region in a channel-portion hole and methods of forming the same
EP1390977A2 (en) Method for fabricating vertical transistor trench capacitor dram cells
US20050184326A1 (en) Deep-trench 1t-sram with buried out diffusion well merged with an ion implantation well
US6727168B2 (en) Method of forming local interconnects
US6080622A (en) Method for fabricating a DRAM cell capacitor including forming a conductive storage node by depositing and etching an insulative layer, filling with conductive material, and removing the insulative layer
US6780737B2 (en) Method of manufacturing semiconductor device with buried conductive lines
KR100541697B1 (ko) 디램의 셀 트랜지스터 제조방법
KR101004527B1 (ko) 반도체 장치의 제조 방법 및 반도체 장치
CN117096183A (zh) 半导体结构及其制备方法
CN114497041A (zh) 半导体结构及半导体结构的制作方法
KR20000038331A (ko) 반도체 메모리 소자의 제조 방법
KR20030000668A (ko) 반도체 소자의 콘택홀 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130404

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140401

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160318

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170322

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180418

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190422

Year of fee payment: 11