DE60108774D1 - Stabile alkalische zusammensetzungen zum reinigen von mikroelektronischen substraten - Google Patents

Stabile alkalische zusammensetzungen zum reinigen von mikroelektronischen substraten

Info

Publication number
DE60108774D1
DE60108774D1 DE60108774T DE60108774T DE60108774D1 DE 60108774 D1 DE60108774 D1 DE 60108774D1 DE 60108774 T DE60108774 T DE 60108774T DE 60108774 T DE60108774 T DE 60108774T DE 60108774 D1 DE60108774 D1 DE 60108774D1
Authority
DE
Germany
Prior art keywords
optionally
weight
water
alkaline compositions
metal ion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60108774T
Other languages
English (en)
Other versions
DE60108774T2 (de
Inventor
C Skee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AVANTOR PERFORMANCE MATERIALS, INC., PHILLIPSB, US
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/688,559 external-priority patent/US6585825B1/en
Application filed by Individual filed Critical Individual
Publication of DE60108774D1 publication Critical patent/DE60108774D1/de
Application granted granted Critical
Publication of DE60108774T2 publication Critical patent/DE60108774T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/22Light metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
DE60108774T 2000-10-16 2001-09-28 Stabile alkalische zusammensetzungen zum reinigen von mikroelektronischen substraten Expired - Lifetime DE60108774T2 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/688,559 US6585825B1 (en) 1998-05-18 2000-10-16 Stabilized alkaline compositions for cleaning microelectronic substrates
US688559 2000-10-16
US09/859,142 US6599370B2 (en) 2000-10-16 2001-05-16 Stabilized alkaline compositions for cleaning microelectronic substrates
US859142 2001-05-16
PCT/US2001/042406 WO2002033033A1 (en) 2000-10-16 2001-09-28 Stabilized alkaline compositions for cleaning microelectronic substrates

Publications (2)

Publication Number Publication Date
DE60108774D1 true DE60108774D1 (de) 2005-03-10
DE60108774T2 DE60108774T2 (de) 2006-03-16

Family

ID=27104249

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60108774T Expired - Lifetime DE60108774T2 (de) 2000-10-16 2001-09-28 Stabile alkalische zusammensetzungen zum reinigen von mikroelektronischen substraten

Country Status (14)

Country Link
US (1) US6599370B2 (de)
EP (1) EP1326951B1 (de)
JP (1) JP4758055B2 (de)
KR (1) KR20030051721A (de)
CN (1) CN1205325C (de)
AT (1) ATE288468T1 (de)
AU (1) AU2001296947A1 (de)
CA (1) CA2425613A1 (de)
DE (1) DE60108774T2 (de)
HK (1) HK1062310A1 (de)
IL (1) IL155429A0 (de)
MX (1) MXPA03003353A (de)
TW (1) TWI294909B (de)
WO (1) WO2002033033A1 (de)

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6825156B2 (en) * 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US6653243B2 (en) * 2000-05-25 2003-11-25 Micron Technology, Inc. Methods of cleaning surfaces of copper-containing materials, and methods of forming openings to copper-containing substrates
US6855679B2 (en) * 2000-06-19 2005-02-15 Lance L. Renfrow Detergent composition and method of using same
JP2002318455A (ja) * 2001-04-23 2002-10-31 Tosoh Corp 安定化方法
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US6589882B2 (en) * 2001-10-24 2003-07-08 Micron Technology, Inc. Copper post-etch cleaning process
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
WO2003091376A1 (en) * 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
JP3516446B2 (ja) 2002-04-26 2004-04-05 東京応化工業株式会社 ホトレジスト剥離方法
US7252718B2 (en) * 2002-05-31 2007-08-07 Ekc Technology, Inc. Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
EP1520211A2 (de) * 2002-06-07 2005-04-06 Mallinckrodt Baker, Inc. Oxydationsmittel und organische lösungsmittel enthaltende zusammensetzungen zum reinigen von mikroelektronischen substraten
WO2003104901A2 (en) 2002-06-07 2003-12-18 Mallinckrodt Baker Inc. Microelectronic cleaning and arc remover compositions
US7393819B2 (en) * 2002-07-08 2008-07-01 Mallinckrodt Baker, Inc. Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
DE10331033B4 (de) * 2002-07-12 2010-04-29 Ekc Technology K.K. R&D Business Park Bldg. D-3F, Kawasaki Herstellungsverfahren einer Halbleitervorrichtung und Reinigungszusammensetzung dafür
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6641986B1 (en) * 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
ES2310677T3 (es) * 2002-10-22 2009-01-16 Ekc Technology, Inc. Composiciones acuosas a base de acido fosforico para la limpieza de dispositivos semiconductores.
KR20050084939A (ko) * 2002-11-05 2005-08-29 메르크 파텐트 게엠베하 반도체 표면 처리 방법 및 이에 사용되는 혼합물
AU2003277597A1 (en) * 2002-11-08 2004-06-07 Wako Pure Chemical Industries, Ltd. Cleaning composition and method of cleaning therewith
WO2004042812A1 (ja) * 2002-11-08 2004-05-21 Fujimi Incorporated 研磨用組成物及びリンス用組成物
US6803353B2 (en) * 2002-11-12 2004-10-12 Atofina Chemicals, Inc. Copper chemical mechanical polishing solutions using sulfonated amphiprotic agents
US7459005B2 (en) * 2002-11-22 2008-12-02 Akzo Nobel N.V. Chemical composition and method
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
US20040220066A1 (en) * 2003-05-01 2004-11-04 Rohm And Haas Electronic Materials, L.L.C. Stripper
JP3828511B2 (ja) * 2003-06-26 2006-10-04 株式会社東芝 半導体装置の製造方法
ATE376050T1 (de) * 2003-06-27 2007-11-15 Imec Inter Uni Micro Electr Halbleiterreinigungslösung
US7306663B2 (en) * 2003-08-05 2007-12-11 Halox, Division Of Hammond Group, Inc. Corrosion inhibitor
BRPI0413657A (pt) * 2003-08-19 2006-10-24 Mallinckrodt Baker Inc Composição aquosa, isenta de silicato, para limpeza de substratos microeletrÈnicos, bem como processo para limpeza de um substrato microeletrÈnico sem produzir qualquer corrosão metálica substancial
US20050049162A1 (en) * 2003-08-29 2005-03-03 Schlosser Ted M. Petroleum-free, ammonia-free cleaner for firearms and ordnance
TWI362415B (en) 2003-10-27 2012-04-21 Wako Pure Chem Ind Ltd Novel detergent and method for cleaning
CN1875325B (zh) * 2003-10-29 2011-01-26 马林克罗特贝克公司 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物
US7432233B2 (en) * 2003-12-18 2008-10-07 Interuniversitair Microelektronica Centrum (Imec) Composition and method for treating a semiconductor substrate
EP1715510B2 (de) 2004-02-09 2016-02-24 Mitsubishi Chemical Corporation Substratreinigungsflüssigkeit für ein halbleiterbauelement und reinigungsverfahren
US7498295B2 (en) * 2004-02-12 2009-03-03 Air Liquide Electronics U.S. Lp Alkaline chemistry for post-CMP cleaning comprising tetra alkyl ammonium hydroxide
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US20050227482A1 (en) * 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
DE102004017440A1 (de) * 2004-04-08 2005-11-03 Enthone Inc., West Haven Verfahren zur Behandlung von laserstrukturierten Kunststoffoberflächen
KR100585139B1 (ko) * 2004-04-12 2006-05-30 삼성전자주식회사 웨이퍼 세정액의 금속 측정 시약과 웨이퍼 세정액의 금속오염 모니터링 장치 및 방법
JP4369284B2 (ja) * 2004-04-19 2009-11-18 東友ファインケム株式会社 レジスト剥離剤
KR101082018B1 (ko) * 2004-05-07 2011-11-10 주식회사 동진쎄미켐 레지스트 제거용 조성물
JP2007536566A (ja) * 2004-05-07 2007-12-13 ドウジン セミケム カンパニー リミテッド (フォト)レジスト除去用組成物
KR101102800B1 (ko) * 2004-08-31 2012-01-05 산요가세이고교 가부시키가이샤 계면 활성제
JP4628209B2 (ja) * 2004-11-18 2011-02-09 花王株式会社 剥離剤組成物
PT1828848E (pt) * 2004-12-10 2010-05-21 Mallinckrodt Baker Inc Composições de limpeza para microelectrónica, não aquosas e não corrosivas, contendo inibidores da corrosão poliméricos
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
WO2006081406A1 (en) * 2005-01-27 2006-08-03 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7727949B2 (en) * 2005-03-04 2010-06-01 Wako Pure Chemical Industries, Ltd. Cleaning agent for thermostatic chambers
US20090288688A1 (en) * 2005-03-11 2009-11-26 Ron Rulkens Non-corrosive chemical rinse system
WO2006110279A1 (en) * 2005-04-08 2006-10-19 Sachem, Inc. Selective wet etching of metal nitrides
EP1877530A4 (de) * 2005-04-15 2010-06-09 Advanced Tech Materials Entfernen von hochionendotiertem photolack mit selbstorganisierten monoschichten in lösungsmittelsystemen
US20070251551A1 (en) * 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
US20060280860A1 (en) * 2005-06-09 2006-12-14 Enthone Inc. Cobalt electroless plating in microelectronic devices
US7316977B2 (en) * 2005-08-24 2008-01-08 Air Products And Chemicals, Inc. Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
KR101444468B1 (ko) * 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
CN101421386B (zh) * 2005-10-13 2011-08-10 高级技术材料公司 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物
CN100526448C (zh) * 2005-11-03 2009-08-12 比亚迪股份有限公司 一种边胶清洗剂
US7367343B2 (en) * 2006-01-23 2008-05-06 Micron Technology, Inc. Method of cleaning a surface of a cobalt-containing material, method of forming an opening to a cobalt-containing material, semiconductor processing method of forming an integrated circuit comprising a copper-containing conductive line, and a cobalt-containing film cleaning solution
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
TWI417683B (zh) * 2006-02-15 2013-12-01 Avantor Performance Mat Inc 用於微電子基板之穩定化,非水性清潔組合物
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
KR100729235B1 (ko) * 2006-06-01 2007-06-15 삼성전자주식회사 프로브 카드용 세정액 조성물 및 이를 이용한 프로브카드의 세정 방법
US8325894B2 (en) * 2006-08-03 2012-12-04 International Business Machines Corporation Method, system, and program product for managing conference calls
JP4864617B2 (ja) * 2006-09-21 2012-02-01 株式会社東芝 洗浄液及びノズルプレート洗浄方法
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20100056410A1 (en) * 2006-09-25 2010-03-04 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
CN101201557A (zh) * 2006-12-15 2008-06-18 安集微电子(上海)有限公司 清洗厚膜光刻胶的清洗剂
US8699684B2 (en) 2006-12-20 2014-04-15 International Business Machines Corporation Method, system, and program product for contacting conference call participants
SG10201610631UA (en) * 2006-12-21 2017-02-27 Entegris Inc Liquid cleaner for the removal of post-etch residues
TWI509690B (zh) 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
CA2677964A1 (en) * 2007-02-14 2008-08-21 Mallinckrodt Baker, Inc. Peroxide activated oxometalate based formulations for removal of etch residue
TW200842970A (en) * 2007-04-26 2008-11-01 Mallinckrodt Baker Inc Polysilicon planarization solution for planarizing low temperature poly-silicon thin filim panels
KR101561708B1 (ko) * 2007-05-17 2015-10-19 인티그리스, 인코포레이티드 Cmp후 세정 제제용 신규한 항산화제
US7976723B2 (en) * 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
JP5142592B2 (ja) * 2007-06-06 2013-02-13 関東化学株式会社 基板の洗浄またはエッチングに用いられるアルカリ性水溶液組成物
TW200916571A (en) * 2007-08-02 2009-04-16 Advanced Tech Materials Non-fluoride containing composition for the removal of residue from a microelectronic device
US20090120457A1 (en) * 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
ES2386692T3 (es) 2007-11-13 2012-08-27 Sachem, Inc. Composición de silsesquioxano poliédrico con potencial zeta negativo elevado y método para la limpieza húmeda de semiconductores sin daños
US8404626B2 (en) * 2007-12-21 2013-03-26 Lam Research Corporation Post-deposition cleaning methods and formulations for substrates with cap layers
CN101226346B (zh) * 2007-12-27 2010-06-09 周伟 光致抗蚀剂的脱膜工艺及在该工艺中使用的第一组合物、第二组合物和脱膜剂水溶液
JP4278705B1 (ja) * 2008-01-16 2009-06-17 メック株式会社 エッチング液
JP2010034178A (ja) * 2008-07-28 2010-02-12 Mitsubishi Gas Chemical Co Inc シリコンエッチング液およびエッチング方法
KR101752684B1 (ko) * 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
US7700535B1 (en) * 2009-01-12 2010-04-20 Ppt Research Wafer/Ingot cleaning in wire saw cutting comprising an ethoxylated alcohol/polyalkylsiloxane mixture
WO2010091045A2 (en) * 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
BRPI1008034A2 (pt) * 2009-02-25 2016-03-15 Avantor Performance Mat Inc composições removedoras para limpeza de fotorresistor implantado por íons de discos de silício de dispositivos semicondutores
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
CN102124414B (zh) * 2009-04-17 2014-04-02 长瀬化成株式会社 光致抗蚀剂剥离剂组合物以及光致抗蚀剂剥离方法
JP5431014B2 (ja) * 2009-05-01 2014-03-05 関東化学株式会社 しゅう酸インジウム溶解剤組成物
US8765653B2 (en) * 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
SG170691A1 (en) 2009-10-14 2011-05-30 Rohm & Haas Elect Mat Method of cleaning and micro-etching semiconductor wafers
TW201140254A (en) * 2009-12-11 2011-11-16 Advanced Tech Materials Removal of masking material
US8367555B2 (en) * 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
CN102134529B (zh) * 2010-01-21 2012-11-28 奇美实业股份有限公司 用于清洗太阳能电池基板的洗净液组成物
US9045717B2 (en) * 2010-01-29 2015-06-02 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
KR101829399B1 (ko) * 2010-03-04 2018-03-30 삼성전자주식회사 감광성 수지 제거제 조성물 및 이를 이용하는 반도체 제조 공정
SG186108A1 (en) 2010-06-09 2013-01-30 Basf Se Aqueous alkaline etching and cleaning composition and method for treating the surface of silicon substrates
SG187551A1 (en) 2010-07-16 2013-03-28 Advanced Tech Materials Aqueous cleaner for the removal of post-etch residues
CN103003405B (zh) * 2010-07-19 2016-04-13 巴斯夫欧洲公司 含水碱性清洁组合物及其应用方法
CN101937687A (zh) * 2010-07-21 2011-01-05 河北工业大学 计算机硬盘磷化铟基片cmp后表面洁净方法
JP5591623B2 (ja) * 2010-08-13 2014-09-17 AzエレクトロニックマテリアルズIp株式会社 リソグラフィー用リンス液およびそれを用いたパターン形成方法
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
DE102011050136A1 (de) 2010-09-03 2012-03-08 Schott Solar Ag Verfahren zum nasschemischen Ätzen einer Siliziumschicht
US20120073607A1 (en) * 2010-09-27 2012-03-29 Eastman Chemical Company Polymeric or monomeric compositions comprising at least one mono-amide and/or at least one diamide for removing substances from substrates and methods for using the same
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
US8889609B2 (en) 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
KR102003530B1 (ko) * 2011-07-08 2019-10-17 헤레우스 프레셔스 메탈스 게엠베하 운트 코. 카게 적층체의 제조 공정 및 이로부터 얻어지는 적층체
EP2557147B1 (de) 2011-08-09 2015-04-01 Basf Se Wässrige basische Lösung und Verfahren zur Behandlung der Oberfläche von Siliziumsubstraten
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN102411269A (zh) * 2011-11-18 2012-04-11 西安东旺精细化学有限公司 光致抗蚀剂膜的剥离液组合物
JP6066552B2 (ja) * 2011-12-06 2017-01-25 関東化學株式会社 電子デバイス用洗浄液組成物
JP6329909B2 (ja) 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
WO2013123317A1 (en) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
EP2850495A4 (de) 2012-05-18 2016-01-20 Entegris Inc Zusammensetzung und verfahren zum entfernen von fotolack von einer oberfläche mit titannitrid
JP2014170927A (ja) * 2013-02-06 2014-09-18 Mitsubishi Chemicals Corp 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
JP6203525B2 (ja) * 2013-04-19 2017-09-27 関東化學株式会社 洗浄液組成物
CN105143517A (zh) * 2013-04-22 2015-12-09 高级技术材料公司 铜清洁和保护配制物
US20160122696A1 (en) * 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
CN103676503A (zh) * 2013-09-24 2014-03-26 刘超 光刻胶剥离剂组合物
CN103513521A (zh) * 2013-09-24 2014-01-15 刘超 负型光刻胶剥离剂组合物
US9291910B2 (en) * 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
CN103509657A (zh) * 2013-10-17 2014-01-15 太仓康茂电子有限公司 零部件表面清洁方法
CN105849245B (zh) * 2013-10-21 2020-03-13 富士胶片电子材料美国有限公司 用于去除表面上残余物的清洗调配物
KR20190035959A (ko) 2013-12-06 2019-04-03 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
CN103806009A (zh) * 2013-12-16 2014-05-21 许桂顺 一种中性硫酸盐清洗剂
US11127587B2 (en) * 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US9957469B2 (en) * 2014-07-14 2018-05-01 Versum Materials Us, Llc Copper corrosion inhibition system
WO2016028913A1 (en) 2014-08-19 2016-02-25 Geo-Tech Polymers, Llc System for coating removal
JP6501492B2 (ja) 2014-10-31 2019-04-17 関東化學株式会社 フォトレジスト残渣および/またはポリマー残渣を除去するための組成物
JP6486652B2 (ja) * 2014-10-31 2019-03-20 東京応化工業株式会社 リソグラフィー用洗浄液、及び基板の洗浄方法
CN104570629B (zh) * 2015-02-14 2016-04-13 江阴江化微电子材料股份有限公司 —种液晶面板铜膜光阻水系剥离液
US9976111B2 (en) * 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
KR102183400B1 (ko) * 2015-06-23 2020-11-26 주식회사 이엔에프테크놀로지 세정액 조성물
WO2017070366A1 (en) 2015-10-20 2017-04-27 Geo-Tech Polymers, Llc Recycling of fibrous surface coverings
KR20170111411A (ko) * 2016-03-28 2017-10-12 동우 화인켐 주식회사 레지스트 박리액 조성물, 및 디스플레이 장치용 플랫 패널의 제조방법 및 그에 의해 제조된 디스플레이 장치용 플랫 패널, 및 디스플레이 장치
US10073352B2 (en) 2016-04-12 2018-09-11 Versum Materials Us, Llc Aqueous solution and process for removing substances from substrates
JP6893562B2 (ja) * 2017-01-17 2021-06-23 インテグリス・インコーポレーテッド 進歩したノードbeol処理のためのエッチング後残留物除去
KR101789251B1 (ko) * 2017-03-17 2017-10-26 영창케미칼 주식회사 화학적 기계적 연마 후 세정용 조성물
CN107026120B (zh) * 2017-03-30 2019-07-23 深圳市华星光电半导体显示技术有限公司 一种阵列基板的制作方法
CN107338126A (zh) * 2017-06-23 2017-11-10 昆山欣谷微电子材料有限公司 一种水基微电子剥离和清洗液组合物
US10934485B2 (en) * 2017-08-25 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon over silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
US11441109B2 (en) * 2018-03-14 2022-09-13 Mitsubishi Gas Chemical Company, Inc. Cleaning solution for removing dry etching residue and method for manufacturing semiconductor substrate using same
US10752867B2 (en) 2018-03-28 2020-08-25 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
US11742196B2 (en) * 2018-05-24 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for metallic deionization
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
US11180697B2 (en) * 2018-11-19 2021-11-23 Versum Materials Us, Llc Etching solution having silicon oxide corrosion inhibitor and method of using the same
WO2020129737A1 (ja) * 2018-12-18 2020-06-25 株式会社トクヤマ シリコンエッチング液
WO2020194418A1 (ja) * 2019-03-25 2020-10-01 パナソニックIpマネジメント株式会社 レジスト剥離液
CN113201743B (zh) * 2021-04-08 2022-06-21 浙江工业大学 一种适用于电子器件的除锈剂及其制备方法
EP4282945A3 (de) * 2022-05-27 2024-03-13 Samsung Electronics Co., Ltd. Reinigungsmittel, verfahren zur reinigung metallhaltiger filme und verfahren zur herstellung von halbleitern
CN115074734B (zh) * 2022-08-22 2022-11-08 深圳市板明科技股份有限公司 一种铝基材线路板用减铜添加剂及其制备方法和使用方法
CN116144362B (zh) * 2023-03-13 2023-11-21 大连奥首科技有限公司 一种无醇型单晶硅快速制绒添加剂、及包含其的制绒液、其制备方法及用途

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4443295A (en) 1983-06-13 1984-04-17 Fairchild Camera & Instrument Corp. Method of etching refractory metal film on semiconductor structures utilizing triethylamine and H2 O2
EP0496605B1 (de) * 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Lösungen zur Oberflächenbehandlung von Halbleitern
US5753601A (en) * 1991-01-25 1998-05-19 Ashland Inc Organic stripping composition
US5139607A (en) * 1991-04-23 1992-08-18 Act, Inc. Alkaline stripping compositions
US5308745A (en) * 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
JP2911792B2 (ja) * 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
US6121127A (en) 1996-06-14 2000-09-19 Toyoda Gosei Co., Ltd. Methods and devices related to electrodes for p-type group III nitride compound semiconductors
ATE312895T1 (de) * 1996-07-25 2005-12-15 Dupont Air Prod Nanomaterials Zusammensetzung und verfahren zum chemisch- mechanischen polieren
US5817610A (en) * 1996-09-06 1998-10-06 Olin Microelectronic Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6268323B1 (en) * 1997-05-05 2001-07-31 Arch Specialty Chemicals, Inc. Non-corrosive stripping and cleaning composition
US6130195A (en) 1997-11-03 2000-10-10 Kyzen Corporation Cleaning compositions and methods for cleaning using cyclic ethers and alkoxy methyl butanols
DK1105778T3 (da) * 1998-05-18 2009-10-19 Mallinckrodt Baker Inc Silikatholdige alkaliske sammensætninger til rensning af mikorelektroniske substrater

Also Published As

Publication number Publication date
WO2002033033A1 (en) 2002-04-25
AU2001296947A1 (en) 2002-04-29
JP2004511917A (ja) 2004-04-15
US20020077259A1 (en) 2002-06-20
KR20030051721A (ko) 2003-06-25
EP1326951B1 (de) 2005-02-02
CN1469918A (zh) 2004-01-21
TWI294909B (en) 2008-03-21
IL155429A0 (en) 2003-11-23
EP1326951A1 (de) 2003-07-16
HK1062310A1 (en) 2004-10-29
DE60108774T2 (de) 2006-03-16
ATE288468T1 (de) 2005-02-15
MXPA03003353A (es) 2004-12-02
CA2425613A1 (en) 2002-04-25
CN1205325C (zh) 2005-06-08
US6599370B2 (en) 2003-07-29
JP4758055B2 (ja) 2011-08-24

Similar Documents

Publication Publication Date Title
ATE288468T1 (de) Stabile alkalische zusammensetzungen zum reinigen von mikroelektronischen substraten
MY121446A (en) Silicate-containing alkaline compositions for cleaning microelectronic substrates
WO2002004233A8 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
DE602004009595D1 (de) Ablös- und reinigungszusammensetzungen für die mikroelektronik
MY117049A (en) Composition for stripping photoresist and organic materials from substrate surfaces
TW200630482A (en) Aqueous based residue removers comprising fluoride
MY127401A (en) Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
DE60028962D1 (de) Zusammensetzungen zur reinigung und entfernung von organischen sowie plasmaätzrückständen auf halbleitervorrichtungen
ATE405622T1 (de) Wässrige phosphorsäurezusammensetzung zur reinigung von halbleiter-vorrichtungen
DK2111445T3 (da) Peroxidaktiveret oxometalatbaserede formuleringer til fjernelse af ætsrester
DE60041497D1 (de) Lactam-zusammensetzungen zum entfernen von organischen und plasma-geätzten rückständen auf halbleitern
ATE420941T1 (de) Chemische spülzusammensetzung
ATE367460T1 (de) 1,3-dicarbonylverbindungen enthaltende halbleiterstrippzusammensetzung
ATE340244T1 (de) Nichtkorrosive reinigungszusammensetzung zur entfernung von plasmaätzrückständen
JP2008541426A (ja) エッチングおよび灰化後のフォトレジスト残渣およびバルクのフォトレジストを除去するための組成物
DE60238244D1 (de) Wässriges reinigungsmittel mit kupferspezifischem korrosionsschutzmittel zur abreinigung anorganischer reste von halbleitersubstraten
MY124511A (en) Stabilized alkaline compositions for cleaning microelectronic substrates.
TH56882B (th) สารผสมแอลคาลินที่ถูกทำให้เสถียรแล้วสำหรับทำความสะอาดไมโครอิเล็คทริค ซับสเทรท

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: AVANTOR PERFORMANCE MATERIALS, INC., PHILLIPSB, US