JP3516446B2 - ホトレジスト剥離方法 - Google Patents

ホトレジスト剥離方法

Info

Publication number
JP3516446B2
JP3516446B2 JP2002308993A JP2002308993A JP3516446B2 JP 3516446 B2 JP3516446 B2 JP 3516446B2 JP 2002308993 A JP2002308993 A JP 2002308993A JP 2002308993 A JP2002308993 A JP 2002308993A JP 3516446 B2 JP3516446 B2 JP 3516446B2
Authority
JP
Japan
Prior art keywords
photoresist
substrate
layer
water
low dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002308993A
Other languages
English (en)
Other versions
JP2004029696A (ja
Inventor
滋 横井
和正 脇屋
高之 原口
Original Assignee
東京応化工業株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2002308993A priority Critical patent/JP3516446B2/ja
Application filed by 東京応化工業株式会社 filed Critical 東京応化工業株式会社
Priority to EP03720958A priority patent/EP1550912A4/en
Priority to TW092109758A priority patent/TW200307186A/zh
Priority to CNA038092980A priority patent/CN1650235A/zh
Priority to AU2003235130A priority patent/AU2003235130A1/en
Priority to KR1020047017197A priority patent/KR100781925B1/ko
Priority to US10/512,586 priority patent/US20050176259A1/en
Priority to PCT/JP2003/005336 priority patent/WO2003091806A1/ja
Publication of JP2004029696A publication Critical patent/JP2004029696A/ja
Application granted granted Critical
Publication of JP3516446B2 publication Critical patent/JP3516446B2/ja
Priority to US11/889,394 priority patent/US20070298619A1/en
Priority to US12/219,120 priority patent/US20080280452A1/en
Priority to US12/458,992 priority patent/US20090291565A1/en
Priority to US12/883,592 priority patent/US8354215B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は少なくとも銅配線と
低誘電体層を有する基板上に設けたホトレジストを剥離
する方法に関する。本発明は特に、ICやLSI等の半
導体素子等の製造において、従来から慣用されているO
2プラズマアッシング工程を含まないプロセスでのホト
レジストの剥離方法に好適に適用される。
【0002】
【従来の技術】ICやLSI等の半導体素子は、シリコ
ンウェーハ等の基板上にCVD蒸着等により形成された
導電性金属層、絶縁層や低誘電体層上にホトレジストを
均一に塗布し、これを選択的に露光、現像処理をしてホ
トレジストパターンを形成し、このパターンをマスクと
して上記CVD蒸着された導電性金属層、絶縁層や低誘
電体層を選択的にエッチングし、微細回路を形成した
後、不要のホトレジスト層を剥離液で除去して製造され
る。
【0003】近年、半導体素子の高集積化とチップサイ
ズの縮小化に伴い、配線回路の微細化および多層化が進
む中、半導体素子では用いる金属層の抵抗(配線抵抗)
と配線容量に起因する配線遅延なども問題視されるよう
になってきた。このため、配線材料として従来おもに使
用されてきたアルミニウム(Al)よりも抵抗の少ない
金属、例えば銅(Cu)などを用いることが提案され、
最近では、Al配線(Al、Al合金など、Alを主成
分とする金属配線)を用いたものと、Cu配線(Cuを
主成分とする金属配線)を用いたものの2種類のデバイ
スが用いられるようになってきた。
【0004】Cu金属配線の形成においては、Cuのエ
ッチング耐性が低いこともあり、デュアルダマシン法を
用いて、CuをエッチングすることなくCu多層配線を
形成する方法が用いられている。デュアルダマシン法と
しては種々の方法が提案されているが、その一例を挙げ
ると、基板上にCu層、低誘電体層(SiOC層など)
等を積層した後、最上層にホトレジスト層を設け、次い
で該ホトレジスト層を選択的に露光、現像してホトレジ
ストパターンを形成する。このホトレジストパターンを
マスクとして低誘電体層をエッチング後、O2プラズマ
アッシング処理等によりホトレジストパターンを剥離
し、基板上のCu層に連通するビアホールを形成する。
次いで、残存する多層積層の最上層に新たにホトレジス
トパターンを形成し、これをマスクとして残存する低誘
電体層を部分的にエッチングして、前記ビアホールに連
通する配線用の溝(トレンチ)を形成する。そしてO2
プラズマアッシング処理等によりホトレジストパターン
を剥離した後、ビアホール、トレンチ内に電解めっき等
によりCuを充填することにより、多層Cu配線が形成
される。
【0005】なお、Cu層と低誘電体層との間にエッチ
ングストッパー層としてのバリア層(SiN層、SiC
層など)を設けた基板を用いる場合もある。このような
場合は、ビアホールやトレンチを形成(エッチング)し
た後、基板上に露出するバリア層を残存させたまま、あ
るいは該バリア層を除去した後、ホトレジスト剥離処理
を行い、次いでビアホール、トレンチ内にCuを充填す
る。
【0006】このようなデュアルダマシン法において
は、上記ビアホール、トレンチ形成のエッチング処理、
プラズマアッシング処理等により、低誘電体層に由来す
るSi系残渣物(Siデポジション)が発生しやすく、
これがトレンチの開口部外周にSiデポジションとして
残渣物が形成されることがある。またホトレジスト由来
の残渣物も発生しやすい。したがってこれら残渣物が完
全に除去されないと、半導体製造の歩留まりの低下をき
たすなどの問題を生じる。
【0007】このように従来、金属配線パターン形成で
は、ホトレジストパターンやエッチング後残渣物の除去
にO2プラズマアッシング処理を用いていた。しかしパ
ターンの超微細化が進む中、銅配線基板に用いられる低
誘電体層は、より低い誘電率の材料が使用されるように
なり、現在では誘電率が3以下の低誘電体層を用いるプ
ロセスが開発されている段階にある。このような低誘電
率の材料(low−k材)はアッシング耐性が弱い若しくは
アッシング耐性がないともいわれ、low−k材を用いる場
合は、エッチング後、O2プラズマアッシング工程を行
わないプロセスを採用する必要がある。
【0008】したがって微細化、多層化が進んだ半導体
素子製造におけるホトリソグラフィーにおいて、従来か
ら慣用されているO2プラズマアッシング処理を行わな
いプロセスにおいても、O2プラズマアッシング工程を
採用するプロセスと同程度若しくはそれ以上のホトレジ
スト剥離性、エッチング後残渣物の剥離性に優れるホト
レジスト剥離方法の開発が急務となっている。
【0009】また銅配線を用いたデュアルダマシン法に
おいて、バリア層(エッチングストッパー層)を有する
基板を用いてCu層上にバリア層を残存させたままホト
レジストやエッチング後残渣物の剥離を行う場合は、C
u層をホトレジスト用剥離液に直接接触させることなく
剥離処理を行うことができるので、それに応じてこれら
剥離処理をより効率的に行うことが望ましい。
【0010】なお、特開平11−74180号公報(特
許文献1)には、ホトレジストの剥離に先立ち、Al等
の金属配線を用いた半導体基板を酸化剤(過酸化水素)
を含有する洗浄液で洗浄した後、剥離液を使用してホト
レジスト剥離を行う技術が示されている。そして上記剥
離液として、同文献1の段落番号0007には、アルカ
ノールアミンを主剤とする剥離液、フッ素系剥離液とと
もに、特開昭63−147168号公報(特許文献2)
に記載されるようなテトラメチルヒドロキシルアンモニ
ウム(TMAH)系剥離液も用いられるとして一行記載
されている。しかしながら、特許文献1で実際に効果の
確認を行っている剥離液はモノエタノーールアミン系の
ものであり、また特許文献1、2のいずれにも、本願発
明が企図するようなデュアルダマシン法に適したホトレ
ジスト剥離方法に関する記載や示唆は全くない。
【0011】また特開平11−233405号公報(特
許文献3)には、Al等の金属配線を用いた半導体基板
をドライエッチング後、ホトレジストパターンを酸化剤
および有機酸からなる洗浄液で洗浄した後、レジスト剥
離液で除去する半導体素子の製造方法が示されている
が、該公報においても、実際に効果の確認を行っている
剥離液はモノエタノールアミン系のものであり、また本
願発明が企図するようなデュアルダマシン法に適したホ
トレジスト剥離方法に関する記載、示唆は全くない。
【0012】
【特許文献1】特開平11−74180号公報
【特許文献2】特開昭63−147168号公報
【特許文献3】特開平11−233405号公報
【0013】
【発明が解決しようとする課題】本発明は上記事情に鑑
みてなされたもので、少なくとも銅配線と低誘電体層を
有する基板の微細パターン形成において、O2プラズマ
アッシング処理を行わないプロセスにおいても、エッチ
ング後のホトレジスト膜、エッチング残渣物を効果的に
剥離することができ、しかも低誘電体層の誘電率への悪
影響を及ぼさず、防食性にも優れるホトレジスト剥離方
法を提供することを目的とする。
【0014】
【課題を解決するための手段】 上記課題を解決するた
めに本発明は、(I)少なくとも銅配線と誘電率が3以
下の低誘電体層を有する基板上に設けられたホトレジス
トパターンをマスクとして低誘電体層を選択的にエッチ
ングする工程、(II)前記(I)工程を経た基板をオゾ
ン水および/または過酸化水素水に接触させる工程、お
よび(III)前記(II)工程を経た基板を、 2 プラズマ
アッシング処理を行うことなく、第4級アンモニウム水
酸化物を1〜20質量%、水を5〜60質量%、および
水溶性有機溶媒を含有するホトレジスト用剥離液(ただ
し、前記( II )工程において基板を過酸化水素水に接触
させる工程を含む場合、該ホトレジスト用剥離液は、前
記第4級アンモニウム水酸化物以外の水溶性アミンを含
まないものとする)に接触させる工程を含む、ホトレジ
スト剥離方法を提供する。
【0015】
【発明の実施の形態】以下、本発明について詳述する。
【0016】(I)工程:
【0017】公知のホトリソグラフィー技術を適用する
ことができる。例えば、シリコンウェーハ等の基板上に
銅(Cu)配線を形成し、この上に低誘電体層を形成す
る。所望により、中間層としてCu配線上にバリア層
(エッチングストッパー層)を設けてもよく、また、絶
縁層等を設け、多層積層させてもよい。
【0018】本発明において、銅(Cu)配線とは、純
銅からなる配線、銅を主成分として含む銅合金(例えば
Al−Si−Cu、Al−Cu等)からなる配線のいず
れも含む。本発明では金属配線としてAl系配線など、
銅配線以外の金属配線を形成してもよい。金属層の形成
はCVD蒸着、電解めっき法などにより行われるが、特
に限定されるものでない。
【0019】バリア層(エッチングストッパー層)とし
ては、SiN層、SiC層、Ta層、TaN層などが挙
げられるが、これら例示に限定されるものでない。
【0020】低誘電体層としては、本発明では誘電率3
以下の材料が用いられる。なお誘電率とは、電束密度を
D、電界の強さをEとすれば、D=εEの関係で示され
る比例の定数(ε)をいう。
【0021】上記低誘電体層としては、「ブラックダイ
アモンド」(Applied Materials社製)、「コーラル」
(Novelus Systems社製)、「Aurora」(日本ASM
社製)などのカーボンドープドシリコンオキシド(Si
OC)系材料;「OCD T−7」、「OCD T−
9」、「OCD T−11」、「OCD T−31」、
「OCD T−39」(いずれも東京応化工業社製)な
どのMSQ(メチルシルセスキオキサン)系材料;「O
CD T−12」、「OCD T−32」(いずれも東
京応化工業社製)などのHSQ(ヒドロキシシルセスキ
オキサン)系材料等の低誘電率材料(low-k材料)が好
ましいものとして挙げられるが、これら例示に限定され
るものでない。
【0022】低誘電体層の形成は、上記例示した低誘電
率材料(low-k材料)を塗布後、通常350℃以上の高
温で焼成して結晶化することにより行われる。
【0023】次いでホトレジスト組成物を低誘電体層上
に塗布、乾燥した後、露光、現像等により公知のホトリ
ソグラフィー技術によりホトレジストパターンを形成す
る。
【0024】ホトレジスト組成物としては、KrF、A
rF、F2エキシマレーザー、あるいは電子線用に慣用
されるホトレジスト組成物が好適に用いられるが、特に
限定されるものでない。
【0025】露光、現像条件は、目的に応じて用いるホ
トレジストにより適宜、選択し得る。露光は、例えば紫
外線、遠紫外線、エキシマレーザ、X線、電子線などの
活性光線を発光する光源、例えば、低圧水銀灯、高圧水
銀灯、超高圧水銀灯、キセノンランプ等により、所望の
マスクパターンを介してホトレジスト層を露光するか、
あるいは電子線を操作しながらホトレジスト層に描画す
る。その後、必要に応じて露光後加熱処理(ポストエク
スポージャーベーク)を行う。
【0026】現像方法は特に限定されるものでなく、例
えばホトレジストが塗布された基板を現像液に一定時間
浸漬した後、水洗して乾燥する浸漬現像、塗布されたホ
トレジストの表面に現像液を滴下し、一定時間静置した
後、水洗乾燥するパドル現像、ホトレジスト表面に現像
液をスプレーした後に水洗乾燥するスプレー現像等、目
的に応じた種々の現像を行うことができる。
【0027】次いで、形成されたホトレジストパターン
をマスクとして、上記低誘電体層を選択的にドライエッ
チング等によりエッチングし、ビアホールあるいはトレ
ンチ(配線用の溝)を形成する。本発明では、デユアル
ダマシン法などが好適に適用される。
【0028】(II)工程:
【0029】上記エッチング工程後の基板を、オゾン水
および/または過酸化水素水に接触させる。該(II)工
程は、後続の(III)工程に先立ち、ホトレジストパタ
ーン、エッチング後残渣物等の分解を目的とする。
【0030】オゾン水は、純水中にオゾンガスをバブリ
ング等の手段により溶解させたものを用いるのが好まし
い。オゾン含有濃度は1ppm以上〜飽和濃度が望まし
い。過酸化水素水は濃度0.1〜60質量%程度の水溶
液が好ましく、特には0.5〜35質量%程度の水溶液
が好ましい。
【0031】接触の方法は、上記現像液処理の場合に示
したような浸漬法、パドル法、シャワー法等が挙げられ
る。好ましくはオゾン水および/または過酸化水素水に
5〜60分間程度浸漬する。
【0032】(III)工程:
【0033】前記(II)工程を経た基板を、 2 プラズ
マアッシング処理を行うことなく、第4級アンモニウム
水酸化物を1〜20質量%、水を5〜60質量%、およ
び水溶性有機溶媒を含有するホトレジスト用剥離液(た
だし、前記( II )工程において基板を過酸化水素水に接
触させる工程を含む場合、該ホトレジスト用剥離液は、
前記第4級アンモニウム水酸化物以外の水溶性アミンを
含まないものとする)に接触させて、ホトレジストパタ
ーン、エッチング後残渣物を除去する。
【0034】上記第4級アンモニウム水酸化物として
は、下記一般式(I)
【0035】
【0036】〔式中、R1、R2、R3、R4は、それぞれ
独立に、炭素原子数1〜4のアルキル基またはヒドロキ
シアルキル基を示す〕で表される第4級アンモニウム水
酸化物が好ましい。具体的には、テトラメチルアンモニ
ウムヒドロキシド〔=TMAH〕、テトラエチルアンモ
ニウムヒドロキシド、テトラプロピルアンモニウムヒド
ロキシド、テトラブチルアンモニウムヒドロキシド、メ
チルトリプロピルアンモニウムヒドロキシド、メチルト
リブチルアンモニウムヒドロキシド、トリメチルエチル
アンモニウムヒドロキシド、(2−ヒドロキシエチル)
トリメチルアンモニウムヒドロキシド〔=コリン〕、
(2−ヒドロキシエチル)トリエチルアンモニウムヒド
ロキシド、(2−ヒドロキシエチル)トリプロピルアン
モニウムヒドロキシド、(1−ヒドロキシプロピル)ト
リメチルアンモニウムヒドロキシド等が例示される。中
でもテトラメチルアンモニウムヒドロキシド、テトラブ
チルアンモニウムヒドロキシド、テトラプロピルアンモ
ニウムヒドロキシド、メチルトリブチルアンモニウムヒ
ドロキシド、メチルトリプロピルアンモニウムヒドロキ
シド、コリン等が、Cu、Si系残渣物の剥離性、ホト
レジスト剥離性の点から好ましい。第4級アンモニウム
水酸化物は1種または2種以上を用いることができる。
【0037】第4級アンモニウム水酸化物の配合量は、
ホトレジスト用剥離液中、1〜20質量%であり、好ま
しくは2〜10質量%程度である。
【0038】本発明に用いられるホトレジスト用剥離液
は、第4級アンモニウム水酸物に加え水、水溶性有機
溶媒が含有される。水の配合量は5〜60質量%であ
り、好ましくは10〜50質量%である。残部は水溶性
有機溶媒である。
【0039】水溶性有機溶媒としては、ジメチルスルホ
キシド等のスルホキシド類;ジメチルスルホン、ジエチ
ルスルホン、ビス(2−ヒドロキシエチル)スルホン、
テトラメチレンスルホン〔=スルホラン〕等のスルホン
類;N,N−ジメチルホルムアミド、N−メチルホルム
アミド、N,N−ジメチルアセトアミド、N−メチルア
セトアミド、N,N−ジエチルアセトアミド等のアミド
類;N−メチル−2−ピロリドン、N−エチル−2−ピ
ロリドン、N−プロピル−2−ピロリドン、N−ヒドロ
キシメチル−2−ピロリドン、N−ヒドロキシエチル−
2−ピロリドン等のラクタム類;1,3−ジメチル−2
−イミダゾリジノン、1,3−ジエチル−2−イミダゾ
リジノン、1,3−ジイソプロピル−2−イミダゾリジ
ノン等のイミダゾリジノン類;エチレングリコール、エ
チレングリコールモノメチルエーテル、エチレングリコ
ールモノエチルエーテル、エチレングリコールモノブチ
ルエーテル、エチレングリコールモノメチルエーテルア
セテート、エチレングリコールモノエチルエーテルアセ
テート、ジエチレングリコール、ジエチレングリコール
モノメチルエーテル、ジエチレングリコールモノエチル
エーテル、ジエチレングリコールモノブチルエーテル、
プロピレングリコールモノメチルエーテル、プロピレン
グリコールモノエチルエーテル、プロピレングリコール
モノプロピルエーテル、プロピレングリコールモノブチ
ルエーテル等の多価アルコール類およびその誘導体など
が挙げられる。中でも、ジメチルスルホキシド、ジメチ
ルイミダゾリジノン、N−メチル−2−ピロリドン、お
よびジエチレングリコールモノブチルエーテル、スルホ
ラン、N,N−ジメチルアセトアミド、N,N−ジメチ
ルホルムアミド等が好ましく用いられる。水溶性有機溶
媒は1種または2種以上を用いることができる。
【0040】上記ホトレジスト用剥離液にはさらに、所
望により水溶性アミンを配合してもよい。ただし該水溶
性アミンは、上記( II )工程において、基板をオゾン水
に接触させる工程を経る場合に限り配合することがで
き、過酸化水素水に接触させる工程を含む場合は配合さ
れないものとする。水溶性アミンとしては、モノエタノ
ールアミン、ジエタノールアミン、トリエタノールアミ
ン、2−(2−アミノエトキシ)エタノール、N,N−
ジメチルエタノールアミン、N,N−ジエチルエタノー
ルアミン、N,N−ジブチルエタノールアミン、N−メ
チルエタノールアミン、N−エチルエタノールアミン、
N−ブチルエタノールアミン、N−メチルジエタノール
アミン、モノイソプロパノールアミン、ジイソプロパノ
ールアミン、トリイソプロパノールアミン等のアルカノ
ールアミン類;ジエチレントリアミン、トリエチレンテ
トラミン、プロピレンジアミン、N,N−ジエチルエチ
レンジアミン、1,4−ブタンジアミン、N−エチル−
エチレンジアミン、1,2−プロパンジアミン、1,3
−プロパンジアミン、1,6−ヘキサンジアミン等のポ
リアルキレンポリアミン類;2−エチル−ヘキシルアミ
ン、ジオクチルアミン、トリブチルアミン、トリプロピ
ルアミン、トリアリルアミン、ヘプチルアミン、シクロ
ヘキシルアミン等の脂肪族アミン;ベンジルアミン、ジ
フェニルアミン等の芳香族アミン類;ピペラジン、N−
メチル−ピペラジン、メチル−ピペラジン、ヒドロキシ
エチルピペラジン等の環状アミン類等が挙げられる。中
でも、モノエタノールアミン、2−(2−アミノエトキ
シ)エタノール、N−メチルエタノールアミン等が、ホ
トレジストやエッチング残渣物の除去性、金属配線に対
する防食性など点から好ましく用いられる。水溶性アミ
ンを配合する場合、その配合量はホトレジスト用剥離液
中、10〜50質量%程度とするのが好ましい。
【0041】また、おもに剥離性除去の点から、さらに
カルボキシル基含有酸性化合物、フッ化水素酸と金属イ
オンを含まない塩基との塩などを配合してもよい。
【0042】カルボキシル基含有酸性化合物としては、
酢酸、プロピオン酸、グリコール酸などが好ましいもの
として挙げられる。カルボキシル基含有酸性化合物を配
合する場合、ホトレジスト用剥離液中2〜20質量%程
度とするのが好ましい。
【0043】フッ化水素酸と金属イオンを含まない塩基
との塩としてはフッ化アンモニウムなどが好ましいもの
として挙げられる。フッ化水素酸と金属イオンを含まな
い塩基との塩を配合する場合、ホトレジスト用剥離液中
0.1〜10質量%程度とするのが好ましい。
【0044】上記ホトレジスト用剥離液には、さらに、
所望により、特に中間層としてのバリア層(エッチング
ストッパー層)を設けない基板を用いる場合や、あるい
はバリア層を設けた基板を用いる場合であってバリア層
をエッチング除去した後にホトレジスト剥離処理を行う
ような場合は、Cu配線の防食性等の点から、防食剤と
して芳香族ヒドロキシ化合物、ベンゾトリアゾール系化
合物、およびメルカプト基含有化合物の中から選ばれる
少なくとも1種を配合するのが望ましい。
【0045】上記芳香族ヒドロキシ化合物としては、具
体的にはフェノール、クレゾール、キシレノール、ピロ
カテコール〔=1,2−ジヒドロキシベンゼン〕、te
rt−ブチルカテコール、レゾルシノール、ヒドロキノ
ン、ピロガロール、1,2,4−ベンゼントリオール、
サリチルアルコール、p−ヒドロキシベンジルアルコー
ル、o−ヒドロキシベンジルアルコール、p−ヒドロキ
シフェネチルアルコール、p−アミノフェノール、m−
アミノフェノール、ジアミノフェノール、アミノレゾル
シノール、p−ヒドロキシ安息香酸、o−ヒドロキシ安
息香酸、2,4−ジヒドロキシ安息香酸、2,5−ジヒ
ドロキシ安息香酸、3,4−ジヒドロキシ安息香酸、
3,5−ジヒドロキシ安息香酸、没食子酸等を挙げるこ
とができる。中でもピロカテコール、ピロガロール、没
食子酸等が好適に用いられる。芳香族ヒドロキシ化合物
は1種または2種以上を用いることができる。
【0046】上記ベンゾトリアゾール系化合物として
は、下記一般式(II)
【0047】
【0048】〔式中、R5、R6は、それぞれ独立に水素
原子、置換若しくは非置換の炭素原子数1〜10の炭化
水素基、カルボキシル基、アミノ基、水酸基、シアノ
基、ホルミル基、スルホニルアルキル基、またはスルホ
基を示し;Qは水素原子、水酸基、置換若しくは非置換
の炭素原子数1〜10の炭化水素基(ただし、その構造
中にアミド結合、エステル結合を有していてもよい)、
アリール基、または下記式(III)
【0049】
【0050】(式(III)中、R7は炭素原子数1〜6の
アルキル基を示し;R8、R9は、それぞれ独立に、水素
原子、水酸基、または炭素原子数1〜6のヒドロキシア
ルキル基若しくはアルコキシアルキル基を示す)で表さ
れる基を示す〕で表されるベンゾトリアゾール系化合物
が挙げられる。
【0051】本発明において、上記基Q、R5、R6の各
定義中、炭化水素基としては、芳香族炭化水素基または
脂肪族炭化水素基のいずれでもよく、また飽和、不飽和
結合を有していてもよく、さらに直鎖、分岐鎖のいずれ
でもよい。置換炭化水素基としては、例えばヒドロキシ
アルキル基、アルコキシアルキル基等が例示される。
【0052】また、純Cu配線が形成された基板の場
合、上記一般式(II)中、Qとしては特に上記式(II
I)で表される基のものが好ましい。中でも式(III)
中、R8、R9として、それぞれ独立に、炭素原子数1〜
6のヒドロキシアルキル基若しくはアルコキシアルキル
基を選択するのが好ましい。
【0053】また上記一般式(II)中、Qとして、水溶
性の基を示すものも好ましく用いられる。具体的には水
素原子、炭素原子数1〜3のアルキル基(すなわち、メ
チル基、エチル基、プロピル基、イソプロピル基)、炭
素原子数1〜3のヒドロキシアルキル基、水酸基等が、
無機材料層(例えば、ポリシリコン膜、アモルファスシ
リコン膜、等)を基板上に有する場合、その防食性の点
で好ましい。
【0054】ベンゾトリアゾール系化合物としては、具
体的には、例えばベンゾトリアゾール、5,6−ジメチ
ルベンゾトリアゾール、1−ヒドロキシベンゾトリアゾ
ール、1−メチルベンゾトリアゾール、1−アミノベン
ゾトリアゾール、1−フェニルベンゾトリアゾール、1
−ヒドロキシメチルベンゾトリアゾール、1−ベンゾト
リアゾールカルボン酸メチル、5−ベンゾトリアゾール
カルボン酸、1−メトキシ−ベンゾトリアゾール、1−
(2,2−ジヒドロキシエチル)−ベンゾトリアゾー
ル、1−(2,3−ジヒドロキシプロピル)ベンゾトリ
アゾール、あるいは「IRGAMET」シリーズとしてチバ・
スペシャリティー・ケミカルズより市販されている、
2,2’−{[(4−メチル−1H−ベンゾトリアゾー
ル−1−イル)メチル]イミノ}ビスエタノール、2,
2’−{[(5−メチル−1H−ベンゾトリアゾール−
1−イル)メチル]イミノ}ビスエタノール、2,2’
−{[(4−メチル−1H−ベンゾトリアゾール−1−
イル)メチル]イミノ}ビスエタン、または2,2’−
{[(4−メチル−1H−ベンゾトリアゾール−1−イ
ル)メチル]イミノ}ビスプロパン等を挙げることがで
きる。これらの中でも、1−(2,3−ジヒドロキシプ
ロピル)−ベンゾトリアゾール、2,2’−{[(4−
メチル−1H−ベンゾトリアゾール−1−イル)メチ
ル]イミノ}ビスエタノール、2,2’−{[(5−メ
チル−1H−ベンゾトリアゾール−1−イル)メチル]
イミノ}ビスエタノール等が好ましく用いられる。ベン
ゾトリアゾール系化合物は1種または2種以上を用いる
ことができる。
【0055】上記メルカプト基含有化合物としては、メ
ルカプト基に結合する炭素原子のα位、β位の少なくと
も一方に、水酸基および/またはカルボキシル基を有す
る構造の化合物が好ましい。このような化合物として、
具体的には1−チオグリセロール、3−(2−アミノフ
ェニルチオ)−2−ヒドロキシプロピルメルカプタン、
3−(2−ヒドロキシエチルチオ)−2−ヒドロキシプ
ロピルメルカプタン、2−メルカプトプロピオン酸、お
よび3−メルカプトプロピオン酸等が好ましいものとし
て挙げられる。中でも1−チオグリセロールが特に好ま
しく用いられる。メルカプト基含有化合物は1種または
2種以上を用いることができる。
【0056】芳香族ヒドロキシ化合物、ベンゾトリアゾ
ール系化合物、メルカプト基含有化合物を配合する場
合、その配合量は、用いるホトレジスト用剥離液によっ
ても異なるが、上記各群の化合物を併用する場合、それ
ぞれを0.1〜10質量%程度ずつ配合するのが好まし
く、特には0.5〜5質量%程度ずつ配合するのがより
好ましい。また総合計配合量の上限は15質量%以下程
度とするのが好ましい。
【0057】本発明に用いられるホトレジスト用剥離液
には、さらに、浸透性向上の点から、アセチレンアルコ
ールに対してアルキレンオキシドを付加したアセチレン
アルコール・アルキレンオキシド付加物を配合してもよ
い。
【0058】上記アセチレンアルコールとしては、下記
一般式(IV)
【0059】
【0060】(ただし、R10は水素原子または下記式
(V)
【0061】
【0062】で表される基を示し;R11、R12、R13
14はそれぞれ独立に水素原子、炭素原子数1〜6のア
ルキル基を示す)で表される化合物化合物が好ましく用
いられる。
【0063】このアセチレンアルコールは、例えば「サ
ーフィノール」、「オルフィン」(以上いずれもAir Pr
oduct and Chemicals Inc.製)等のシリーズとして市販
されており、好適に用いられる。中でもその物性面から
「サーフィノール104」、「サーフィノール82」あ
るいはこれらの混合物が最も好適に用いられる。他に
「オルフィンB」、「オルフィンP」、「オルフィン
Y」等も用いることができる。
【0064】上記アセチレンアルコールに付加されるア
ルキレンオキシドとしては、エチレンオキシド、プロピ
レンオキシドあるいはその混合物が好ましく用いられ
る。
【0065】本発明では、アセチレンアルコール・アル
キレンオキシド付加物として下記一般式(VI)
【0066】
【0067】(ただし、R15は水素原子または下記式
(VII)
【0068】
【0069】で表される基を示し;R16、R17、R18
19はそれぞれ独立に水素原子、炭素原子数1〜6のア
ルキル基を示す)で表される化合物が好ましく用いられ
る。ここで(n+m)は1〜30までの整数を表し、こ
のエチレンオキシドの付加数によって水への溶解性、表
面張力等の特性が微妙に変わってくる。
【0070】アセチレンアルコール・アルキレンオキシ
ド付加物は、界面活性剤としてそれ自体は公知の物質で
ある。これらは「サーフィノール」(Air Product and
Chemicals Inc.製)のシリーズ、あるいは「アセチレノ
ール」(川研ファインケミカル(株)製)のシリーズ等
として市販されており、好適に用いられる。中でもエチ
レンオキシドの付加数による水への溶解性、表面張力等
の特性の変化等を考慮すると、「サーフィノール44
0」(n+m=3.5)、「サーフィノール465」
(n+m=10)、「サーフィノール485」(n+m
=30)、「アセチレノールEL」(n+m=4)、
「アセチレノールEH」(n+m=10)、あるいはそ
れらの混合物が好適に用いられる。特には「アセチレノ
ールEL」と「アセチレノールEH」の混合物が好まし
く用いられる。中でも、「アセチレノールEL」と「ア
セチレノールEH」を2:8〜4:6(質量比)の割合
で混合したものが特に好適に用いられる。
【0071】このアセチレンアルコール・アルキレンオ
キシド付加物を配合することにより、剥離液自体の浸透
性を向上させ、濡れ性を向上させることができる。
【0072】本発明剥離液中にアセチレンアルコール・
アルキレンオキシド付加物を配合する場合、配合量は
0.05〜5質量%程度が好ましく、特には0.1〜2
質量%程度である。
【0073】本発明に用いられるホトレジスト用剥離液
は、ネガ型およびポジ型ホトレジストを含めてアルカリ
水溶液で現像可能なホトレジストに有利に使用できる。
このようなホトレジストとしては、(i)ナフトキノン
ジアジド化合物とノボラック樹脂を含有するポジ型ホト
レジスト、(ii)露光により酸を発生する化合物、酸に
より分解しアルカリ水溶液に対する溶解性が増大する化
合物およびアルカリ可溶性樹脂を含有するポジ型ホトレ
ジスト、(iii)露光により酸を発生する化合物、酸に
より分解しアルカリ水溶液に対する溶解性が増大する基
を有するアルカリ可溶性樹脂を含有するポジ型ホトレジ
スト、および(iv)光により酸を発生する化合物、架橋
剤およびアルカリ可溶性樹脂を含有するネガ型ホトレジ
スト等が挙げられるが、これらに限定されるものではな
い。
【0074】当該(III)工程では、かかるホトレジス
ト用剥離液を、(II)工程処理を経た基板に接触させ
て、エッチング後残渣物、ホトレジストパターンを剥離
除去する。接触方法は特に限定されるものでないが、通
常、浸漬法、パドル法、スプレー法により施される。剥
離時間は、剥離されるに十分な時間であればよく、特に
限定されるものではない。本発明では、剥離液による除
去工程前に、オゾン水および/または過酸化水素水によ
る分解処理を施し、次いで、上記第4級アンモニウム水
酸化物を少なくとも含有するホトレジスト用剥離液で剥
離処理をすることから、O2プラズマアッシング処理を
施さなくとも、それと同等若しくはそれ以上の剥離効果
でエッチング後残渣物、ホトレジストパターンを除去す
ることができ、かつ、低誘電体層の防食性に優れる。し
たがって、アッシング耐性がほとんどないといわれる低
誘電体層(low−k層)を形成した基板においても、該低
誘電体層に誘電率の変動を及ぼす等の悪影響がなく、か
つ腐食のない、優れたホトレジスト剥離効果が得られ
る。
【0075】上記剥離工程の後、慣用的に施されている
有機溶媒、水等を用いたリンス処理および乾燥処理を施
してもよい。有機溶媒としては低級アルコールが好まし
く、中でもイソプロピルアルコール等が好ましく用いら
れる。
【0076】なお、本発明に好適に適用されるデュアル
ダマシン法は、公知の方法を用いることができ、ビアホ
ールを先に形成した後トレンチを形成する「ビアファー
スト」法、トレンチを形成した後ビアホールを形成する
「トレンチファースト」法のいずれも含み得る。
【0077】「ビアファースト法」では、ホトレジスト
パターンをマスクとして低誘電体層をエッチングして、
基板上の金属層に連通(バリア層を有する基板を用いた
場合は、バリア層を介して金属層に連通)させて、ビア
ホールを形成した後、本発明に用いられるホトレジスト
用剥離液に接触させてホトレジストパターンを剥離す
る。続いて残存する低誘電体層上に新たなホトレジスト
パターンを形成し、これをマスクとして低誘電体層を部
分的にエッチングして、上記ビアホールに連通するトレ
ンチを形成する。この後(バリア層を有する基板を用い
た場合は、基板上に露出するバリア層をエッチング除去
する前、若しくは該バリア層を除去した後に)、上記新
たなホトレジストパターンを本発明に用いられるホトレ
ジスト用剥離液に接触させて、ホトレジストパターンを
剥離する。
【0078】一方、「トレンチファースト」法では、ま
ずホトレジストパターンをマスクとして低誘電体層を所
定の厚さ分だけエッチングして、トレンチを形成した
後、本発明に用いられるホトレジスト用剥離液に接触さ
せて、ホトレジストパターンを剥離する。続いて残存す
る低誘電体層上に新たなホトレジストパターンを形成
し、これをマスクとして、トレンチと連通させて低誘電
体層をエッチングし、その下部が基板上のCu層と連通
(バリア層を有する基板を用いた場合は、バリア層を介
して基板上のCu層と連通)するビアホールを形成す
る。この後(バリア層を有する基板を用いた場合は、基
板上に露出するバリア層をエッチング除去する前、若し
くは該バリア層を除去した後に)、上記新たなホトレジ
ストパターンを本発明に用いられるホトレジスト用剥離
液に接触させて、ホトレジストパターンを剥離する。
【0079】上記いずれかの工程後、ビアホール、トレ
ンチ内に電解めっき等によりCuを充填することによ
り、多層Cu配線が形成される。
【0080】
【実施例】次に、実施例により本発明をさらに詳細に説
明するが、本発明はこれらの例によってなんら限定され
るものではない。なお、配合量は特記しない限り質量%
で示す。
【0081】(実施例1〜6)銅配線が形成され、その
上層にSiOC層(カーボンドープドオキサイド層;lo
w−k層)が積層された基板上に、ポジ型ホトレジストで
あるTDUR−P722(東京応化工業(株)製)を塗
布し、140℃にて90秒間加熱しホトレジスト層を形
成した。これをS−203B(ニコン(株)製)を用い
て選択的に露光処理し、次いで140℃にて90秒間ポ
ストエクスポージャベーク処理を行い、2.38質量%
テトラアンモニウムヒドロキシド(TMAH)水溶液に
て現像処理し、ホトレジストパターンを形成した。次い
でSiOC層をエッチングした。
【0082】上記エッチング処理後の基板を、純水に対
してオゾンガスを15分間バブリングすることにより得
られたオゾン水に15分間接触させ、続いて下記表1に
示す組成のホトレジスト用剥離液(剥離液A〜F)に浸
漬(60℃、30分間)処理した。
【0083】このときの基板表面をSEM(走査型電子
顕微鏡)により観察したところ、ホトレジストパター
ン、エッチング残渣物は完全に除去されていた。また低
誘電体層の腐食もみられなかった。
【0084】
【0085】
【0086】(比較例1〜6)上記実施例1〜6と同様
にして得たエッチング処理後の基板を、オゾン水に接触
させる工程を除いた以外は、それぞれ実施例1〜6と全
く同様にして処理した。
【0087】このときの基板表面をSEM(走査型電子
顕微鏡)により観察したしたところ、基板表面にはホト
レジストパターン、エッチング残渣物が完全には除去さ
れずに残存していた。
【0088】
【表1】
【0089】なお、表1に示す各成分は以下のとおりで
ある。
【0090】 TPAH: テトラプロピルアンモニウムヒドロキシド TBAH: テトラブチルアンモニウムヒドロキシド NMP: N−メチル−2−ピロリドン DGA: 2−(2−アミノエトキシ)エタノール MEA: モノエタノールアミン IR42: 2,2’−{[(4−メチル−1H−ベン
ゾトリアゾール−1−イル)メチル]イミノ}ビスエタ
ノール
【0091】(実施例12)銅配線が形成され、そ
の上層にSiN層(バリア層)、SiOC層(カーボン
ドープドオキサイド層;low−k層)が順次積層された基
板上に、ポジ型ホトレジストであるTDUR−P722
(東京応化工業(株)製)を塗布し、140℃にて90
秒間加熱しホトレジスト層を形成した。これをS−20
3B(ニコン(株)製)を用いて選択的に露光処理し、
次いで140℃にて90秒間ポストエクスポージャベー
ク処理を行い、2.38質量%テトラアンモニウムヒド
ロキシド(TMAH)水溶液にて現像処理し、ホトレジ
ストパターンを形成した。次いでSiOC層をエッチン
グした。
【0092】上記エッチング処理後の基板を、純水に対
してオゾンガスを15分間バブリングすることにより得
られたオゾン水に15分間接触させ、続いて下記表2に
示す組成のホトレジスト用剥離液(剥離液G〜L)に浸
漬(60℃、30分間))処理した。
【0093】このときの基板表面をSEM(走査型電子
顕微鏡)により観察したところ、ホトレジストパター
ン、エッチング残渣物は完全に除去されていた。また低
誘電体層の腐食もみられなかった。
【0094】(実施例1316)上記実施例12
と同様にして得たエッチング処理後の基板を、60℃に
加熱した30質量%過酸化水素水に30分間接触させ、
続いて下記表2に示す組成のホトレジスト用剥離液のう
ち、剥離液G〜Jに浸漬(60℃、30分間)処理した
以外は、実施例12と同様にして処理した。
【0095】このときの基板表面をSEM(走査型電子
顕微鏡)により観察したところ、ホトレジストパター
ン、エッチング残渣物は完全に除去されていた。また低
誘電体層の腐食もみられなかった。
【0096】(比較例7〜16下記表2に示す組成の
ホトレジスト用剥離液を用いて以下の処理を行った。
記実施例12と同様にして得たエッチング処理後の
基板を、オゾン水に接触させる工程を経ることなく(剥
離液G〜Lを使用。比較例7〜12)、あるいは過酸化
水素水に接触させる工程を経ることなく(剥離液G〜J
を使用。比較例13〜16)、下記表2に示す組成のホ
トレジスト用剥離液に浸漬(60℃、30分間)処理し
た。
【0097】このときの基板表面をSEM(走査型電子
顕微鏡)により観察したしたところ、基板表面にはホト
レジストパターン、エッチング残渣物が完全には除去さ
れずに残存していた。
【0098】
【表2】
【0099】なお、表2に示す各成分は以下のとおりで
ある。
【0100】 TMAH: テトラメチルアンモニウムヒドロキシド Choline : (2−ヒドロキシエチル)トリメチルアン
モニウムヒドロキシド〔=コリン〕 TPAH: テトラプロピルアンモニウムヒドロキシド TBAH: テトラブチルアンモニウムヒドロキシド MTPAH: メチルトリプロピルアンモニウムヒドロ
キシド MTBAH: メチルトリブチルアンモニウムヒドロキ
シド DMSO: ジメチルスルホキシド NMP: N−メチル−2−ピロリドン SLF: スルホラン DGA: 2−(2−アミノエトキシ)エタノール
【0101】
【発明の効果】以上詳述したように本発明によれば、少
なくとも銅配線と低誘電体層を有する基板の微細パター
ン形成において、O2プラズマアッシング処理を行わな
いプロセスにおいても、エッチング後のホトレジスト
膜、エッチング残渣物を効果的に剥離することができ、
しかも低誘電体層の誘電率への悪影響を及ぼさず、低誘
電体層の防食性にも優れるホトレジスト剥離方法が提供
される。
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開2001−290287(JP,A) 特開 平11−74180(JP,A) 特開2001−83712(JP,A) 特開2002−110788(JP,A) 特開2002−231696(JP,A) 特開2003−140364(JP,A) 特開2001−203200(JP,A) 特開2001−338978(JP,A) 特開2000−91269(JP,A) 特開 平11−87502(JP,A) (58)調査した分野(Int.Cl.7,DB名) G03F 7/00 - 7/42 H01L 21/027 H01L 21/304

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】 (I)少なくとも銅配線と誘電率が3以
    下の低誘電体層を有する基板上に設けられたホトレジス
    トパターンをマスクとして低誘電体層を選択的にエッチ
    ングする工程、(II)前記(I)工程を経た基板をオゾ
    ン水および/または過酸化水素水に接触させる工程、お
    よび(III)前記(II)工程を経た基板を、 2 プラズマ
    アッシング処理を行うことなく、第4級アンモニウム水
    酸化物を1〜20質量%、水を5〜60質量%、および
    水溶性有機溶媒を含有するホトレジスト用剥離液(ただ
    し、前記( II )工程において基板を過酸化水素水に接触
    させる工程を含む場合、該ホトレジスト用剥離液は、前
    記第4級アンモニウム水酸化物以外の水溶性アミンを含
    まないものとする)に接触させる工程を含む、ホトレジ
    スト剥離方法。
  2. 【請求項2】 第4級アンモニウム水酸化物が、(a)
    下記一般式(I) 〔式中、R1、R2、R3、R4は、それぞれ独立に、炭素
    原子数1〜4のアルキル基またはヒドロキシアルキル基
    を示す〕で表される第4級アンモニウム水酸化物であ
    る、請求項1記載のホトレジスト剥離方法。
  3. 【請求項3】 デュアルダマシン構造形成プロセスに用
    いる、請求項1または2記載のホトレジスト剥離方法。
JP2002308993A 2002-04-26 2002-10-23 ホトレジスト剥離方法 Expired - Fee Related JP3516446B2 (ja)

Priority Applications (12)

Application Number Priority Date Filing Date Title
JP2002308993A JP3516446B2 (ja) 2002-04-26 2002-10-23 ホトレジスト剥離方法
PCT/JP2003/005336 WO2003091806A1 (fr) 2002-04-26 2003-04-25 Procede de retrait de photoresist
CNA038092980A CN1650235A (zh) 2002-04-26 2003-04-25 光致抗蚀剂剥离方法
AU2003235130A AU2003235130A1 (en) 2002-04-26 2003-04-25 Method for removing photoresist
KR1020047017197A KR100781925B1 (ko) 2002-04-26 2003-04-25 포토레지스트 박리 방법
US10/512,586 US20050176259A1 (en) 2002-04-26 2003-04-25 Method for removing photoresist
EP03720958A EP1550912A4 (en) 2002-04-26 2003-04-25 METHOD FOR REMOVING PHOTORESIST
TW092109758A TW200307186A (en) 2002-04-26 2003-04-25 Method for removing photoresist
US11/889,394 US20070298619A1 (en) 2002-04-26 2007-08-13 Method for stripping photoresist
US12/219,120 US20080280452A1 (en) 2002-04-26 2008-07-16 Method for stripping photoresist
US12/458,992 US20090291565A1 (en) 2002-04-26 2009-07-29 Method for stripping photoresist
US12/883,592 US8354215B2 (en) 2002-04-26 2010-09-16 Method for stripping photoresist

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002125471 2002-04-26
JP2002-125471 2002-04-26
JP2002308993A JP3516446B2 (ja) 2002-04-26 2002-10-23 ホトレジスト剥離方法

Publications (2)

Publication Number Publication Date
JP2004029696A JP2004029696A (ja) 2004-01-29
JP3516446B2 true JP3516446B2 (ja) 2004-04-05

Family

ID=29272357

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002308993A Expired - Fee Related JP3516446B2 (ja) 2002-04-26 2002-10-23 ホトレジスト剥離方法

Country Status (8)

Country Link
US (5) US20050176259A1 (ja)
EP (1) EP1550912A4 (ja)
JP (1) JP3516446B2 (ja)
KR (1) KR100781925B1 (ja)
CN (1) CN1650235A (ja)
AU (1) AU2003235130A1 (ja)
TW (1) TW200307186A (ja)
WO (1) WO2003091806A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008114616A1 (ja) 2007-03-16 2008-09-25 Mitsubishi Gas Chemical Company, Inc. 洗浄用組成物、半導体素子の製造方法

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI238465B (en) * 2002-07-24 2005-08-21 Toshiba Corp Method of forming pattern and substrate processing apparatus
PL1664935T3 (pl) * 2003-08-19 2008-01-31 Avantor Performance Mat Inc Kompozycje do usuwania powłok oraz czyszczenia dla mikroelektroniki
US7384900B2 (en) * 2003-08-27 2008-06-10 Lg Display Co., Ltd. Composition and method for removing copper-compatible resist
KR100663624B1 (ko) * 2004-04-29 2007-01-02 엘지.필립스 엘시디 주식회사 액정표시장치 제조방법
JP5057647B2 (ja) 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
KR20070060090A (ko) * 2004-09-17 2007-06-12 에프에스아이 인터내쇼날 인크. 오존을 이용한 웨이퍼 등의 물체 처리
JP4628209B2 (ja) * 2004-11-18 2011-02-09 花王株式会社 剥離剤組成物
JP4678673B2 (ja) * 2005-05-12 2011-04-27 東京応化工業株式会社 ホトレジスト用剥離液
CN100565337C (zh) * 2005-08-22 2009-12-02 昆明物理研究所 一种铂钛金属薄膜图形化方法
KR101285123B1 (ko) * 2005-08-25 2013-07-19 주식회사 동진쎄미켐 투명 전도막 및 레지스트 제거용 박리액 조성물
KR101221560B1 (ko) * 2005-09-02 2013-01-14 주식회사 동진쎄미켐 변성된 포토레지스트 제거를 위한 반도체 소자용 박리액조성물
US7632796B2 (en) * 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US20070243773A1 (en) * 2005-10-28 2007-10-18 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
KR101152139B1 (ko) * 2005-12-06 2012-06-15 삼성전자주식회사 표시 장치용 세정제 및 이를 사용하는 박막 트랜지스터표시판의 제조 방법
TWI425324B (zh) * 2005-12-23 2014-02-01 Anji Microelectronics Co Ltd 可去除光阻層之組合物
KR100672752B1 (ko) * 2006-01-27 2007-01-22 주식회사 바맥스 포토레지스트 제거 방법 및 이를 수행하기 위한 장치
WO2008004579A1 (fr) * 2006-07-05 2008-01-10 Hitachi Chemical Co., Ltd. Liquide de polissage pour cmp et procédé de polissage
KR100849366B1 (ko) * 2006-08-24 2008-07-31 세메스 주식회사 기판을 처리하는 장치 및 방법
JP5018098B2 (ja) * 2007-01-19 2012-09-05 東ソー株式会社 配線工程用レジストの剥離方法
US7655608B2 (en) * 2007-08-03 2010-02-02 Dynaloy, Llc Reduced metal etch rates using stripper solutions containing a copper salt
US8551682B2 (en) 2007-08-15 2013-10-08 Dynaloy, Llc Metal conservation with stripper solutions containing resorcinol
KR101463618B1 (ko) * 2008-01-14 2014-11-19 동우 화인켐 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 박리 방법
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
EP2166564B1 (en) * 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
WO2010127943A1 (en) 2009-05-07 2010-11-11 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
WO2010127941A1 (en) 2009-05-07 2010-11-11 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
JP5663562B2 (ja) 2009-05-07 2015-02-04 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se レジストストリッピング組成物及び電気装置を製造するための方法
US8334213B2 (en) * 2009-06-05 2012-12-18 Magic Technologies, Inc. Bottom electrode etching process in MRAM cell
KR20110028109A (ko) * 2009-09-11 2011-03-17 동우 화인켐 주식회사 세정액 조성물
CN102044405B (zh) * 2009-10-13 2012-08-08 中芯国际集成电路制造(上海)有限公司 多芯片封装体中芯片的分离方法
EP2507824A4 (en) 2009-11-30 2013-09-25 Basf Se METHOD FOR REMOVING A MATERIAL MASS STATE OF A SUBSTRATE AND CHEMICAL-MECHANICAL CLEANING AGENT FOR THIS PROCESS
EP2507332A4 (en) 2009-11-30 2017-08-02 Basf Se Process for removing bulk material layer from substrate and chemical mechanical polishing agent suitable for this process
JP5702075B2 (ja) * 2010-03-26 2015-04-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅配線半導体用洗浄剤
CN104804903B (zh) 2010-01-29 2018-10-30 恩特格里斯公司 附有金属布线的半导体用清洗剂
JP5404459B2 (ja) * 2010-02-08 2014-01-29 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
KR101799591B1 (ko) * 2010-05-19 2017-12-20 동우 화인켐 주식회사 전자재료용 세정액 조성물
CN103189457B (zh) 2010-09-08 2015-12-09 巴斯夫欧洲公司 用于化学机械抛光电子、机械和光学器件用基底材料的含水抛光组合物和方法
RU2608890C2 (ru) 2010-09-08 2017-01-26 Басф Се Водные полирующие композиции, содержащие n-замещенные диазений диоксиды и/или соли n -замещенных n'-гидрокси-диазений оксидов
EP2428541B1 (en) 2010-09-08 2019-03-06 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
EP2625236B1 (en) 2010-10-07 2017-12-13 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
SG190334A1 (en) 2010-12-10 2013-06-28 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
KR20140012660A (ko) 2011-03-11 2014-02-03 바스프 에스이 베이스 웨이퍼 관통 비아들을 형성하는 방법
KR101925272B1 (ko) 2011-03-21 2019-02-27 바스프 에스이 질소-무함유 수성 세정 조성물, 이의 제조 및 용도
FR2976290B1 (fr) 2011-06-09 2014-08-15 Jerome Daviot Composition de solutions et conditions d'utilisation permettant le retrait et la dissolution complete de resines photo-lithographiques
CN102254810A (zh) * 2011-07-05 2011-11-23 上海宏力半导体制造有限公司 半导体器件制备过程中光阻的去除方法
CN102427039A (zh) * 2011-11-02 2012-04-25 上海宏力半导体制造有限公司 光阻去除方法
JP6162711B2 (ja) * 2011-11-16 2017-07-12 フィールド アップグレーディング リミテッド アルカリ金属を使用する石油原料の改質
CN104169801B (zh) 2012-03-16 2019-12-17 巴斯夫欧洲公司 光致抗蚀剂剥离和清洁组合物、其制备方法及其用途
JP6168271B2 (ja) 2012-08-08 2017-07-26 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US20150064911A1 (en) * 2013-08-27 2015-03-05 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and storage medium
CN110225667B (zh) * 2013-09-11 2023-01-10 花王株式会社 树脂掩模层用洗涤剂组合物及电路基板的制造方法
CN104658899B (zh) * 2013-11-22 2017-11-10 中芯国际集成电路制造(上海)有限公司 一种蚀刻栅极介电层的方法
US9085542B1 (en) 2014-06-12 2015-07-21 General Electric Company Method for synthesis of N-methyl piperazine diphenolamide and related composition
TWI595332B (zh) * 2014-08-05 2017-08-11 頎邦科技股份有限公司 光阻剝離方法
US20160304815A1 (en) * 2015-04-20 2016-10-20 Intermolecular, Inc. Methods and chemical solutions for cleaning photomasks using quaternary ammonium hydroxides
US10072237B2 (en) * 2015-08-05 2018-09-11 Versum Materials Us, Llc Photoresist cleaning composition used in photolithography and a method for treating substrate therewith
KR101711808B1 (ko) 2015-12-23 2017-03-06 한국원자력연구원 세슘화합물의 제거율이 높은 전해환원공정용 연료파편 제조 방법
WO2019024892A1 (zh) * 2017-08-03 2019-02-07 无锡华瑛微电子技术有限公司 一种光刻胶的去除液及光刻胶的去除方法
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
KR102572755B1 (ko) * 2018-09-13 2023-08-30 동우 화인켐 주식회사 포토레지스트 세정액 조성물
KR102572758B1 (ko) * 2018-09-17 2023-08-30 동우 화인켐 주식회사 포토레지스트 세정액 조성물
WO2020194420A1 (ja) * 2019-03-25 2020-10-01 パナソニックIpマネジメント株式会社 レジスト剥離液
CN113448186A (zh) * 2020-03-27 2021-09-28 长鑫存储技术有限公司 晶圆处理装置及晶圆处理方法
US11378886B2 (en) * 2020-09-29 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing resist layer, and method of manufacturing semiconductor
KR102246300B1 (ko) * 2021-03-19 2021-04-30 제이엔에프 주식회사 반도체 및 디스플레이 제조공정용 세정제 조성물
CN113589662B (zh) * 2021-07-30 2022-07-12 浙江奥首材料科技有限公司 一种组合物、剥离液及其在剥离光刻胶或光刻胶残余物中的应用和剥离方法
CN115469516B (zh) * 2022-11-03 2023-03-24 江苏奥首材料科技有限公司 一种用于三五族半导体化合物光刻胶的剥离剂、其制备方法及用途

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5759973A (en) * 1996-09-06 1998-06-02 Olin Microelectronic Chemicals, Inc. Photoresist stripping and cleaning compositions
JP3968535B2 (ja) * 1997-08-29 2007-08-29 三菱瓦斯化学株式会社 半導体素子の製造方法
JP3300643B2 (ja) 1997-09-09 2002-07-08 株式会社東芝 半導体装置の製造方法
JP4120714B2 (ja) * 1998-02-10 2008-07-16 三菱瓦斯化学株式会社 半導体素子の製造方法
US6225030B1 (en) * 1998-03-03 2001-05-01 Tokyo Ohka Kogyo Co., Ltd. Post-ashing treating method for substrates
US6417112B1 (en) * 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US6348239B1 (en) * 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
JP2000091269A (ja) 1998-09-10 2000-03-31 Fujitsu Ltd 半導体装置の製造方法
JP3671389B2 (ja) * 1999-12-03 2005-07-13 三菱電機株式会社 基板処理方法および装置
AU6790000A (en) * 1999-08-26 2001-03-19 Brewer Science, Inc. Improved fill material for dual damascene processes
JP3298628B2 (ja) * 1999-09-10 2002-07-02 日本電気株式会社 半導体装置の製造方法
JP3389166B2 (ja) 1999-09-10 2003-03-24 日本電気株式会社 レジスト用剥離液組成物
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
JP3615979B2 (ja) 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP3339575B2 (ja) * 2000-01-25 2002-10-28 日本電気株式会社 剥離剤組成物および剥離方法
JP2001290287A (ja) * 2000-04-10 2001-10-19 Mitsubishi Electric Corp フォトレジスト除去方法
KR100360985B1 (ko) 2000-04-26 2002-11-18 주식회사 동진쎄미켐 레지스트 스트리퍼 조성물
JP2001338978A (ja) 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6410437B1 (en) 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
JP2002110788A (ja) * 2000-09-27 2002-04-12 Toshiba Corp 半導体装置およびその製造方法
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
JP2002231696A (ja) * 2001-01-31 2002-08-16 Mitsubishi Electric Corp レジスト除去方法とその装置
JP2003140364A (ja) * 2001-11-02 2003-05-14 Mitsubishi Gas Chem Co Inc 銅配線基板向けレジスト剥離液
KR20050042051A (ko) * 2001-11-02 2005-05-04 미츠비시 가스 가가쿠 가부시키가이샤 레지스트 박리 방법
US20030138737A1 (en) * 2001-12-27 2003-07-24 Kazumasa Wakiya Photoresist stripping solution and a method of stripping photoresists using the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008114616A1 (ja) 2007-03-16 2008-09-25 Mitsubishi Gas Chemical Company, Inc. 洗浄用組成物、半導体素子の製造方法

Also Published As

Publication number Publication date
AU2003235130A1 (en) 2003-11-10
WO2003091806A1 (fr) 2003-11-06
US8354215B2 (en) 2013-01-15
CN1650235A (zh) 2005-08-03
US20070298619A1 (en) 2007-12-27
US20110000874A1 (en) 2011-01-06
KR20050006191A (ko) 2005-01-15
US20050176259A1 (en) 2005-08-11
TW200307186A (en) 2003-12-01
JP2004029696A (ja) 2004-01-29
EP1550912A4 (en) 2006-09-06
EP1550912A1 (en) 2005-07-06
TWI304525B (ja) 2008-12-21
KR100781925B1 (ko) 2007-12-04
US20090291565A1 (en) 2009-11-26
US20080280452A1 (en) 2008-11-13

Similar Documents

Publication Publication Date Title
JP3516446B2 (ja) ホトレジスト剥離方法
JP3410403B2 (ja) ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
US20090176677A1 (en) Treating liquid for photoresist removal, and method for treating substrate
US8697345B2 (en) Photoresist stripping solution and a method of stripping photoresists using the same
US8192923B2 (en) Photoresist stripping solution and a method of stripping photoresists using the same
JP5404459B2 (ja) リソグラフィー用洗浄液及び配線形成方法
JP3514435B2 (ja) ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
JP3738992B2 (ja) ホトレジスト用剥離液
JPH11352703A (ja) アッシング後の処理液およびこれを用いた処理方法
JP5498768B2 (ja) リソグラフィー用洗浄液及び配線形成方法
JP4463054B2 (ja) ホトレジスト用剥離液およびこれを用いた基板の処理方法
JP2002357908A (ja) ホトレジスト用剥離液
JP3976160B2 (ja) アッシング後の処理液およびこれを用いた処理方法
JP2001183849A (ja) ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
JP2000162788A (ja) 銅配線形成基板に用いるホトレジスト用剥離液組成物およびこれを用いたレジスト剥離方法

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040119

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040119

R150 Certificate of patent or registration of utility model

Ref document number: 3516446

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090130

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100130

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110130

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110130

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120130

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130130

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140130

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees