WO2010127941A1 - Resist stripping compositions and methods for manufacturing electrical devices - Google Patents

Resist stripping compositions and methods for manufacturing electrical devices Download PDF

Info

Publication number
WO2010127941A1
WO2010127941A1 PCT/EP2010/055202 EP2010055202W WO2010127941A1 WO 2010127941 A1 WO2010127941 A1 WO 2010127941A1 EP 2010055202 W EP2010055202 W EP 2010055202W WO 2010127941 A1 WO2010127941 A1 WO 2010127941A1
Authority
WO
WIPO (PCT)
Prior art keywords
weight
liquid composition
solvents
resist
layer
Prior art date
Application number
PCT/EP2010/055202
Other languages
French (fr)
Inventor
Andreas Klipp
Original Assignee
Basf Se
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Basf Se filed Critical Basf Se
Publication of WO2010127941A1 publication Critical patent/WO2010127941A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Definitions

  • Resist stripping compositions and methods for manufacturing electrical devices are Resist stripping compositions and methods for manufacturing electrical devices
  • the present invention relates to new resist stripping compositions useful for removing patterned resists from substrates, in particular semiconductor substrates, containing copper and low-k or ultra low-k materials.
  • the present invention relates to new methods for manufacturing electrical devices, in particular semiconductor integrated circuits (ICs), liquid crystal panels, organic electroluminescent panels, printed circuit boards, micro machines, DNA chips and micro plants, especially ICs, which new methods make use of the new resist stripping compositions.
  • ICs semiconductor integrated circuits
  • liquid crystal panels organic electroluminescent panels
  • printed circuit boards micro machines, DNA chips and micro plants, especially ICs, which new methods make use of the new resist stripping compositions.
  • Resists such as deep UV photo resists or electron beam resists are used in the microlithographic technique for producing a wide range of electrical devices, e.g. semiconductor integrated circuits (ICs), liquid crystal panels, organic electroluminescent panels, printed circuit boards, micro machines, DNA chips and micro plants, in particular ICs with LSI (large-scale integration) or VLSI (very-large-scale integration).
  • ICs semiconductor integrated circuits
  • liquid crystal panels organic electroluminescent panels
  • printed circuit boards e.g. semiconductor integrated circuits (ICs), liquid crystal panels, organic electroluminescent panels, printed circuit boards, micro machines, DNA chips and micro plants, in particular ICs with LSI (large-scale integration) or VLSI (very-large-scale integration).
  • LSI large-scale integration
  • VLSI very-large-scale integration
  • a process is used in which a copper multi-layer wiring is formed without etching copper by using a dual damascene process.
  • various kinds of dual damascene processes have been proposed.
  • One example thereof comprises the formation of a copper layer and the formation of a low-k layer (e.g., SiOC layer) on top of the copper layer followed by the formation of a resist layer as the uppermost layer.
  • a metal nitride layer e.g., TiN layer
  • BARC barrier anti-reflective layer
  • the resist layer is selectively exposed to electromagnetic radiation or electron beams and developed to form a resist pattern ("first photo resist pattern").
  • first photo resist pattern a resist pattern
  • the low-k or ultra low-k layer is partly dry-etched by way of a fluorine containing plasma.
  • the joint use of a metal nitride layer in this process step is customarily designated as "hard mask technique”.
  • the first resist pattern is stripped away by an oxygen plasma ashing treatment. This way, wiring trenches are formed.
  • second resist pattern another resist pattern
  • the remaining low-k or ultra low-k layer is again partly etched away by using the second photo resist pattern as a mask pattern, thereby forming the via holes which communicate with the wiring trenches and the copper interconnect wiring of the level below.
  • the second photo resist pattern is also stripped away by oxygen plasma ashing treatment.
  • the wiring trenches and via holes are then filled with copper preferably by electroplating, thereby creating multilayer copper wiring conductors.
  • the substrate for use in these processes may optionally be provided with a barrier layer (e.g., SiN layer or SiC layer) as an etch-stop layer between the copper layer and the low-k layer.
  • a barrier layer e.g., SiN layer or SiC layer
  • via holes and trenches are formed, and then, while the barrier layer exposed out on the substrate is kept as such or after the barrier layer has been removed, the photo resist is stripped away and, thereafter, the via holes and the wiring trenches are filled with copper.
  • silicon deposition may readily occur, resulting from the low-k layer during the etching treatment and the oxygen plasma ashing treatment for forming the via holes on the trenches, and this may form silicon deposits around the opening of the trenches.
  • a deposition that results from the resists may also occur. If these deposits are not completely removed, they can significantly decrease the yield in semiconductor production. Accordingly, oxygen plasma ashing treatment has been used for the removal of the resist patterns and etching residues in conventional patterning for metal wiring.
  • ultra-micropatterning technology a material having a lower dielectric constant, i.e. an ultra low-k material, must be used for the insulating low-k layer.
  • the American patent application US 2003/0148624 A1 discloses a resist stripping composition for removing ashed and non-ashed resists, the said compositions containing quaternary ammonium hydroxides such as tetramethylammonium hydroxide (TMAH), and organic solvents such as ethanolamine, 1-amino-2-propanol, aminoethoxyethanol, 1- methylaminoethanol, dimethyl sulfoxide, N-methylpyrrolidone, diethyleneglycol monomethyl ether, or diethylenglycol monobutyl ether.
  • TMAH tetramethylammonium hydroxide
  • the examples specifically disclose a resist stripping composition consisting of 5% by weight ethanolamine, 50% by weight dimethyl sulfoxide, 5% by weight propylene glycol, 0.05% by weight TMAH, 39.55% by weight of water, and 1 ppm or lower of dissolved oxygen, and a resist stripping composition consisting of 28% by weight 1-amino-2-propanol, 62% by weight N- methylpyrrolidone, 1 % by weight TMAH, 9% by weight water, and 1 ppm of dissolved oxygen.
  • These prior art resist stripping compositions are used in the process wherein the resists have to be pre-cleaned with a particular cleaning composition containing 1 % by weight or more of hydrogen peroxide and ammonia or ammonium ion.
  • Ethanolamine, isopropanolamine, 2-(2-aminoethylamino)ethanol, N-methylethanolamine, N-ethylethanolamine, dicyclohexylamine, and TMAH may be used as the base not containing a metal.
  • the complete (A)-component is preferably used in an amount of from 0.01 to 1 % by weight, based on the weight of the resist stripping composition.
  • the base not containing a metal can be used in an amount of from 0.1 to 20% by weight, based on the weight of the resist stripping composition.
  • Diethyleneglycol monoethyl ether, diethyleneglycol monobutyl ether, N-methylpyrrolidone, and dimethyl sulfoxide can be used as the water-soluble organic solvents (B).
  • the international patent application WO 2004/100245 A1 discloses a resist stripping composition
  • a resist stripping composition comprising hbSiF ⁇ and/or HBF 4 , preferably in an amount of from 0.001 to 5% by weight of the composition, an organic solvent, preferably in an amount of from 50 to 89% by weight of the composition, optionally an amine, preferably in an amount of less than 1.5% by weight of the composition, a corrosion inhibitor, preferably in an amount of 0.001 to 10% by weight of the composition, and water as the balance.
  • N- methylpyrrolidone, diethyleneglycol monomethyl ether, or diethyleneglycol monobutyl ether can be used as the organic solvent.
  • Isopropanolamine, 2-(2- aminoethylamino)ethanol, 2-(2-aminoethoxy)ethanol, and ethanolamine can be used as the optional amine.
  • TMAH is only used in a so-called high water embodiment which is substantially free of organic solvents.
  • a resist stripping comprising a quaternary ammonium hydroxide such as TMAH, preferably an amount of from 1 to 20% by weight of the composition, water, preferably in an amount of from 5 to 60% by weight of the composition, a water-soluble organic solvent, such as dimethyl sulfoxide, N-methylpyrrolidone, diethyleneglycol monomethyl ether, diethyleneglycol monobutyl ether, and a water-soluble amine, such as ethanolamine, isopropanolamine, diethylenetriamine, 2-(2-aminoethoxy)ethanol, or N- methylethanolamine, preferably in an amount of from 10 to 50% by weight of the composition.
  • TMAH quaternary ammonium hydroxide
  • water preferably in an amount of from 5 to 60% by weight of the composition
  • a water-soluble organic solvent such as dimethyl sulfoxide, N-methylpyrrolidone, diethyleneglycol monomethyl ether, diethyleneglycol monobutyl ether
  • dilute aqueous resist stripping compositions comprising, for example, from 0.02 to 0.18% by weight of the composition of an ammonium fluoride, from 20 to 40% by weight of the composition of water, from 59 to 85% by weight of the composition of an amide and an ether solvent such as diethyleneglycol monoethyl ether diethyleneglycol monobutyl ether and N-methylpyrrolidone, from 0.2 to 5% by weight of an acid, from 0.2 to 5% by weight of an alkanolamine such as ethanolamine, isopropanolamine, N-methylethanolamine, or 2-(2-aminoethylamino)ethanol, and from 0.2 to 5% by weight of the composition of a quaternary ammonium compound such as TMAH.
  • These prior art resist stripping compositions can be used for removing ashed and non- ashed resists.
  • a resist stripping composition comprising a quaternary ammonium hydroxide such as TMAH, preferably in an amount of from 1 to 30% by weight of the composition, water, preferably in an amount of from 15 to 94% by weight of the composition, an organic polar solvent such as N-methylpyrrolidone, dimethyl sulfoxide, 3-amino-1-propanol and ethanolamine, or mixtures thereof, preferably in an amount of from 25 to 85% by weight, and hydroxyl amine or a hydroxyl amine derivative, preferably in an amount of from 2 to 12% by weight of the composition.
  • TMAH quaternary ammonium hydroxide
  • water preferably in an amount of from 15 to 94% by weight of the composition
  • an organic polar solvent such as N-methylpyrrolidone, dimethyl sulfoxide, 3-amino-1-propanol and ethanolamine, or mixtures thereof, preferably in an amount of from 25 to 85% by weight, and hydroxyl amine or
  • aqueous and nonaqueous resist stripping compositions for removing ashed and non-ashed resists, the said compositions comprising from 0.5 to 15% by weight of the composition of a quaternary ammonium compound such as TMAH or tetrabutylammonium hydroxide (TBAH), an organic solvent such as diethyleneglycol monomethyl ether or diethylene glycol monobutyl ether.
  • a quaternary ammonium compound such as TMAH or tetrabutylammonium hydroxide (TBAH)
  • organic solvent such as diethyleneglycol monomethyl ether or diethylene glycol monobutyl ether.
  • the Example K specifically discloses a resist stripping composition consisting of 65% by weight propyleneglycol methyl ether, 39% by weight propyleneglycol propyl ether, 0.4% by weight water, 0.6% by weight TBAH, 3% by weight p-toluenesulfonic acid, and 1 % by weight ethanolamine.
  • the Example L specifically discloses a resist stripping composition being free of water and consisting of 56% by weight propyleneglycol propyl ether, 35.5% by weight propyleneglycol methyl ether, 0.5% by weight TBAH, 6% by weight p- toluenesulfonic acid, and 2% by weight of ethanolamine.
  • the Example M specifically discloses a resist stripping composition consisting of 91.5% by weight propyleneglycol methyl ether, 0.2% by weight water, 0.2% by weight TBAH 6% by weight p-toluenesulfonic acid, and 2% by weight ethanolamine.
  • a resist stripping composition consisting of 91.5% by weight propyleneglycol methyl ether, 0.2% by weight water, 0.2% by weight TBAH 6% by weight p-toluenesulfonic acid, and 2% by weight ethanolamine.
  • E, F, J, N, O, A5 P and S, TMAH is used in higher amounts ranging from 2.5% by weight to 5.5% by weight.
  • both PGME and PGPE should mean propyleneglycol methyl ether.
  • PGPE really means propyleneglycol propyl ether.
  • the American patent application US 2008/0280452 A1 discloses a resist stripping composition for non-ashed resists having a high water content and comprising a quaternary ammonium hydroxide such as TMAH, TBAH or methyltripropylammonium hydroxide (MTPAH) preferably in an amount of from 1 to 20% by weight of the composition, a water-soluble organic solvent such as dimethyl sulfoxide and N- methylpyrrolidone, and a water-soluble amine such as ethanolamine, N- methylethanolamine and 2-(2-aminoethoxy)ethanol, preferably in an amount of from 10 to 15% by weight of the composition.
  • Table 2 discloses resist stripping compositions e.g.
  • TMAH 50% by weight dimethyl sulfoxide
  • stripping solution G 40% by weight water
  • 5% by weight TBAH 30% by weight N- methylpyrrolidone
  • 30% by weight dimethyl sulfoxide 30% by weight dimethyl sulfoxide
  • 25% by weight water stripping solution J
  • 5% by weight MTPAH 30% by weight dimethyl sulfoxide, 15% by weight N- methylpyrrolidone, 20% by weight water and 30% by weight 2-(2-aminoethoxy)ethanol.
  • a pretreatment with ozone water and/or aqueous hydrogen peroxide is mandatory.
  • the prior art resist stripping composition exhibit various drawbacks and disadvantages.
  • the resist stripping compositions containing N-methylpyrrolidone prompt concerns over environment, health and safety (EHS).
  • compositions having a high water content and/or a high quaternary ammonium hydroxide content can damage the low-k and, in particular, the ultra low-k materials used in the modern IC technology. Due to the complexing and chelating power of hydroxyl amine and hydroxyl amine derivatives, the compositions containing these compounds can cause corrosion of copper vias and interconnects. Both effects can lead to a partial or a complete failure of the IC.
  • the removal rate for resists, post-etch residues (PER) and barrier anti-reflective layers (BARC) of resist stripping compositions having a high content of organic solvents strongly depends on the concentration of the quaternary ammonium hydroxides. This strong dependence on the concentration renders the optimization of the compositions difficult and complex. In particular, if high concentrations are required in order to achieve high removal rates, the aforementioned disadvantageous effects are again obtained.
  • the known resist stripping compositions exhibit different removal rates for unchanged resists on the one hand and the PER and the BARC on the other hand.
  • the PER and the BARC are much more difficult to remove than the unchanged resists. This is because the PER are having a chemical nature different from the resists and because the BARC are customarily highly cross-linked materials which are not easy to dissolve or to disperse.
  • the prior art resist stripping compositions may satisfactorily remove the resists but exhibit unsatisfactory removal rates as far as the etch residues, which have a complex composition and, inter alia, contain Teflon-like materials and titanium and/or silicon containing materials, are concerned.
  • Three-dimensional (3D) technologies and architectures are becoming increasingly important in the IC technology because they hold the promise to further enable system performance increase in a time where device skaling has become increasingly challenging.
  • photoresists are employed for patterning through silicon vias (TSV) and also for plating and bumping (3D Stacked Integrated Circuit, 3D-SIC; 3D Wafer Level Packaging, 3D-WLP).
  • TSV through silicon vias
  • 3D-SIC 3D Wafer Level Packaging
  • plasma damaged photoresist i.e., post etch residues, PER
  • PER post etch residues
  • the patterning of TSV and the micro-bumping is often done on thinned silicon wafers which are bonded on carriers.
  • the resist stripping compositions must also be compatible with the glue material.
  • the new resist stripping compositions should no longer contain N- methylpyrrolidone, in order to dispense with the environmental, health and safety (EHS) problems caused by this solvent.
  • EHS environmental, health and safety
  • the new resist stripping compositions should no longer exhibit the disadvantageous effects associated with a high water content and/or a high quaternary ammonium hydroxide content and should no longer damage the low-k and, in particular, the ultra low- k materials used in the modern IC technology.
  • the new resist stripping compositions should no longer contain hydroxyl amine and hydroxyl amine derivatives so that the risk of the corrosion of copper vias and interconnects is minimized or, ideally, completely avoided.
  • the removal rate for resists, post-etch residues (PER) and barrier anti-reflective layers (BARC) of the new resist stripping compositions having a high content of organic solvents should no longer depend on the concentration of the quaternary ammonium hydroxides. This way, the optimization and the adaption of the new compositions to changing manufacturing parameters should be rendered simple, straightforward and efficient, so that high concentrations are no longer required in order to achieve high removal rates.
  • the new resist stripping compositions should exhibit the same or essentially the same removal rates for the unchanged resists on the one hand and the PER and the BARC on the other hand, so that the different chemical nature of the PER and the BARC offers no longer an obstacle for their efficient removal.
  • the new resist stripping compositions should not only excellently remove the resists but also exhibit excellent removal rates as far as the PER, which have a complex composition and contain Teflon-like materials and titanium and/or silicon containing materials, are concerned.
  • the new resist stripping compositions should significantly shorten the process times required for a complete stripping off of the patterned resists, the barrier anti-reflecting layers and the post-etch residues without damaging the low-k or ultra low-k materials and/or over-etching the copper surfaces.
  • the new methods of manufacturing electrical devices should no longer require a pre-treatment step before the removal step.
  • the use of ozone water and/or aqueous hydrogen peroxide should be completely dispensed with so that the concerns over EHS associated therewith no longer exist and the damage of the low-k and ultra-low-k materials by these strongly oxidizing solutions can be avoided completely.
  • the new methods of manufacture should yield flawless electrical devices which are completely or essentially free from defects, exhibit an excellent functionality and have a long service life.
  • the new resist stripping compositions should be capable of being most advantageously used in 3D technologies for the manufacture of 3D architectures, in particular, in the field of patterning through silicon vias (TSV) and also for plating and bumping (3D Stacked Integrated Circuit, 3D-SIC; 3D Wafer Level Packaging, 3D-WLP).
  • TSV through silicon vias
  • 3D-SIC 3D Wafer Level Packaging
  • 3D-WLP 3D Wafer Level Packaging
  • the novel liquid composition having been found, the said composition being free from N-alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives and comprising (A) at least two polar organic solvents, selected from the group consisting of solvents exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at 50 0 C for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophoric groups, and
  • composition or compositions of the invention being free from N-alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives is designated as "composition or compositions of the invention" as the case may be.
  • At least one resist stripping composition being free from N- alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives comprising
  • the novel method for manufacturing electrical devices is referred to as the "manufacturing method of the invention". Additionally, the new use of a liquid composition for removing negative-tone and positive- tone photoresists and post etch residues in the manufacture of 3D Stacked Integrated Circuits and 3D Wafer Level Packagings by way of patterning Through Silicon Vias and/or by plating and bumping has been found, the said liquid composition being free from N- alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives and comprising
  • compositions of the invention no longer contained N-alkylpyrrolidone, in particular, N-methylpyrrolidone, so that the environmental, health and safety (EHS) problems associated therewith were no longer present.
  • EHS environmental, health and safety
  • compositions of the invention no longer exhibited the disadvantageous effects associated with a high water content and/or a high quaternary ammonium hydroxide content and no longer damaged the low-k and, in particular, the ultra low-k materials used in the modern IC technology.
  • compositions of the invention no longer contained hydroxyl amine and hydroxyl amine derivatives so that the risk of the corrosion of copper vias and interconnects was considerably minimized or, in many cases, completely avoided.
  • the removal rate for resists, post-etch residues (PER) and barrier anti-reflective layers (BARC) of the compositions of the invention no longer depended on the concentration of the quaternary ammonium hydroxides.
  • PER post-etch residues
  • BARC barrier anti-reflective layers
  • compositions of the invention exhibited the same or essentially the same removal rates for the unchanged resists on the one hand and the PER and the BARC on the other hand, so that the different chemical nature of the PER and the BARC offered no longer an obstacle for their efficient removal.
  • compositions of the invention did not only excellently remove the resists but also exhibited excellent removal rates as far as the PER, which have a complex composition and contain Teflon-like materials and titanium and/or silicon containing materials, were concerned.
  • compositions of the invention significantly shortened the process times required for a complete stripping off of the patterned resists, the barrier anti- reflecting layers and the post-etch residues without damaging the low-k or ultra low-k materials and/or over-etching copper surfaces.
  • compositions of the invention could be prepared, stored, handled and used without causing environmental, health and safety (ESH) problems.
  • the method of preparation of the invention could be carried out in a simple, economical, safe and excellently reproducible way without causing ESH-problems and did not require any particular and special facilities and safety measures. It yielded liquid compositions, in particular compositions of the invention, which had excellent applicational and property profiles
  • the manufacturing method of the invention for electrical devices in particular semiconductor integrated circuits (ICs), liquid crystal panels, organic electroluminescent panels and printed circuit boards, micro machines, DNA chips and micro plants, especially ICs, no longer required a pre-treatment step before the removal step.
  • ICs semiconductor integrated circuits
  • the use of ozone water and/or aqueous hydrogen peroxide could be completely dispensed with so that the concerns over EHS associated therewith no longer existed and the damage of the low-k and ultra-low-k materials by these strongly oxidizing solutions could be avoided completely.
  • the manufacturing method of the invention yielded electrical devices which were completely or essentially free from defects, exhibited excellent functionalities and had a long service life.
  • compositions of the invention were most particularly suited for the use of the invention in 3D technologies for the manufacture of 3D architectures, in particular, in the field of patterning through silicon vias (TSV) and also for plating and bumping (3D
  • the present invention is directed to a liquid composition which is free from N-alkylpyrrolidones, in particular N-methylpyrrolidone and N-ethylpyrrolidone, as well as hydroxyl amine and hydroxyl amine derivatives, in particular hydroxyl amine derivatives as disclosed in the American patent applications US 2005/0266683 A1 , page 4, paragraphs [0046] to [0050], and US 2005/0263743 A1 , page 4, paragraph [0057] to page 5, paragraph [0063].
  • N-alkylpyrrolidones in particular N-methylpyrrolidone and N-ethylpyrrolidone
  • hydroxyl amine and hydroxyl amine derivatives in particular hydroxyl amine derivatives as disclosed in the American patent applications US 2005/0266683 A1 , page 4, paragraphs [0046] to [0050], and US 2005/0263743 A1 , page 4, paragraph [0057] to page 5, paragraph [0063].
  • the characteristic "liquid” means that the composition of the invention is liquid at least at room temperature (i.e. 23°C), preferably at least at 0 0 C and most preferably at least at -10 0 C.
  • the characteristic "free from” means that the relevant compounds cannot be detected in the composition of the invention with the known state-of-the-art analytical methods for qualitatively and/or quantitatively detecting N-alkylpyrrolidones hydroxyl amine and hydroxyl amine derivatives, e.g., gas chromatography and/or mass spectrometry.
  • the he composition of the invention exhibits a dynamic shear viscosity at 50 0 C as measured by rotational viscometry of from 1 to 10 mPas, preferably 2 to 8 mPas, more preferably 1.5 to 7 mPas and most preferably 2 to 6 mPas.
  • the composition of the invention also exhibits a dynamic shear viscosity at 23°C as measured by rotational viscometry of from 2 to 20 mPas, more preferably 3 to 16 mPas and most preferably 3 to 14 mPas.
  • composition of the invention can be a dispersion, i.e. an emulsion or a suspension, or a homogeneous composition, wherein all the ingredients are molecularly dispersed.
  • composition of the invention is a homogeneous, molecularly dispersed composition.
  • the composition of the invention comprises at least two polar organic solvents (A).
  • the polar organic solvents (A) can be aprotic or protic polar.
  • the amounts of the at least two polar organic solvents (A) can vary broadly and, therefore, can be adjusted most advantageously to the requirements of a given method of manufacture of the invention.
  • the composition of the invention comprises, based on the complete weight of the composition, of from 40, more preferably of from 45 and most preferably of from 50% by weight to 99.95% by weight or, more preferably, 99.94% by weight, of the at least two polar organic solvents (A).
  • the ratio of organic polar solvent (A1) to organic polar solvent (A2) can also vary broadly and, therefore, can be adjusted most advantageously to the requirements of a given method of manufacture of the invention.
  • the ratio (A1 ):(A2) is from 2:1 to 1 :2, more preferably from 1.5:1 to 1 :1.5, even more preferably from 1.3:1 to 1 :1.3 and most preferably from 1.1 :1 to 1 :1.1.
  • organic polar solvents (A) When more than two, e.g. three, four, five or n, organic polar solvents (A) are used in the composition of the invention, their ratio (A1):(A2):(A3):(A4):(A5):....(An) can also vary broadly and, therefore, can also be adjusted most advantageously to the requirements of a given method of manufacture of the invention.
  • the polar organic solvents (A1), (A2), (A3), (A4), (A5), and (An) are used in equal or nearly equal amounts.
  • the at least two polar organic solvents (A) are selected from the group consisting of solvents which exhibit in the presence of dissolved tetramethylammonium hydroxide (B) in an amount of from 0.06 to 4% by weight, based on the complete weight of the respective test solution (AB), at 50 0 C a constant removal rate for a 30 nm thick polymeric barrier anti- reflective layer containing deep UV absorbing chromophoric groups.
  • the characteristic "constant" means that, in the given range, the removal rate is completely or virtually independent from the concentration of the tetramethylammonium hydroxide (B).
  • the polymeric barrier anti-reflective layer is preferably applied onto a semiconductor wafer surface. Thereafter, the barrier anti- reflective layer on top of the semiconductor wafer surface is exposed to test solutions (AB) of tetramethylammonium hydroxide (B) in the polar organic solvent (A) to be tested having different concentrations of (B).
  • test solutions (AB) can contain, based on the complete weight of the test solution, up to 16% by weight of water (C).
  • test solutions solutions (AB) are stirred during the tests at a constant rotational speed, more preferably at 50 to 200 rpm, even more preferably at 75 to 125 rpm and most preferably at 100 rpm.
  • the barrier anti-reflective layers on top of the semiconductor wafer surfaces are exposed for the same time to the test solutions (AB).
  • the exposure time is 180 s.
  • the semiconductor wafer pieces carrying the barrier anti-reflective layers are removed from the test solutions (AB), rinsed with a polar organic solvent, preferably isopropanol, and, thereafter, with deionized water and dried with a dry non- reactive gas, preferably nitrogen.
  • a polar organic solvent preferably isopropanol
  • deionized water preferably deionized water
  • a dry non- reactive gas preferably nitrogen.
  • the rinsing and drying steps are carried out at moderate temperatures, preferably at temperatures of from 23 to 50 0 C.
  • the barrier anti-reflective layers After the drying step, it is examined by way of known and customary spectroscopic methods whether the barrier anti-reflective layers are still present.
  • transmission FTIR Freourier Transformation IR-Spectroscopy
  • their thickness is measured by way of known and customary methods for measuring the thickness of thin layers.
  • transmission FTIR and/or interferometry is or are used to this end.
  • the barrier anti-reflective layers are completely removed during the exposure to the test solutions (AB).
  • any known polymeric anti-reflective coating compositions as for example, those described in the American patent US 5,919,599, column 3, line 40 to column 16, line 36 and column 17, line 25 to column 18, line 25 in conjunction with the Figure 1 , can be used for preparing the polymeric barrier anti- reflective layers containing deep UV chromophoric groups.
  • the selective tests guarantee that the organic polar solvents (A) are selected such that the compositions of the invention are even more so capable of completely removing the patterned resists and the post-etch residues together with the barrier anti-reflective layers, most preferably within 180 s, without or essentially without redeposition.
  • the polar organic solvents (A) are having a boiling point at atmospheric pressure above 100 0 C, more preferably above 120 0 C and most preferably above 150°C.
  • the polar organic solvents (A) are having a flashpoint as measured in a closed cup above 50 0 C, more preferably above 55°C and most preferably above 60°C.
  • the at least two polar organic solvents (A) are selected from the group consisting of aliphatic polyamines comprising at least two primary amino groups, aliphatic alkanolamines having at least one carbon chain of at least 3 carbon atoms between one primary amino group and one hydroxyl group, aliphatic sulfoxides, and N-substituted imidazoles.
  • the solvent (A) is selected from the group consisting of diethylenetriamine (boiling point 207 0 C, flashpoint 102°C), N-methyl imidazole (boiling point 198°C, flashpoint 92°C), 3-amino-1-propanol (boiling point 187°C, flashpoint 101 0 C), 5-amino-1-pentanol (boiling point 222°C, flashpoint 65°C), and dimethyl sulfoxide (boiling point 189°C, flashpoint 87°C).
  • the composition of the invention furthermore comprises at least one, preferably one, quaternary ammonium hydroxide (B).
  • the amounts of the quaternary ammonium hydroxide (B) can vary broadly and, therefore can be adjusted most advantageously to the requirements of a given method of manufacture of the invention.
  • the composition of the invention comprises, based on the complete weight of the composition, of from 0.05 to 10 % by weight, more preferably of from 0.06 to 7% by weight, even more preferably of from 0.06 to 5% by weight, and most preferably 0.06 to 1 % by weight of at least one quaternary ammonium hydroxide (B).
  • the quaternary ammonium hydroxide (B) is selected from the group consisting of tetramethylammonium, tetraethylammonium, tetrapropylammonium, tetrabutylammonium, benzyltrimethylammonium, and (2-hydroxyethyl)ammonium hydroxide, in particular tetramethylammonium hydroxide.
  • the composition of the invention can be free of water which means that the water content can also be so low as to be undetectable by known and customary methods for the qualitative and quantitative detection of water.
  • the composition of the invention may comprise water in various, preferably small, amounts as the component (C).
  • the water content is preferably ⁇ 5% by weight, more preferably ⁇ 4% by weight, even more preferably ⁇ 3% by weight and most preferably ⁇ 2% by weight, each weight percentage being based on the complete weight of the composition of the invention.
  • composition of the invention can also contain at least one additional component selected from the group consisting of polar organic solvents (D) different from the solvents (A), corrosion inhibitors (E), chelating agents (F) , fluoride salts (G), and surfactants (H).
  • D polar organic solvents
  • E corrosion inhibitors
  • F chelating agents
  • F fluoride salts
  • H surfactants
  • the polar organic solvent (D) is selected from the group of solvents exhibiting, in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentages being based on the complete weight of the test solution (DB), a removal rate at 50 0 C for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophoric groups which increases with increasing concentration of tetramethylammonium hydroxide (B).
  • tetramethylammonium hydroxide (B) is preferably added as an aqueous solution containing, based on the complete weight of the aqueous solution, 25% by weight of tetramethylammonium hydroxide (B). Therefore, the test solutions (DB) can contain, based on the complete weight of the test solution, up to 16% by weight of water (C).
  • the removal rates of the test solutions (DB) are determined in the same way as described above for the test solutions (AB).
  • the removal rates of the test solutions (DB) are 0 nm to 100 nm under the conditions set out above at a concentration of 1 % by weight of tetramethylammonium hydroxide (B), based on the complete weight of the test solution (DB).
  • the polar organic solvents (D) are having a boiling point at atmospheric pressure above 100 0 C, more preferably above 120 0 C and most preferably above 150°C.
  • the polar organic solvents (D) are having a flashpoint as measured in a closed cup up 50 0 C, more preferably above 55°C and most preferably above 60°C.
  • the polar organic solvent (D) is selected from the group consisting of alkanol amines, alkylene glycol monoalkyl ethers, N-substituted piperidines, N-substituted cyclic ureas and N-substituted imidazoles, particularly, ethanolamine (boiling point 172°C, flashpoint 85°C), N-methylethanolamine (boiling point 160 0 C, flashpoint 72°C), N- ethylethanolamine (boiling point 168°C, flashpoint 78°C), isopropanolamine (boiling point
  • the concentration of the polar solvent (D) in the composition of the invention can vary broadly. However, the concentration should only be so high that the organic polar solvents (A) still mainly determines the property profile of the composition of the invention.
  • the weight ratio of the at least two polar organic solvents (A) to the polar organic solvent (D) is in the range of from 5:1 , more preferably 4:1 and, even more preferably 3:1 and most preferably 2.5:1.
  • any known corrosion inhibitor (E) for metals can be used.
  • the corrosion inhibitor is selected from the group consisting of copper corrosion inhibitors (E), as described in for example,
  • the copper corrosion inhibitors (E) may be used in broadly varying amounts. Preferably, they are used in the customary and effective amounts disclosed in the above-mentioned prior art.
  • any known chelating agent (F) can be used in the composition of the invention.
  • the chelating agent (F) is selected from the group of copper chelating agents (F), in particular, from the group of copper chelating agents (F) described in, for example, in the American patent applications
  • copper chelating agents (F) are also used as the copper corrosion inhibitors (E).
  • the copper chelating agents (F) may be used in broadly varying amounts. Preferably, they are used in the customary and effective amounts disclosed in the above-mentioned prior art.
  • any known fluoride salt (G) may be used in the compositions of the intervention.
  • the fluoride salt (G) is selected from the group of salts of hydrofluoric acid and a base not containing a metal, as described in the American patent application US 2004/0106531 A1 , page 3, paragraphs [0035] to [0041].
  • the fluoride salts (G) may be used in broadly varying amounts. Preferably, they are used in the customary and effective amounts disclosed in the cited prior art, in particular, in paragraph [0041].
  • any known surfactant (H) may be used in the composition of the invention.
  • the surfactant is selected from the group of surfactants as described in the American patent application US 2008/0280452 A1 , page 4, paragraph [0054] to page 5, paragraph [0061].
  • the surfactants (H) may be used in broadly varying amounts. Preferably, they are used in the customary and effective amounts disclosed in the cited prior art, in particular, in paragraph [0061].
  • compositions of the invention can be prepared in various ways. Preferably, they are prepared according to the method of production of the invention. It is an advantage of the present invention that the method of production of the invention can also be used for preparing other compositions than the compositions of the invention.
  • At least two polar organic solvents (A) are selected as described hereinbefore.
  • At least two of the selected polar organic solvents (A) and at least one quaternary ammonium hydroxide (B) as described hereinbefore are mixed together.
  • At least one additional component selected from the group consisting of polar organic solvents (D) different from the solvents (A), corrosion inhibitors (E), chelating agents (F) , fluoride salts (G), and surfactants (H) described hereinbefore can be added in the first process step or in a separate process step preferably in the preferred amounts disclosed in the cited prior art. It is essential for the method of production of the invention that the above-mentioned ingredients are mixed together in the absence of the N-alkylpyrrolidones, hydroxyl amine and hydroxyl amine derivatives as described above.
  • the shear viscosity at 50 0 C of the mixture resulting from the second process step can be adjusted to 1 to 10 mPas, preferably 2 to 8 mPas, more preferably 1.5 to 7 mPas and most preferably preferably 2 to 6 mPas.
  • the additional process step can be carried out as a separate step or can be integrated into each of the other process steps of the method of production of the invention.
  • the latter can be accomplished by carefully selecting the ingredients for the second process step such that the resulting mixture exhibits the required dynamic viscosity.
  • the composition of the invention also exhibits a dynamic shear viscosity at 23°C as measured by rotational viscometry of from 2 to 20 mPas, more preferably 3 to 16 mPas and most preferably 3 to 14 mPas.
  • Customary and standard mixing processes and mixing equipment such as agitated vessels, in-line dissolvers, high shear impellers, ultrasonic mixers, homogenizer nozzles or counterflow mixers can be used for carrying out the mixing of the ingredients of the compositions, in particular of the compositions of the invention.
  • compositions of the invention can be used for various purposes. In particular, they are used in the manufacturing method of the invention.
  • the manufacturing method of the invention yields most advantageous electrical devices, in particular semiconductor integrated circuits (ICs), liquid crystal panels, organic electroluminescent panels, printed circuit boards, micro machines, DNA chips and micro plants, especially however, ICs with LSI or VLSI.
  • the manufacturing method of the invention comprises the step of applying an insulating dielectric layer consisting of at least one low-k or ultra-low-k on top of a substrate in the first process step.
  • Suitable low-k or ultra-low-k materials and suitable methods of preparing the insulating dielectric layers are described in, for example, the American patent applications US 2005/0176259 A1 , page 2, paragraphs [0025] to [0027], US 2005/0014667 A1 , page 1 , paragraph [0003], US 2005/0266683 A1 , page 1 , paragraph [0003] and page 2, paragraph [0024] or US 2008/0280452 A1 , paragraphs [0024] to [0026] or in the American patent US 7,250,391 B2, column 1 , lines 49 to 54.
  • Suitable substrates are particularly semiconductor substrates customarily used for the manufacture of ICs such as silicon wafers.
  • a positive or negative resist layer is applied on top of the insulating dielectric layer.
  • Suitable materials and methods for preparing positive and negative resist layers are described in, for example, the American patent US 7,250,391 B2, column 1 , lines 55 to 60 or in the American patent applications US 2005/0176259 A1 , page 2, paragraphs [0029] and [0030], US 2006/0016785 A1 , page 3, paragraphs [0025] to [0027] or US 2008/0280452 A1 , paragraphs [0027] to [0029] and page 5, paragraph [0062].
  • the resist layer is selectivity exposed to electromagnetic radiation or corpuscular radiation.
  • UV-rays, deep UV-rays, excimer laser rays, in particular, KrF-, ArF- or F2- excimer laser rays, or X-rays are used as the electromagnetic radiation.
  • the resist layer may be exposed to a light source capable of emitting such active rays, as for example, low-pressure mercury lamps, high-pressure mercury lamps, ultra-high- pressure mercury lamps or xenon lamps, through a desired mask pattern.
  • the resist layer can also be directly exposed to corpuscular radiation, preferably, to electron beams.
  • the resist pattern can be further baked (post-exposure baking).
  • the selectively exposed resist layer is developed with a developer, preferably an aqueous alkaline solution as described in, for example, the American patent application US 2008/0280452 A1 , page 5, paragraph [0062], to yield the resist pattern.
  • the insulating dielectric layer is dry-etched using the resist pattern as a mask to form wire trenches and/or via holes communicating with the surface of the layer below, such as the surface of the substrate, the surface of the wiring of the level below, which wiring consists of at least one material having a low electrical resistivity, in particular copper or a copper alloy, or of the surface of an etch-stop layer, as for example, a silicon oxide nitride layer, interposed between the surface of the level below and the insulating dielectric layer to be dry-etched.
  • a fluorine containing plasma in particular, on the basis of a fluorocarbon gas is used as a dry-etching agent.
  • post-etch residues are generated, which must be removed in the course of the BEOL (back-end of the line) process of manufacturing electrical devices.
  • These post-etch residues can have varying compositions comprising Teflon-like materials and titanium and/or silicon containing materials.
  • At least two polar organic solvents (A) are selected as described hereinbefore.
  • the at least two selected polar organic solvent (A) are used for preparing at least one, preferably one, composition of the invention as the resist stripping composition as described hereinbefore.
  • the at least one, preferably one, resist stripping composition prepared in accordance with the seventh process step is used for removing the resist pattern and the post-etch residues by an all-wet process.
  • the efficiency of the resist stripping process step eight can be enhanced by irradiating the resist stripping solution with ultrasound.
  • the eighth process step is carried out at temperatures of from 0 to 70 0 C, more preferably 10 to 65°C and most preferably 50 to 60 0 C. It is one of the major advantages of the manufacturing method of the invention that, due to the use of the resist stripping composition of the invention, an ashing step, in particular, an ashing step using an oxygen containing plasma, or a pre-cleaning step, in particular, a precleaning step using ozone water or hydrogen peroxide, can be dispensed with. Moreover, no or only very little redeposition of hardened resist particles and/or post-etch residues can be observed.
  • the resulting structure of wire trenches and/or via holes can be rinsed, in particular with deionized water, in order to remove any remaining resist stripping composition. Thereafter, the resulting structure can be dried, preferably with a dry non-reactive gas, in particular, nitrogen.
  • a dry non-reactive gas in particular, nitrogen.
  • the wire trenches and via holes are filled with at least one material having a low electrical resistivity.
  • copper and copper alloys most preferably copper, is used for this purpose.
  • known copper electroplating solutions and electroplating methods as, for example, described in the American patent application US 2006/0213780 A1 can be employed.
  • a hard mask layer as described in, for example, the American patents US 6,074,946 or US 6,218,078 B1 or the American patent applications US 2008/0286977 A1 , US 2008/10305441 A1 , US 008/0305625 A1 or US 2009/0035944 A1 can be used.
  • the said hard mask layer is selectively etched in the fifth process step using the resist pattern resulting from the fourth process step as the mask.
  • a barrier anti-reflective layer as, for example, described in the American patent US 5,919,599 can be interposed between the resist layer and the insulating dielectric layer. Additionally, the barrier anti-reflective layer can also be interposed between the hard mask layer and the resist layer. In both cases, the barrier anti-reflective layer is selectively etched in the fifth process step using the resist pattern resulting from the fourth process step as the mask, and is completely removed together with the patterned resist and the post-etch residues in the eighth process step.
  • the resulting surface can be polished by chemical mechanical polishing (CMP) employing methods and equipment well-known in the art of manufacturing electrical devices such as ICs. Thereafter, another layer of low-k dielectric material, optionally another hard mask layer, optionally another barrier anti-reflective layer, and, obligatorily, another resist layer can be applied whereafter the manufacturing process of the invention is repeated.
  • CMP chemical mechanical polishing
  • the electrical devices prepared in accordance with the manufacturing method of the invention have an excellent functionality and a very long service life.
  • compositions of the invention are that, due to the high a boiling points of the organic polar solvents (A) and the optional organic polar solvents (D) used, they all exhibit a low vapor pressure at medium temperatures, in particular, in the temperature range of from room temperature up to 100 0 C. Moreover, due to the high flashpoints of the organic polar solvents (A) and the optional organic polar solvents (D) used, all the compositions of the invention are not readily flammable and not easily ignitable. Last but not least, the organic polar solvents (A) and the optional organic polar solvents (D) are not critical in terms of ESH. Therefore, this equally applies to compositions of the invention they are contained in. Consequently, the compositions of the invention can be prepared, stored, handled, used and disposed of without causing ESH problems.
  • composition of the invention is that it is particularly suitable for the use of the invention.
  • the composition of the invention is used for removing positive-tone and negative-tone resists as well as PER from blanket wafers and patterned wafers customarily used for the manufacture of 3D IC architectures which are also referred to as 3D-SIC and 3D-WLP.
  • the interconnects are manufactured by way of TSV, plating and/or bumping, in particular micro-bumping (cf. imec, Scientific Report 2008, Advanced Packaging and Interconnect, 3D Interconnect and Packaging, 3D Stacked IC (3D-SIC), 3D-WLP: Micro-Bumping).
  • the composition of the invention is applied to the photoresists and PER to be removed from blanket and patterned wafers by known and customary methods and equipment. After the removal of the photoresists, the wafers are rinsed and dried.
  • the success of the removal step i.e., the complete absence of the photoresists ad PER, can be confirmed by optical, scanning electron microscopy (X-SEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectroscopy inspection.
  • X-SEM scanning electron microscopy
  • AFM atomic force microscopy
  • FTIR Fourier transform infrared
  • the composition of the invention is capable of removing positive-tone and negative-tone photoresists and PER from the blanket and patterned wafers fast and completely without damaging the fine structures of the patterned wafers or the glue materials present.
  • polar organic solvents listed in the Table 1 were preselected according to their cleaning performance and to their high boiling points, high flashpoints and environmental, health and safety (EHS) ratings (i.e., the solvents should cause as little EHS problems as possible) from polar organic solvents (S) from the group consisting of acid chlorides, chloroformates, alcohols, diols, polyols, aldehydes, acetals, ketones, amines, amino alcohols, carboxylic acids and derivatives, heterocyclic compounds, ionic liquids, nitriles, urea derivatives, vinyl compounds, vinyl ethers, and aliphatic amides.
  • EHS environmental, health and safety
  • test solutions of tetramethylammonium hydroxide (TMAH) (B) in each of the solvents (S) listed in the Table 1 were prepared.
  • Each series of test solutions (SB) consisted of seven solutions having TMAH concentrations of 0.06, 0.1 , 0.2, 0.5, 1.0, 2.0 and 4.0% by weight, the weight percentages being based on the complete weight of the respective test solution (SB), by adding the appropriate amounts of an aqueous solution containing 25% by weight TMAH.
  • a coated piece of the silicon semiconductor wafer was exposed in a beaker at 50 0 C for 180 s to a test solution (SB) which was stirred with 100 rpm. Thereafter, the coated piece of the silicon semiconductor wafer was removed from the test solution (SB), rinsed with isopropanol and then with deionized water and dried at 50 0 C with a stream of dry nitrogen. After cooling down to room temperature, it was investigated by transmission FTIR and interferometry whether and, if yes, in what thickness the cross-linked polymeric barrier anti-reflective layer was still present.
  • Pieces of silicon semiconductor wafers were coated with 400 nm thick ultra low-k layers consisting of carbon-doped silicon oxide (Black DiamondTM produced by Applied Materials, Inc.).
  • an untreated ultra low-k layer was annealed at 150 0 C for 120 minutes as the reference point. If at all, the annealing caused only very minimal changes of the thickness and of the refractive index.
  • the pieces of silicon semiconductor wafer coated with ultra low-k layers were then exposed to the stirred (100 rpm) test solutions (SB) in beakers at 50 0 C for 180 seconds. Thereafter, the pieces were taken from the test solutions (SB), rinsed with isopropanol and water and then dried in a stream of dry nitrogen at 50°C. After cooling down to room temperature, the changes of the thickness of the ultra low-k layers and of the refractive index were measured:
  • test solutions (SB) containing ⁇ 0.5% by weight TMAH exhibited an etching rate below 1 nm/minute, whereas the test solutions (SB) containing more 1 % by weight, 2% by weight and 4% by weight of TMAH exhibited much higher etching rates.
  • compositions containing the polar organic solvents (A) selected in accordance with the Example 1 and quaternary ammonium hydroxides, in particular TMAH, in low concentrations are most particularly well suited and can be most advantageously used as resists stripping compositions for the removal of patterned photoresists, polymeric barrier anti-reflective layers and post-etch residues in the back-and-of the line (BEOL) copper damascene process for the manufacture of ICs with VLI and VLSI without damaging the ultra-low-k materials or etching the copper surfaces.
  • Example 3 The use of compositions containing at least two polar organic solvents (A) and TMAH in low concentrations as resists stripping compositions
  • the coated silicon semiconductor wafers were selectively irradiated with 194 nm deep UV radiation through test masks having various apertures with dimensions below 100 nm, thereby solubilizing the exposed areas of the negative resist. Thereafter, the masks were removed and the irradiated resists layers were developed with an aqueous sodium hydroxide solution to yield the desired resist patterns.
  • the upper surface of the coated silicon semiconductor wafers were then exposed to a fluorine containing etching plasma using the patterned resists as the masks, thereby removing the areas of the polymeric barrier anti-reflective layers of the titanium nitride hard mask layers not protected by the resist patterns.
  • the ultra-low-k layers were not etched through but, at the most, only down to a small depth as compared with the complete thickness of the ultra low-k layers.
  • Resist stripping compositions were prepared by mixing the ingredients in the desired amounts and homogenizing the resulting mixtures. The ingredients and their amounts are compiled in the Table 3. The percentages are given in percent by weight, based on the complete weight of the respective resists stripping composition.
  • the weight ratio of the polar organic solvent (A1) to the polar organic solvent (A2) was 1 :1.
  • the weight ratio of the polar organic solvents (A1 ):(A2):(D) was 1 :1 :1.
  • the weight ratio of the polar organic solvents (A1 ):(A2):(A3) was 1 :1 :1.
  • Three series of the resists stripping compositions 3.1 to 3.82 of Table 3 were used to remove the patterned resists, the patterned barrier anti-reflective layers and post-etch residues from the etched coated silicon semiconductor wafers.
  • the wafers were placed into beakers and exposed to the stirred (100 rpm) resists stripping compositions at 50 0 C in three series for 300 s, 180 s and 90 s. Thereafter, the wafers were taken from the resist stripping compositions, rinsed with isopropanol and then with water and dried with a stream of dry nitrogen at 50 0 C. After cooling down to room temperature, the structures of the hard masks were inspected for defects with AFM (atomic force microscopy) and SEM (scanning electron microscopy).
  • AFM atomic force microscopy
  • SEM scanning electron microscopy
  • the step heights of the patterned hard masks equalled exactly their original thickness even after 90 s only, demonstrating that the resist stripping compositions had completely removed the patterned resists, the patterned barrier anti-reflective layers and post-etch residues without attacking the ultra low-k layers in an advantageously short process time.
  • the patterned hard masks reproduced exactly the structure of the test masks. No defects, deformations, irregular sidewalls, residues or redeposited materials could be observed which even more so underlined that the resist stripping compositions exhibited an excellent cleaning power combined with an excellent compatibility.
  • Example 4 The Use of Compositions Containing the Polar Organic Solvents (A) and TMAH in Low Concentrations for Stripping Positive-Tone and Negative-Tone Photoresists and Post- Etch Residues and the Compatibility of the Compositions with Glue Materials Bonding Thinned Silicon Wafers on Carriers
  • compositions 3.1 to 3.82 of the Example 3 were used for carrying out the Exampled 4.
  • Blanket silicon wafer pieces coated with commercially available positive-tone resist or negative-tone resist layers having a thickness of 3.5 ⁇ m, 7 ⁇ m and 5 ⁇ m, respectively were exposed to the compositions 3.1 to 3.82 at 65°C for 5 minutes in beakers. They were subsequently rinsed with de-ionized water for 3 minutes and dried using a nitrogen gun.

Abstract

A liquid composition being free from N-alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives comprising (A) at least two polar organic solvents, selected from the group consisting of solvents exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at 50°C for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophobe groups, and (B) at least one quaternary ammonium hydroxide, a method for its preparation, a method for manufacturing electrical devices and its use for removing negative-tone and positive-tone photoresists and post etch residues in the manufacture of 3D Stacked Integrated Circuits and 3D Wafer Level Packagings by way of patterning Through Silicon Vias and/or by plating and bumping.

Description

Resist stripping compositions and methods for manufacturing electrical devices
Field of the Invention
The present invention relates to new resist stripping compositions useful for removing patterned resists from substrates, in particular semiconductor substrates, containing copper and low-k or ultra low-k materials.
Moreover, the present invention relates to new methods for manufacturing electrical devices, in particular semiconductor integrated circuits (ICs), liquid crystal panels, organic electroluminescent panels, printed circuit boards, micro machines, DNA chips and micro plants, especially ICs, which new methods make use of the new resist stripping compositions.
Description of the Prior Art
Resists such as deep UV photo resists or electron beam resists are used in the microlithographic technique for producing a wide range of electrical devices, e.g. semiconductor integrated circuits (ICs), liquid crystal panels, organic electroluminescent panels, printed circuit boards, micro machines, DNA chips and micro plants, in particular ICs with LSI (large-scale integration) or VLSI (very-large-scale integration).
Nowadays, copper is customarily used as the low electrical resistance or wiring material in the electrical devices, in particular in the vias and interconnects contained in the ICs. The increasing use of copper and the ever decreasing dimensions of the electrical structures together with the ever increasing functionalities of the ICs require the use of low-k and ultra low-k materials in order to avoid problems with wiring resistance and wiring delay caused by high wiring capacities. These challenging developments have demanded and still demand the continuing optimization of the methods of manufacture and of the materials utilized therefore.
Upon forming a copper metal wiring, in particular, a process is used in which a copper multi-layer wiring is formed without etching copper by using a dual damascene process. Owing to the low etching resistance of copper, various kinds of dual damascene processes have been proposed. One example thereof comprises the formation of a copper layer and the formation of a low-k layer (e.g., SiOC layer) on top of the copper layer followed by the formation of a resist layer as the uppermost layer. Optionally, a metal nitride layer (e.g., TiN layer) can be formed on top of the low-k layer before the resist layer is applied. In another variant, a barrier anti-reflective layer (BARC) is interposed between the metal nitride layer and the resist layer.
Thereafter, the resist layer is selectively exposed to electromagnetic radiation or electron beams and developed to form a resist pattern ("first photo resist pattern"). Then, by using the first resist pattern as a mask pattern, the low-k or ultra low-k layer is partly dry-etched by way of a fluorine containing plasma. The joint use of a metal nitride layer in this process step is customarily designated as "hard mask technique". Thereafter, the first resist pattern is stripped away by an oxygen plasma ashing treatment. This way, wiring trenches are formed.
Next, another resist pattern ("second resist pattern") is newly formed as the uppermost layer on the remaining multilayer structure, and the remaining low-k or ultra low-k layer is again partly etched away by using the second photo resist pattern as a mask pattern, thereby forming the via holes which communicate with the wiring trenches and the copper interconnect wiring of the level below. Thereafter, the second photo resist pattern is also stripped away by oxygen plasma ashing treatment.
The wiring trenches and via holes are then filled with copper preferably by electroplating, thereby creating multilayer copper wiring conductors.
The substrate for use in these processes may optionally be provided with a barrier layer (e.g., SiN layer or SiC layer) as an etch-stop layer between the copper layer and the low-k layer. In such a case, via holes and trenches are formed, and then, while the barrier layer exposed out on the substrate is kept as such or after the barrier layer has been removed, the photo resist is stripped away and, thereafter, the via holes and the wiring trenches are filled with copper.
In the above described dual damascene process, silicon deposition may readily occur, resulting from the low-k layer during the etching treatment and the oxygen plasma ashing treatment for forming the via holes on the trenches, and this may form silicon deposits around the opening of the trenches. In addition, a deposition that results from the resists may also occur. If these deposits are not completely removed, they can significantly decrease the yield in semiconductor production. Accordingly, oxygen plasma ashing treatment has been used for the removal of the resist patterns and etching residues in conventional patterning for metal wiring. However, the development of ultra-micropatterning technology, a material having a lower dielectric constant, i.e. an ultra low-k material, must be used for the insulating low-k layer. At present, a process of using an ultra-low-k layer having a dielectric constant of 3 or less has been developed. However, the ultra low-k materials are poorly resistant or not resistant at all to ashing. Consequently, a process not including an oxygen plasma ashing step after etching must be employed, when such ultra-low-k materials are used.
To these end, so-called all-wet post-etch residue removal (PERR) processes have been developed and disclosed in the prior art.
The American patent application US 2003/0148624 A1 discloses a resist stripping composition for removing ashed and non-ashed resists, the said compositions containing quaternary ammonium hydroxides such as tetramethylammonium hydroxide (TMAH), and organic solvents such as ethanolamine, 1-amino-2-propanol, aminoethoxyethanol, 1- methylaminoethanol, dimethyl sulfoxide, N-methylpyrrolidone, diethyleneglycol monomethyl ether, or diethylenglycol monobutyl ether. The examples specifically disclose a resist stripping composition consisting of 5% by weight ethanolamine, 50% by weight dimethyl sulfoxide, 5% by weight propylene glycol, 0.05% by weight TMAH, 39.55% by weight of water, and 1 ppm or lower of dissolved oxygen, and a resist stripping composition consisting of 28% by weight 1-amino-2-propanol, 62% by weight N- methylpyrrolidone, 1 % by weight TMAH, 9% by weight water, and 1 ppm of dissolved oxygen. These prior art resist stripping compositions are used in the process wherein the resists have to be pre-cleaned with a particular cleaning composition containing 1 % by weight or more of hydrogen peroxide and ammonia or ammonium ion.
The American patent application US 2004/0106531 A1 and the corresponding American patent US 7,250,391 B2 disclose resist stripping compositions containing
(A) a salt of hydrofluoric acid and a base not containing a metal,
(B1 ) a water-soluble organic solvent, (C) an acid selected from the group consisting of organic acids and inorganic acids, and
(D) water
as the obligatory ingredients, and
(E) an ammonium salt
as an optional ingredient.
Ethanolamine, isopropanolamine, 2-(2-aminoethylamino)ethanol, N-methylethanolamine, N-ethylethanolamine, dicyclohexylamine, and TMAH may be used as the base not containing a metal. The complete (A)-component is preferably used in an amount of from 0.01 to 1 % by weight, based on the weight of the resist stripping composition. When used together with diphosphonic acid (C), the base not containing a metal can be used in an amount of from 0.1 to 20% by weight, based on the weight of the resist stripping composition.
Diethyleneglycol monoethyl ether, diethyleneglycol monobutyl ether, N-methylpyrrolidone, and dimethyl sulfoxide can be used as the water-soluble organic solvents (B).
The international patent application WO 2004/100245 A1 discloses a resist stripping composition comprising hbSiFβ and/or HBF4, preferably in an amount of from 0.001 to 5% by weight of the composition, an organic solvent, preferably in an amount of from 50 to 89% by weight of the composition, optionally an amine, preferably in an amount of less than 1.5% by weight of the composition, a corrosion inhibitor, preferably in an amount of 0.001 to 10% by weight of the composition, and water as the balance. N- methylpyrrolidone, diethyleneglycol monomethyl ether, or diethyleneglycol monobutyl ether can be used as the organic solvent. Isopropanolamine, 2-(2- aminoethylamino)ethanol, 2-(2-aminoethoxy)ethanol, and ethanolamine can be used as the optional amine. TMAH is only used in a so-called high water embodiment which is substantially free of organic solvents.
The related American patent applications US 2005/0176259 A1 and US 2007/0298619 A1 disclose a resist stripping comprising a quaternary ammonium hydroxide such as TMAH, preferably an amount of from 1 to 20% by weight of the composition, water, preferably in an amount of from 5 to 60% by weight of the composition, a water-soluble organic solvent, such as dimethyl sulfoxide, N-methylpyrrolidone, diethyleneglycol monomethyl ether, diethyleneglycol monobutyl ether, and a water-soluble amine, such as ethanolamine, isopropanolamine, diethylenetriamine, 2-(2-aminoethoxy)ethanol, or N- methylethanolamine, preferably in an amount of from 10 to 50% by weight of the composition. These prior art resist stripping compositions are used in a process wherein the patterned resists have to be pretreated with ozone water and/or aqueous hydrogen peroxide before being stripped.
The American patent application US 2005/0014667 A1 and its corresponding patent US 7,399,365 B2 both disclose dilute aqueous resist stripping compositions comprising, for example, from 0.02 to 0.18% by weight of the composition of an ammonium fluoride, from 20 to 40% by weight of the composition of water, from 59 to 85% by weight of the composition of an amide and an ether solvent such as diethyleneglycol monoethyl ether diethyleneglycol monobutyl ether and N-methylpyrrolidone, from 0.2 to 5% by weight of an acid, from 0.2 to 5% by weight of an alkanolamine such as ethanolamine, isopropanolamine, N-methylethanolamine, or 2-(2-aminoethylamino)ethanol, and from 0.2 to 5% by weight of the composition of a quaternary ammonium compound such as TMAH. These prior art resist stripping compositions can be used for removing ashed and non- ashed resists.
The related American patent applications US 2005/0266683 A1 and US 2005/0263743 A1 both disclose a resist stripping composition comprising a quaternary ammonium hydroxide such as TMAH, preferably in an amount of from 1 to 30% by weight of the composition, water, preferably in an amount of from 15 to 94% by weight of the composition, an organic polar solvent such as N-methylpyrrolidone, dimethyl sulfoxide, 3-amino-1-propanol and ethanolamine, or mixtures thereof, preferably in an amount of from 25 to 85% by weight, and hydroxyl amine or a hydroxyl amine derivative, preferably in an amount of from 2 to 12% by weight of the composition. Allegedly, the use of an ashing step employing an oxygen plasma can be dispensed with.
The American patent application US 2006/0016785 A1 discloses aqueous and nonaqueous resist stripping compositions for removing ashed and non-ashed resists, the said compositions comprising from 0.5 to 15% by weight of the composition of a quaternary ammonium compound such as TMAH or tetrabutylammonium hydroxide (TBAH), an organic solvent such as diethyleneglycol monomethyl ether or diethylene glycol monobutyl ether.
The Example K specifically discloses a resist stripping composition consisting of 65% by weight propyleneglycol methyl ether, 39% by weight propyleneglycol propyl ether, 0.4% by weight water, 0.6% by weight TBAH, 3% by weight p-toluenesulfonic acid, and 1 % by weight ethanolamine. The Example L specifically discloses a resist stripping composition being free of water and consisting of 56% by weight propyleneglycol propyl ether, 35.5% by weight propyleneglycol methyl ether, 0.5% by weight TBAH, 6% by weight p- toluenesulfonic acid, and 2% by weight of ethanolamine. The Example M specifically discloses a resist stripping composition consisting of 91.5% by weight propyleneglycol methyl ether, 0.2% by weight water, 0.2% by weight TBAH 6% by weight p-toluenesulfonic acid, and 2% by weight ethanolamine. According to the Examples C, E, F, J, N, O, A5, P and S, TMAH is used in higher amounts ranging from 2.5% by weight to 5.5% by weight. According to the list of abbreviations used in these Examples, both PGME and PGPE should mean propyleneglycol methyl ether. However, it is assumed that PGPE really means propyleneglycol propyl ether.
The American patent application US 2008/0280452 A1 discloses a resist stripping composition for non-ashed resists having a high water content and comprising a quaternary ammonium hydroxide such as TMAH, TBAH or methyltripropylammonium hydroxide (MTPAH) preferably in an amount of from 1 to 20% by weight of the composition, a water-soluble organic solvent such as dimethyl sulfoxide and N- methylpyrrolidone, and a water-soluble amine such as ethanolamine, N- methylethanolamine and 2-(2-aminoethoxy)ethanol, preferably in an amount of from 10 to 15% by weight of the composition. In particular, Table 2 discloses resist stripping compositions e.g. consisting of 10% by weight TMAH, 50% by weight dimethyl sulfoxide, and 40% by weight water (stripping solution G), 5% by weight TBAH, 30% by weight N- methylpyrrolidone, 30% by weight dimethyl sulfoxide, and 25% by weight water (stripping solution J), or 5% by weight MTPAH, 30% by weight dimethyl sulfoxide, 15% by weight N- methylpyrrolidone, 20% by weight water and 30% by weight 2-(2-aminoethoxy)ethanol. However, for a complete removal of the resists, a pretreatment with ozone water and/or aqueous hydrogen peroxide is mandatory.
The prior art resist stripping composition exhibit various drawbacks and disadvantages. Thus, the resist stripping compositions containing N-methylpyrrolidone prompt concerns over environment, health and safety (EHS).
Compositions having a high water content and/or a high quaternary ammonium hydroxide content can damage the low-k and, in particular, the ultra low-k materials used in the modern IC technology. Due to the complexing and chelating power of hydroxyl amine and hydroxyl amine derivatives, the compositions containing these compounds can cause corrosion of copper vias and interconnects. Both effects can lead to a partial or a complete failure of the IC.
The removal rate for resists, post-etch residues (PER) and barrier anti-reflective layers (BARC) of resist stripping compositions having a high content of organic solvents strongly depends on the concentration of the quaternary ammonium hydroxides. This strong dependence on the concentration renders the optimization of the compositions difficult and complex. In particular, if high concentrations are required in order to achieve high removal rates, the aforementioned disadvantageous effects are again obtained.
Quite often, the known resist stripping compositions exhibit different removal rates for unchanged resists on the one hand and the PER and the BARC on the other hand. In most cases, the PER and the BARC are much more difficult to remove than the unchanged resists. This is because the PER are having a chemical nature different from the resists and because the BARC are customarily highly cross-linked materials which are not easy to dissolve or to disperse.
Moreover, the prior art resist stripping compositions may satisfactorily remove the resists but exhibit unsatisfactory removal rates as far as the etch residues, which have a complex composition and, inter alia, contain Teflon-like materials and titanium and/or silicon containing materials, are concerned.
Additionally, many processes utilizing prior art resist stripping compositions require a pre- treatment step before the removal step. Quite often, ozone water and/or aqueous hydrogen peroxide is or are used. Apart from the concerns over EHS, these strongly oxidizing solutions can damage the low-k and ultra low-k materials, in particular the carbon-doped silicon oxide (SiOC) materials by oxidizing the carbon therein contained. Last but not least, the prior art processes require comparatively long process times in order to achieve a complete stripping off of the patterned resists, the barrier anti-reflecting layers and the post-etch residues without damaging the low-k or ultra low-k materials and/or over-etching the copper surfaces. If one attempts to shorten the process times, for example by increasing the contents of reactive ingredients such as quaternary ammonium hydroxides, fluorides or chelating agents, damage of the low-k or ultra low-k materialsand/or over-etching of copper surfaces result in many cases.
Three-dimensional (3D) technologies and architectures are becoming increasingly important in the IC technology because they hold the promise to further enable system performance increase in a time where device skaling has become increasingly challenging.
For 3D applications, photoresists are employed for patterning through silicon vias (TSV) and also for plating and bumping (3D Stacked Integrated Circuit, 3D-SIC; 3D Wafer Level Packaging, 3D-WLP).
Customarily, few micrometer thick positive-tone photoresists are used for 3D-WLP TSV etch. A combination of dry silicon etch and wet photoresist stripping is commonly used. In addition, negative-tone photoresists can also be used as mold for copper plating and micro-bumping applications. However, the prior art resist stripping compositions are not always capable to remove both, negative-tone and positive-tone photoresists, in the same manner.
Quite often, plasma damaged photoresist, i.e., post etch residues, PER, are difficult to remove. In order to get rid of such PER, the application of an additional physical force is often necessary.
For the 3D-WLP approach, the patterning of TSV and the micro-bumping is often done on thinned silicon wafers which are bonded on carriers. In this case, the resist stripping compositions must also be compatible with the glue material.
In view of this, it would be highly desirable to have a resist stripping composition at hand which composition is capable of removing positive-tone and negative-tone photoresists and PER in the same most advantageous manner without damaging blanket wafers surfaces, patterned wafer structures and the glue material bonding thinned silicon wafers on carriers. However, the prior art photoresist strippers are not able or are only partially able to fulfill these challenging requirements.
Objects of the invention
Therefore, it has been the object of the present invention to provide new resist stripping compositions and new methods for manufacturing electrical devices making use of the new resist stripping compositions, which compositions and methods no longer exhibit the drawbacks and disadvantages of the prior art set out about above.
In particular, the new resist stripping compositions should no longer contain N- methylpyrrolidone, in order to dispense with the environmental, health and safety (EHS) problems caused by this solvent.
The new resist stripping compositions should no longer exhibit the disadvantageous effects associated with a high water content and/or a high quaternary ammonium hydroxide content and should no longer damage the low-k and, in particular, the ultra low- k materials used in the modern IC technology. In addition, the new resist stripping compositions should no longer contain hydroxyl amine and hydroxyl amine derivatives so that the risk of the corrosion of copper vias and interconnects is minimized or, ideally, completely avoided.
The removal rate for resists, post-etch residues (PER) and barrier anti-reflective layers (BARC) of the new resist stripping compositions having a high content of organic solvents should no longer depend on the concentration of the quaternary ammonium hydroxides. This way, the optimization and the adaption of the new compositions to changing manufacturing parameters should be rendered simple, straightforward and efficient, so that high concentrations are no longer required in order to achieve high removal rates.
The new resist stripping compositions should exhibit the same or essentially the same removal rates for the unchanged resists on the one hand and the PER and the BARC on the other hand, so that the different chemical nature of the PER and the BARC offers no longer an obstacle for their efficient removal.
Moreover, the new resist stripping compositions should not only excellently remove the resists but also exhibit excellent removal rates as far as the PER, which have a complex composition and contain Teflon-like materials and titanium and/or silicon containing materials, are concerned.
Last but not least, the new resist stripping compositions should significantly shorten the process times required for a complete stripping off of the patterned resists, the barrier anti-reflecting layers and the post-etch residues without damaging the low-k or ultra low-k materials and/or over-etching the copper surfaces.
The new methods of manufacturing electrical devices, in particular semiconductor integrated circuits (ICs), liquid crystal panels, organic electroluminescent panels and printed circuit boards, micro machines, DNA chips and micro plants, especially ICs, utilizing the new resist stripping compositions should no longer require a pre-treatment step before the removal step. In particular, the use of ozone water and/or aqueous hydrogen peroxide should be completely dispensed with so that the concerns over EHS associated therewith no longer exist and the damage of the low-k and ultra-low-k materials by these strongly oxidizing solutions can be avoided completely. On the whole, the new methods of manufacture should yield flawless electrical devices which are completely or essentially free from defects, exhibit an excellent functionality and have a long service life.
In addition to these objects, the new resist stripping compositions should be capable of being most advantageously used in 3D technologies for the manufacture of 3D architectures, in particular, in the field of patterning through silicon vias (TSV) and also for plating and bumping (3D Stacked Integrated Circuit, 3D-SIC; 3D Wafer Level Packaging, 3D-WLP). In these applications, they should be capable of removing positive-tone and negative-tone photoresists and PER in the same most advantageous manner without damaging blanket wafers surfaces, patterned wafer structures and the glue material bonding thinned silicon wafers on carriers.
Summary of the Invention
Accordingly, the novel liquid composition has been found, the said composition being free from N-alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives and comprising (A) at least two polar organic solvents, selected from the group consisting of solvents exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at 500C for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophoric groups, and
(B) at least one quaternary ammonium hydroxide.
Hereinafter, the novel liquid composition being free from N-alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives is designated as "composition or compositions of the invention" as the case may be.
Additionally, the novel method for preparing a liquid composition being free from N- alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives has been found, the said method comprising the steps of
(I) selecting at least two polar organic solvents (A) exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution
(AB), a constant removal rate at 500C for a 30 nm thick polymeric barrier anti- reflective layer containing deep UV absorbing chromophoric groups,
(II) mixing at least two of the selected polar organic solvents (A) and at least one quaternary ammonium hydroxide (B) in the absence of N-alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives.
Hereinafter, the novel method for preparing a liquid composition being free from N- alkylpyrrolidone and hydroxyl amine and hydroxyl amine derivatives is referred to as the "method of preparation of the invention".
Moreover, the novel method for manufacturing electrical devices has been found, the said method comprising the steps of
(1 ) applying an insulating dielectric layer consisting of at least one low-k or ultra-low-k material on top of a substrate, (2) applying a positive or a negative resist layer on top of the insulating dielectric layer (1 ),
(3) selectively exposing the resist layer to electromagnetic radiation or corpuscular radiation,
(4) developing the resist layer (3) to form a resist pattern,
(5) dry-etching the insulating dielectric layer (1 ) using the resist pattern (4) as a mask to form wire trenches and/or via holes communicating with the substrate surface,
(6) selecting at least two polar organic solvents (A) exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution
(AB), a constant removal rate at 500C for a 30 nm thick polymeric barrier anti- reflective layer containing deep UV absorbing chromophoric groups,
(7) providing at least one resist stripping composition being free from N- alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives comprising
(A) at least two polar organic solvents selected in accordance with the process step (6), and
(B) at least one quaternary ammonium hydroxide,
(8) removing the resist pattern and the post-etch residues by an all-wet process using at least one resist stripping composition prepared in accordance with the process step (7), and
(9) filling the wire trenches and via holes with at least one material having a low electrical resistivity.
Hereinafter, the novel method for manufacturing electrical devices is referred to as the "manufacturing method of the invention". Additionally, the new use of a liquid composition for removing negative-tone and positive- tone photoresists and post etch residues in the manufacture of 3D Stacked Integrated Circuits and 3D Wafer Level Packagings by way of patterning Through Silicon Vias and/or by plating and bumping has been found, the said liquid composition being free from N- alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives and comprising
(A) at least two polar organic solvents, selected from the group consisting of solvents exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at
500C for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophobe groups, and
(B) at least one quaternary ammonium hydroxide.
Hereinafter, the new use of the liquid composition is referred to as the "use of the invention".
Advantages of the Invention
In view of the prior art discussed above, it was surprising and could not be expected by the skilled artisan that the objects underlying the present invention could be solved by the composition of the invention, the preparation of the invention, and the manufacturing method of the invention.
In particular, the compositions of the invention no longer contained N-alkylpyrrolidone, in particular, N-methylpyrrolidone, so that the environmental, health and safety (EHS) problems associated therewith were no longer present.
The compositions of the invention no longer exhibited the disadvantageous effects associated with a high water content and/or a high quaternary ammonium hydroxide content and no longer damaged the low-k and, in particular, the ultra low-k materials used in the modern IC technology. In addition, the compositions of the invention no longer contained hydroxyl amine and hydroxyl amine derivatives so that the risk of the corrosion of copper vias and interconnects was considerably minimized or, in many cases, completely avoided. In the concentration range of from 0.06 to 4 % by weight of the composition of the invention, the removal rate for resists, post-etch residues (PER) and barrier anti-reflective layers (BARC) of the compositions of the invention no longer depended on the concentration of the quaternary ammonium hydroxides. This way, the optimization and the adaption of the compositions of the invention to changing manufacturing parameters were rendered simple, straightforward and efficient, so that high concentrations of quaternary ammonium hydroxides were no longer required in order to achieve high removal rates.
The compositions of the invention exhibited the same or essentially the same removal rates for the unchanged resists on the one hand and the PER and the BARC on the other hand, so that the different chemical nature of the PER and the BARC offered no longer an obstacle for their efficient removal.
Moreover, the compositions of the invention did not only excellently remove the resists but also exhibited excellent removal rates as far as the PER, which have a complex composition and contain Teflon-like materials and titanium and/or silicon containing materials, were concerned.
Last but not least, the compositions of the invention significantly shortened the process times required for a complete stripping off of the patterned resists, the barrier anti- reflecting layers and the post-etch residues without damaging the low-k or ultra low-k materials and/or over-etching copper surfaces.
On the whole, the compositions of the invention could be prepared, stored, handled and used without causing environmental, health and safety (ESH) problems.
The method of preparation of the invention could be carried out in a simple, economical, safe and excellently reproducible way without causing ESH-problems and did not require any particular and special facilities and safety measures. It yielded liquid compositions, in particular compositions of the invention, which had excellent applicational and property profiles
The manufacturing method of the invention for electrical devices, in particular semiconductor integrated circuits (ICs), liquid crystal panels, organic electroluminescent panels and printed circuit boards, micro machines, DNA chips and micro plants, especially ICs, no longer required a pre-treatment step before the removal step. In particular, the use of ozone water and/or aqueous hydrogen peroxide could be completely dispensed with so that the concerns over EHS associated therewith no longer existed and the damage of the low-k and ultra-low-k materials by these strongly oxidizing solutions could be avoided completely. On the whole, the manufacturing method of the invention yielded electrical devices which were completely or essentially free from defects, exhibited excellent functionalities and had a long service life.
Furthermore , the compositions of the invention were most particularly suited for the use of the invention in 3D technologies for the manufacture of 3D architectures, in particular, in the field of patterning through silicon vias (TSV) and also for plating and bumping (3D
Stacked Integrated Circuit, 3D-SIC; 3D Wafer Level Packaging, 3D-WLP). In these applications, they were capable of removing positive-tone and negative-tone photoresists and PER very fast in the same most advantageous manner without damaging blanket wafers surfaces, patterned wafer structures and the glue material bonding thinned silicon wafers on carriers.
Detailed Description of the Invention
In its broadest aspect, the present invention is directed to a liquid composition which is free from N-alkylpyrrolidones, in particular N-methylpyrrolidone and N-ethylpyrrolidone, as well as hydroxyl amine and hydroxyl amine derivatives, in particular hydroxyl amine derivatives as disclosed in the American patent applications US 2005/0266683 A1 , page 4, paragraphs [0046] to [0050], and US 2005/0263743 A1 , page 4, paragraph [0057] to page 5, paragraph [0063].
In the context of this invention, the characteristic "liquid" means that the composition of the invention is liquid at least at room temperature (i.e. 23°C), preferably at least at 00C and most preferably at least at -100C.
Moreover, in the context of this invention, the characteristic "free from" means that the relevant compounds cannot be detected in the composition of the invention with the known state-of-the-art analytical methods for qualitatively and/or quantitatively detecting N-alkylpyrrolidones hydroxyl amine and hydroxyl amine derivatives, e.g., gas chromatography and/or mass spectrometry. Preferably, the he composition of the invention exhibits a dynamic shear viscosity at 500C as measured by rotational viscometry of from 1 to 10 mPas, preferably 2 to 8 mPas, more preferably 1.5 to 7 mPas and most preferably 2 to 6 mPas. Preferably, the composition of the invention also exhibits a dynamic shear viscosity at 23°C as measured by rotational viscometry of from 2 to 20 mPas, more preferably 3 to 16 mPas and most preferably 3 to 14 mPas.
The composition of the invention can be a dispersion, i.e. an emulsion or a suspension, or a homogeneous composition, wherein all the ingredients are molecularly dispersed. Preferably, the composition of the invention is a homogeneous, molecularly dispersed composition.
The composition of the invention comprises at least two polar organic solvents (A). The polar organic solvents (A) can be aprotic or protic polar. The amounts of the at least two polar organic solvents (A) can vary broadly and, therefore, can be adjusted most advantageously to the requirements of a given method of manufacture of the invention. Preferably, the composition of the invention comprises, based on the complete weight of the composition, of from 40, more preferably of from 45 and most preferably of from 50% by weight to 99.95% by weight or, more preferably, 99.94% by weight, of the at least two polar organic solvents (A).
In the composition of the invention, the ratio of organic polar solvent (A1) to organic polar solvent (A2) can also vary broadly and, therefore, can be adjusted most advantageously to the requirements of a given method of manufacture of the invention. Preferably, the ratio (A1 ):(A2) is from 2:1 to 1 :2, more preferably from 1.5:1 to 1 :1.5, even more preferably from 1.3:1 to 1 :1.3 and most preferably from 1.1 :1 to 1 :1.1.
When more than two, e.g. three, four, five or n, organic polar solvents (A) are used in the composition of the invention, their ratio (A1):(A2):(A3):(A4):(A5):....(An) can also vary broadly and, therefore, can also be adjusted most advantageously to the requirements of a given method of manufacture of the invention. Preferably, the polar organic solvents (A1), (A2), (A3), (A4), (A5), and (An) are used in equal or nearly equal amounts.
The at least two polar organic solvents (A) are selected from the group consisting of solvents which exhibit in the presence of dissolved tetramethylammonium hydroxide (B) in an amount of from 0.06 to 4% by weight, based on the complete weight of the respective test solution (AB), at 500C a constant removal rate for a 30 nm thick polymeric barrier anti- reflective layer containing deep UV absorbing chromophoric groups.
The characteristic "constant" means that, in the given range, the removal rate is completely or virtually independent from the concentration of the tetramethylammonium hydroxide (B).
For purposes of measuring the removal rate, the polymeric barrier anti-reflective layer is preferably applied onto a semiconductor wafer surface. Thereafter, the barrier anti- reflective layer on top of the semiconductor wafer surface is exposed to test solutions (AB) of tetramethylammonium hydroxide (B) in the polar organic solvent (A) to be tested having different concentrations of (B).
Preferably, tetramethylammonium hydroxide (B) is added as an aqueous solution containing, based on the complete weight of the aqueous solution, 25% by weight of tetramethylammonium hydroxide (B). Therefore, the test solutions (AB) can contain, based on the complete weight of the test solution, up to 16% by weight of water (C).
Preferably, the test solutions solutions (AB) are stirred during the tests at a constant rotational speed, more preferably at 50 to 200 rpm, even more preferably at 75 to 125 rpm and most preferably at 100 rpm.
In all the tests, the barrier anti-reflective layers on top of the semiconductor wafer surfaces are exposed for the same time to the test solutions (AB). Preferably, the exposure time is 180 s.
After the exposure, the semiconductor wafer pieces carrying the barrier anti-reflective layers are removed from the test solutions (AB), rinsed with a polar organic solvent, preferably isopropanol, and, thereafter, with deionized water and dried with a dry non- reactive gas, preferably nitrogen. Most preferably, the rinsing and drying steps are carried out at moderate temperatures, preferably at temperatures of from 23 to 500C.
After the drying step, it is examined by way of known and customary spectroscopic methods whether the barrier anti-reflective layers are still present. Preferably, transmission FTIR (Fourier Transformation IR-Spectroscopy) is used to this end. In case that the barrier anti-reflective layers should still be present, their thickness is measured by way of known and customary methods for measuring the thickness of thin layers. Preferably, transmission FTIR and/or interferometry is or are used to this end.
Most preferably, the barrier anti-reflective layers are completely removed during the exposure to the test solutions (AB).
For the selective tests described above, any known polymeric anti-reflective coating compositions, as for example, those described in the American patent US 5,919,599, column 3, line 40 to column 16, line 36 and column 17, line 25 to column 18, line 25 in conjunction with the Figure 1 , can be used for preparing the polymeric barrier anti- reflective layers containing deep UV chromophoric groups.
As it is known in the art, that, due to their polymeric and cross-linked nature, the barrier anti-reflective layers are significantly more difficult to remove than the patterned resists, the selective tests guarantee that the organic polar solvents (A) are selected such that the compositions of the invention are even more so capable of completely removing the patterned resists and the post-etch residues together with the barrier anti-reflective layers, most preferably within 180 s, without or essentially without redeposition.
Preferably, the polar organic solvents (A) are having a boiling point at atmospheric pressure above 1000C, more preferably above 1200C and most preferably above 150°C.
More preferably, the polar organic solvents (A) are having a flashpoint as measured in a closed cup above 500C, more preferably above 55°C and most preferably above 60°C.
Most preferably, the at least two polar organic solvents (A) are selected from the group consisting of aliphatic polyamines comprising at least two primary amino groups, aliphatic alkanolamines having at least one carbon chain of at least 3 carbon atoms between one primary amino group and one hydroxyl group, aliphatic sulfoxides, and N-substituted imidazoles. Particularly, the solvent (A) is selected from the group consisting of diethylenetriamine (boiling point 2070C, flashpoint 102°C), N-methyl imidazole (boiling point 198°C, flashpoint 92°C), 3-amino-1-propanol (boiling point 187°C, flashpoint 1010C), 5-amino-1-pentanol (boiling point 222°C, flashpoint 65°C), and dimethyl sulfoxide (boiling point 189°C, flashpoint 87°C). The composition of the invention furthermore comprises at least one, preferably one, quaternary ammonium hydroxide (B).
In the composition of the invention, the amounts of the quaternary ammonium hydroxide (B) can vary broadly and, therefore can be adjusted most advantageously to the requirements of a given method of manufacture of the invention. Preferably, the composition of the invention comprises, based on the complete weight of the composition, of from 0.05 to 10 % by weight, more preferably of from 0.06 to 7% by weight, even more preferably of from 0.06 to 5% by weight, and most preferably 0.06 to 1 % by weight of at least one quaternary ammonium hydroxide (B).
Preferably, the quaternary ammonium hydroxide (B) is selected from the group consisting of tetramethylammonium, tetraethylammonium, tetrapropylammonium, tetrabutylammonium, benzyltrimethylammonium, and (2-hydroxyethyl)ammonium hydroxide, in particular tetramethylammonium hydroxide.
Additionally, the composition of the invention can be free of water which means that the water content can also be so low as to be undetectable by known and customary methods for the qualitative and quantitative detection of water. Alternatively, the composition of the invention may comprise water in various, preferably small, amounts as the component (C). In this case, the water content is preferably <5% by weight, more preferably <4% by weight, even more preferably <3% by weight and most preferably <2% by weight, each weight percentage being based on the complete weight of the composition of the invention.
The composition of the invention can also contain at least one additional component selected from the group consisting of polar organic solvents (D) different from the solvents (A), corrosion inhibitors (E), chelating agents (F) , fluoride salts (G), and surfactants (H).
Preferably, the polar organic solvent (D) is selected from the group of solvents exhibiting, in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentages being based on the complete weight of the test solution (DB), a removal rate at 500C for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophoric groups which increases with increasing concentration of tetramethylammonium hydroxide (B). Also here, tetramethylammonium hydroxide (B) is preferably added as an aqueous solution containing, based on the complete weight of the aqueous solution, 25% by weight of tetramethylammonium hydroxide (B). Therefore, the test solutions (DB) can contain, based on the complete weight of the test solution, up to 16% by weight of water (C).
The removal rates of the test solutions (DB) are determined in the same way as described above for the test solutions (AB).
Preferably, the removal rates of the test solutions (DB) are 0 nm to 100 nm under the conditions set out above at a concentration of 1 % by weight of tetramethylammonium hydroxide (B), based on the complete weight of the test solution (DB).
Preferably, the polar organic solvents (D) are having a boiling point at atmospheric pressure above 1000C, more preferably above 1200C and most preferably above 150°C.
More preferably, the polar organic solvents (D) are having a flashpoint as measured in a closed cup up 500C, more preferably above 55°C and most preferably above 60°C.
Most preferably, the polar organic solvent (D) is selected from the group consisting of alkanol amines, alkylene glycol monoalkyl ethers, N-substituted piperidines, N-substituted cyclic ureas and N-substituted imidazoles, particularly, ethanolamine (boiling point 172°C, flashpoint 85°C), N-methylethanolamine (boiling point 1600C, flashpoint 72°C), N- ethylethanolamine (boiling point 168°C, flashpoint 78°C), isopropanolamine (boiling point
159°C, flashpoint 710C), 2-(2-aminoethylamino)ethanol (boiling point 243°C, flashpoint 144°C), 2-(2-aminoethoxy)ethanol (boiling point 223 to 242°C, flashpoint 127°C), diethyleneglycol monoethyl ether (boiling point 193°C, flashpoint 93°C), diethyleneglycol monobutyl ether (boiling point 2300C, flashpoint 1070C), N-(2-hydroxyethyl)piperidine
(boiling point 198 to 203°C, flashpoint 83°C), 1 ,3-dimethyl-3,4,5,6-tetrahydro-(1 H)- pyrimidinone (boiling point 246°C, flashpoint 1210Q, N-(3-aminopropyl)imidazole (boiling point 296°C, flashpoint 154°C), and dicyclohexylamine (boiling point 256°C, flashpoint
1050C).
The concentration of the polar solvent (D) in the composition of the invention can vary broadly. However, the concentration should only be so high that the organic polar solvents (A) still mainly determines the property profile of the composition of the invention.
Preferably, the weight ratio of the at least two polar organic solvents (A) to the polar organic solvent (D) is in the range of from 5:1 , more preferably 4:1 and, even more preferably 3:1 and most preferably 2.5:1.
In principle, any known corrosion inhibitor (E) for metals can be used. Preferably, the corrosion inhibitor is selected from the group consisting of copper corrosion inhibitors (E), as described in for example,
the international patent application WO 2004/100245 A1 , page 9, paragraph [0030] to page 10, paragraph [0031],
the American patent application US 2005/0176259 A1 , page 4, paragraph [0049] to page 5, paragraph [0059],
the American patent application US 2005/0263743 A1 , page 5, paragraph [0067] to page 6, paragraph [0073], and
the American patent application US 2008/0280452 A1 , page 3, paragraph [0045] to page 4, paragraph [0053].
The copper corrosion inhibitors (E) may be used in broadly varying amounts. Preferably, they are used in the customary and effective amounts disclosed in the above-mentioned prior art.
In principle, any known chelating agent (F) can be used in the composition of the invention. Preferably, the chelating agent (F) is selected from the group of copper chelating agents (F), in particular, from the group of copper chelating agents (F) described in, for example, in the American patent applications
US 2004/0106531 A1 , page 6, paragraph [0074], and
US 2005/0263743 A1 , page 5, paragraph [0070] to page 6, paragraph [0073] in conjunction with paragraph [0078].
Quite often, such copper chelating agents (F) are also used as the copper corrosion inhibitors (E). The copper chelating agents (F) may be used in broadly varying amounts. Preferably, they are used in the customary and effective amounts disclosed in the above-mentioned prior art.
In principle, any known fluoride salt (G) may be used in the compositions of the intervention. Preferably, the fluoride salt (G) is selected from the group of salts of hydrofluoric acid and a base not containing a metal, as described in the American patent application US 2004/0106531 A1 , page 3, paragraphs [0035] to [0041]. The fluoride salts (G) may be used in broadly varying amounts. Preferably, they are used in the customary and effective amounts disclosed in the cited prior art, in particular, in paragraph [0041].
In principle, any known surfactant (H) may be used in the composition of the invention. Preferably, the surfactant is selected from the group of surfactants as described in the American patent application US 2008/0280452 A1 , page 4, paragraph [0054] to page 5, paragraph [0061]. The surfactants (H) may be used in broadly varying amounts. Preferably, they are used in the customary and effective amounts disclosed in the cited prior art, in particular, in paragraph [0061].
The compositions of the invention can be prepared in various ways. Preferably, they are prepared according to the method of production of the invention. It is an advantage of the present invention that the method of production of the invention can also be used for preparing other compositions than the compositions of the invention.
In the first process step of the method of production of the invention, at least two polar organic solvents (A) are selected as described hereinbefore.
In the second process step of the method of production of the invention, at least two of the selected polar organic solvents (A) and at least one quaternary ammonium hydroxide (B) as described hereinbefore are mixed together.
At least one additional component selected from the group consisting of polar organic solvents (D) different from the solvents (A), corrosion inhibitors (E), chelating agents (F) , fluoride salts (G), and surfactants (H) described hereinbefore can be added in the first process step or in a separate process step preferably in the preferred amounts disclosed in the cited prior art. It is essential for the method of production of the invention that the above-mentioned ingredients are mixed together in the absence of the N-alkylpyrrolidones, hydroxyl amine and hydroxyl amine derivatives as described above.
In an additional process step of the method of production of the invention, the shear viscosity at 500C of the mixture resulting from the second process step can be adjusted to 1 to 10 mPas, preferably 2 to 8 mPas, more preferably 1.5 to 7 mPas and most preferably preferably 2 to 6 mPas.
The additional process step can be carried out as a separate step or can be integrated into each of the other process steps of the method of production of the invention. The latter can be accomplished by carefully selecting the ingredients for the second process step such that the resulting mixture exhibits the required dynamic viscosity.
Most preferably, the composition of the invention also exhibits a dynamic shear viscosity at 23°C as measured by rotational viscometry of from 2 to 20 mPas, more preferably 3 to 16 mPas and most preferably 3 to 14 mPas.
Customary and standard mixing processes and mixing equipment such as agitated vessels, in-line dissolvers, high shear impellers, ultrasonic mixers, homogenizer nozzles or counterflow mixers can be used for carrying out the mixing of the ingredients of the compositions, in particular of the compositions of the invention.
The compositions of the invention, the composition prepared in accordance with the method of production of the invention and, most preferably, the compositions of the invention prepared in accordance with the method of production of the invention can be used for various purposes. In particular, they are used in the manufacturing method of the invention.
The manufacturing method of the invention yields most advantageous electrical devices, in particular semiconductor integrated circuits (ICs), liquid crystal panels, organic electroluminescent panels, printed circuit boards, micro machines, DNA chips and micro plants, especially however, ICs with LSI or VLSI. The manufacturing method of the invention comprises the step of applying an insulating dielectric layer consisting of at least one low-k or ultra-low-k on top of a substrate in the first process step.
Suitable low-k or ultra-low-k materials and suitable methods of preparing the insulating dielectric layers are described in, for example, the American patent applications US 2005/0176259 A1 , page 2, paragraphs [0025] to [0027], US 2005/0014667 A1 , page 1 , paragraph [0003], US 2005/0266683 A1 , page 1 , paragraph [0003] and page 2, paragraph [0024] or US 2008/0280452 A1 , paragraphs [0024] to [0026] or in the American patent US 7,250,391 B2, column 1 , lines 49 to 54.
Suitable substrates are particularly semiconductor substrates customarily used for the manufacture of ICs such as silicon wafers.
In the second process step, a positive or negative resist layer is applied on top of the insulating dielectric layer.
Suitable materials and methods for preparing positive and negative resist layers are described in, for example, the American patent US 7,250,391 B2, column 1 , lines 55 to 60 or in the American patent applications US 2005/0176259 A1 , page 2, paragraphs [0029] and [0030], US 2006/0016785 A1 , page 3, paragraphs [0025] to [0027] or US 2008/0280452 A1 , paragraphs [0027] to [0029] and page 5, paragraph [0062].
In the third step, the resist layer is selectivity exposed to electromagnetic radiation or corpuscular radiation.
Preferably, UV-rays, deep UV-rays, excimer laser rays, in particular, KrF-, ArF- or F2- excimer laser rays, or X-rays are used as the electromagnetic radiation. For the exposure, the resist layer may be exposed to a light source capable of emitting such active rays, as for example, low-pressure mercury lamps, high-pressure mercury lamps, ultra-high- pressure mercury lamps or xenon lamps, through a desired mask pattern.
The resist layer can also be directly exposed to corpuscular radiation, preferably, to electron beams.
Next, if desired, the resist pattern can be further baked (post-exposure baking). In the fourth process step, the selectively exposed resist layer is developed with a developer, preferably an aqueous alkaline solution as described in, for example, the American patent application US 2008/0280452 A1 , page 5, paragraph [0062], to yield the resist pattern.
In the fifth process step, the insulating dielectric layer is dry-etched using the resist pattern as a mask to form wire trenches and/or via holes communicating with the surface of the layer below, such as the surface of the substrate, the surface of the wiring of the level below, which wiring consists of at least one material having a low electrical resistivity, in particular copper or a copper alloy, or of the surface of an etch-stop layer, as for example, a silicon oxide nitride layer, interposed between the surface of the level below and the insulating dielectric layer to be dry-etched. Preferably, a fluorine containing plasma, in particular, on the basis of a fluorocarbon gas is used as a dry-etching agent.
In the dry-etching step, post-etch residues are generated, which must be removed in the course of the BEOL (back-end of the line) process of manufacturing electrical devices. These post-etch residues can have varying compositions comprising Teflon-like materials and titanium and/or silicon containing materials.
In the sixth process step, at least two polar organic solvents (A) are selected as described hereinbefore.
In the seventh process step, the at least two selected polar organic solvent (A) are used for preparing at least one, preferably one, composition of the invention as the resist stripping composition as described hereinbefore.
In the eighth process step, the at least one, preferably one, resist stripping composition prepared in accordance with the seventh process step is used for removing the resist pattern and the post-etch residues by an all-wet process.
The efficiency of the resist stripping process step eight can be enhanced by irradiating the resist stripping solution with ultrasound.
Preferably, the eighth process step is carried out at temperatures of from 0 to 700C, more preferably 10 to 65°C and most preferably 50 to 600C. It is one of the major advantages of the manufacturing method of the invention that, due to the use of the resist stripping composition of the invention, an ashing step, in particular, an ashing step using an oxygen containing plasma, or a pre-cleaning step, in particular, a precleaning step using ozone water or hydrogen peroxide, can be dispensed with. Moreover, no or only very little redeposition of hardened resist particles and/or post-etch residues can be observed.
After the stripping of the resist pattern and the post-etch residues, the resulting structure of wire trenches and/or via holes can be rinsed, in particular with deionized water, in order to remove any remaining resist stripping composition. Thereafter, the resulting structure can be dried, preferably with a dry non-reactive gas, in particular, nitrogen.
In the ninth process step, the wire trenches and via holes are filled with at least one material having a low electrical resistivity. Preferably, copper and copper alloys, most preferably copper, is used for this purpose. Preferably, known copper electroplating solutions and electroplating methods as, for example, described in the American patent application US 2006/0213780 A1 can be employed.
In the manufacturing process of the invention, a hard mask layer as described in, for example, the American patents US 6,074,946 or US 6,218,078 B1 or the American patent applications US 2008/0286977 A1 , US 2008/10305441 A1 , US 008/0305625 A1 or US 2009/0035944 A1 can be used. The said hard mask layer is selectively etched in the fifth process step using the resist pattern resulting from the fourth process step as the mask.
Alternatively, a barrier anti-reflective layer as, for example, described in the American patent US 5,919,599 can be interposed between the resist layer and the insulating dielectric layer. Additionally, the barrier anti-reflective layer can also be interposed between the hard mask layer and the resist layer. In both cases, the barrier anti-reflective layer is selectively etched in the fifth process step using the resist pattern resulting from the fourth process step as the mask, and is completely removed together with the patterned resist and the post-etch residues in the eighth process step.
After having carried out the manufacturing process of the invention, the resulting surface can be polished by chemical mechanical polishing (CMP) employing methods and equipment well-known in the art of manufacturing electrical devices such as ICs. Thereafter, another layer of low-k dielectric material, optionally another hard mask layer, optionally another barrier anti-reflective layer, and, obligatorily, another resist layer can be applied whereafter the manufacturing process of the invention is repeated.
The electrical devices prepared in accordance with the manufacturing method of the invention have an excellent functionality and a very long service life.
One of the most surprising advantages of the compositions of the invention is that, due to the high a boiling points of the organic polar solvents (A) and the optional organic polar solvents (D) used, they all exhibit a low vapor pressure at medium temperatures, in particular, in the temperature range of from room temperature up to 1000C. Moreover, due to the high flashpoints of the organic polar solvents (A) and the optional organic polar solvents (D) used, all the compositions of the invention are not readily flammable and not easily ignitable. Last but not least, the organic polar solvents (A) and the optional organic polar solvents (D) are not critical in terms of ESH. Therefore, this equally applies to compositions of the invention they are contained in. Consequently, the compositions of the invention can be prepared, stored, handled, used and disposed of without causing ESH problems.
An equally surprising advantage of the composition of the invention is that it is particularly suitable for the use of the invention.
According to the use of the invention, the composition of the invention is used for removing positive-tone and negative-tone resists as well as PER from blanket wafers and patterned wafers customarily used for the manufacture of 3D IC architectures which are also referred to as 3D-SIC and 3D-WLP. In these 3D IC architectures the interconnects are manufactured by way of TSV, plating and/or bumping, in particular micro-bumping (cf. imec, Scientific Report 2008, Advanced Packaging and Interconnect, 3D Interconnect and Packaging, 3D Stacked IC (3D-SIC), 3D-WLP: Micro-Bumping).
In the use of the invention, the composition of the invention is applied to the photoresists and PER to be removed from blanket and patterned wafers by known and customary methods and equipment. After the removal of the photoresists, the wafers are rinsed and dried. The success of the removal step, i.e., the complete absence of the photoresists ad PER, can be confirmed by optical, scanning electron microscopy (X-SEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectroscopy inspection. The compatibility of the composition of the invention with the glue material bonding thinned wafers on carriers, i.e., the presence of undamaged glue material, can be confirmed by the same methods.
Most surprisingly, the composition of the invention is capable of removing positive-tone and negative-tone photoresists and PER from the blanket and patterned wafers fast and completely without damaging the fine structures of the patterned wafers or the glue materials present.
Examples
Example 1
The Selection of Polar Organic Solvents (A)
The polar organic solvents listed in the Table 1 were preselected according to their cleaning performance and to their high boiling points, high flashpoints and environmental, health and safety (EHS) ratings (i.e., the solvents should cause as little EHS problems as possible) from polar organic solvents (S) from the group consisting of acid chlorides, chloroformates, alcohols, diols, polyols, aldehydes, acetals, ketones, amines, amino alcohols, carboxylic acids and derivatives, heterocyclic compounds, ionic liquids, nitriles, urea derivatives, vinyl compounds, vinyl ethers, and aliphatic amides.
Table 1 : The Preselection of Polar Organic Solvents
Figure imgf000029_0001
Figure imgf000030_0001
For the final selection of the solvents (A) small pieces of silicon semiconductor wafers were coated with 30 nm thick polymeric barrier anti-reflective layers containing deep UV absorbing chromophoric groups. The polymeric barrier anti-reflective layers were cross- linked.
Next, test solutions of tetramethylammonium hydroxide (TMAH) (B) in each of the solvents (S) listed in the Table 1 were prepared. Each series of test solutions (SB) consisted of seven solutions having TMAH concentrations of 0.06, 0.1 , 0.2, 0.5, 1.0, 2.0 and 4.0% by weight, the weight percentages being based on the complete weight of the respective test solution (SB), by adding the appropriate amounts of an aqueous solution containing 25% by weight TMAH.
The removal rate of each of the test solutions (SB) of each series was determined as follows:
A coated piece of the silicon semiconductor wafer was exposed in a beaker at 500C for 180 s to a test solution (SB) which was stirred with 100 rpm. Thereafter, the coated piece of the silicon semiconductor wafer was removed from the test solution (SB), rinsed with isopropanol and then with deionized water and dried at 500C with a stream of dry nitrogen. After cooling down to room temperature, it was investigated by transmission FTIR and interferometry whether and, if yes, in what thickness the cross-linked polymeric barrier anti-reflective layer was still present.
The Table 2 gives an overview over the results obtained.
Table 2: The Selection of Polar Organic Solvents (A) Solvent Removal iate: code nm removed at percent by weight TMAH: 0.06 0.1 0.2 0.5 1.0 2.0 4.0
S1 30 30 30 30 30 30 30
S2 30 30 30 30 30 30 30
S3 30 30 30 30 30 30 30
S4 30 30 30 30 30 30 30
S5 30 30 30 30 30 30 30
S6 0 0 0 0 0 7.5 30
S7 0 0 0 0 0 30 30
S8 0 0 0 0 0 7.5 30
S9 0 0 0 0 0 17 30
S10 0 0 0 0 2 16 30
S11 0 0 0 0 9 16 30
S12 0 0 0 0 4 20 30
S13 0 0 0 0 5 15 30
S14 0 0 0 0 1 30 30
S15 0 0 0 0 4 15 30
S16 0 0 0 0 0 6 30
S17 0 0 0 0 23 15 30
The test results presented in the Table 2 demonstrate that only the removal rates of the solvents S1 , S2, S3, S4, and S5 were independent from the TMAH concentration and that a complete removal of the cross-linked polymeric barrier anti-reflective layer could be achieved with concentrations as low as 0.06% by weight based on the complete weight of the respective test solution. Consequently, only the solvents S1 , S2, S3, S4, and S5 qualified as polar organic solvents (A) to be used in accordance with the invention. The other solvents (S) tested qualified however as optional polar organic solvents (D).
Example 2
The Influence of the TMAH Concentration of the Test Solutions (SB) on the Etching Rate
Additionally, the compatibility of the test solutions (SB) containing the polar organic solvents of Table 1 and 1 % by weight, 2% by weight and 4% by weight of TMAH, the weight percentages being based on the complete weight of the respective test solution, was tested as follows.
Pieces of silicon semiconductor wafers were coated with 400 nm thick ultra low-k layers consisting of carbon-doped silicon oxide (Black Diamond™ produced by Applied Materials, Inc.).
In order to evaluate the influence of the test solutions (SB) on the ultra low-k layers, an untreated ultra low-k layer was annealed at 1500C for 120 minutes as the reference point. If at all, the annealing caused only very minimal changes of the thickness and of the refractive index.
The pieces of silicon semiconductor wafer coated with ultra low-k layers were then exposed to the stirred (100 rpm) test solutions (SB) in beakers at 500C for 180 seconds. Thereafter, the pieces were taken from the test solutions (SB), rinsed with isopropanol and water and then dried in a stream of dry nitrogen at 50°C. After cooling down to room temperature, the changes of the thickness of the ultra low-k layers and of the refractive index were measured:
Contrary to the untreated ultra low-k layer, almost all the exposed ultra low-k layers exhibited a significant decrease in thickness, in particular those layers which had been exposed to test solutions (SB) containing 2% by weight and 4% by weight of TMAH. After the test solutions (SB) were removed from the exposed ultra low-k layers by annealing them at 1500C for 120 minutes, the thickness decreased even further, in particular, in the case of the layers which had been exposed to test solutions (SB) containing 2% by weight and 4% by weight of TMAH.
Contrary to the untreated ultra low-k layers, almost all the exposed ultra low-k layers exhibited a significant increase of their refractive index, in particular those layers which had been exposed to test solutions (SB) containing 2% by weight and 4% by weight of TMAH. After the test solutions (SB) were removed from the exposed ultra low-k layers by annealing them at 150°C for 120 minutes, the refractive index increased even further, in particular, in the case of the layers which had been exposed to test solutions (SB) containing 2% by weight and 4% by weight of TMAH. These results demonstrated that high concentrations of TMAH led to a significant damage of the ultra low-k material, due to the high etching rate of the respective test solutions (SB).
No disadvantageous effects of this kind were observed, when the ultra low-k layers had been exposed to test solutions (SB) containing <0.5% by weight TMAH. In these cases, the etching rates were below 1 nm/minute.
The experiments were repeated with ultra low-k layers which had been exposed to a fluorine containing etching plasma customarily used for the selective etching in order to produce the wire trenches and via holes. It turned out that the plasma damaged ultra low- k layers were even more resistant to the test solutions (SB) containing <0.5% by weight TMAH than the undamaged ultra low-k layer.
The experiments were repeated with pieces of copper disks. It turned out that the the test solutions (SB) containing <0.5% by weight TMAH exhibited an etching rate below 1 nm/minute, whereas the test solutions (SB) containing more 1 % by weight, 2% by weight and 4% by weight of TMAH exhibited much higher etching rates.
Similar results were obtained with tetrapropylammonium hydroxide, tetrabutylammonium hydroxide and benzyltri methyl ammonium hydroxide. The reactivity of these quaternary ammonium hydroxides is lower than TMAH and decreases in this order. This opens up the possibility of fine-tuning the compositions and to adapt them to special manufacturing conditions in a simple manner.
To summarize, these findings further underline that compositions containing the polar organic solvents (A) selected in accordance with the Example 1 and quaternary ammonium hydroxides, in particular TMAH, in low concentrations are most particularly well suited and can be most advantageously used as resists stripping compositions for the removal of patterned photoresists, polymeric barrier anti-reflective layers and post-etch residues in the back-and-of the line (BEOL) copper damascene process for the manufacture of ICs with VLI and VLSI without damaging the ultra-low-k materials or etching the copper surfaces.
Example 3 The use of compositions containing at least two polar organic solvents (A) and TMAH in low concentrations as resists stripping compositions
300 mm silicon semiconductor wafers coated, in this order, with a 30 nm thick silicon carbide etch stop layer, a 386 nm thick ultra low-k carbon-doped silicon oxide layer, a 39 nm thick titanium nitride hard mask layer, a 28 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing groups and a 60 nm thick layer of a positive 194 nm deep UV resist on the basis of a methacrylate copolymer containing pending adamantane and lactone groups were used for the Example 3.
The coated silicon semiconductor wafers were selectively irradiated with 194 nm deep UV radiation through test masks having various apertures with dimensions below 100 nm, thereby solubilizing the exposed areas of the negative resist. Thereafter, the masks were removed and the irradiated resists layers were developed with an aqueous sodium hydroxide solution to yield the desired resist patterns.
The upper surface of the coated silicon semiconductor wafers were then exposed to a fluorine containing etching plasma using the patterned resists as the masks, thereby removing the areas of the polymeric barrier anti-reflective layers of the titanium nitride hard mask layers not protected by the resist patterns. In this process step, the ultra-low-k layers were not etched through but, at the most, only down to a small depth as compared with the complete thickness of the ultra low-k layers.
Resist stripping compositions were prepared by mixing the ingredients in the desired amounts and homogenizing the resulting mixtures. The ingredients and their amounts are compiled in the Table 3. The percentages are given in percent by weight, based on the complete weight of the respective resists stripping composition. In the compositions with two organic polar solvents (A) and no organic polar solvents (D), the weight ratio of the polar organic solvent (A1) to the polar organic solvent (A2) was 1 :1. In the compositions with two organic polar solvents (A) and one organic polar solvent (D), the weight ratio of the polar organic solvents (A1 ):(A2):(D) was 1 :1 :1. In the compositions with three organic polar solvents (A) and no organic polar solvent (D), the weight ratio of the polar organic solvents (A1 ):(A2):(A3) was 1 :1 :1.
All resist stripping compositions 3.1 to 3.82 of Table 3 had a dynamic viscositity at 500C as measured by rotational viscometry in the range of from 2 to 5 mPas. Table 3: The Ingredients of the Resists Stripping
Compositions and Their Amounts
Figure imgf000035_0001
Figure imgf000036_0001
Figure imgf000037_0001
Figure imgf000038_0001
Figure imgf000039_0001
Figure imgf000040_0001
Figure imgf000041_0001
Figure imgf000042_0001
a) complete amount of all sovents present in the respective resist stripping composition; b) 5-aminobenzotriazole; c) methyl-1 ,2,4-triazol-carboxylate
Three series of the resists stripping compositions 3.1 to 3.82 of Table 3 were used to remove the patterned resists, the patterned barrier anti-reflective layers and post-etch residues from the etched coated silicon semiconductor wafers. To this end, the wafers were placed into beakers and exposed to the stirred (100 rpm) resists stripping compositions at 500C in three series for 300 s, 180 s and 90 s. Thereafter, the wafers were taken from the resist stripping compositions, rinsed with isopropanol and then with water and dried with a stream of dry nitrogen at 500C. After cooling down to room temperature, the structures of the hard masks were inspected for defects with AFM (atomic force microscopy) and SEM (scanning electron microscopy).
In all cases, the step heights of the patterned hard masks equalled exactly their original thickness even after 90 s only, demonstrating that the resist stripping compositions had completely removed the patterned resists, the patterned barrier anti-reflective layers and post-etch residues without attacking the ultra low-k layers in an advantageously short process time. The patterned hard masks reproduced exactly the structure of the test masks. No defects, deformations, irregular sidewalls, residues or redeposited materials could be observed which even more so underlined that the resist stripping compositions exhibited an excellent cleaning power combined with an excellent compatibility.
Example 4 The Use of Compositions Containing the Polar Organic Solvents (A) and TMAH in Low Concentrations for Stripping Positive-Tone and Negative-Tone Photoresists and Post- Etch Residues and the Compatibility of the Compositions with Glue Materials Bonding Thinned Silicon Wafers on Carriers
The compositions 3.1 to 3.82 of the Example 3 were used for carrying out the Exampled 4.
Blanket silicon wafer pieces coated with commercially available positive-tone resist or negative-tone resist layers having a thickness of 3.5 μm, 7 μm and 5 μm, respectively were exposed to the compositions 3.1 to 3.82 at 65°C for 5 minutes in beakers. They were subsequently rinsed with de-ionized water for 3 minutes and dried using a nitrogen gun.
The compatibility with glue materials was checked in the same way.
It could be confirmed by optical inspection and FTIR spectroscopy that the resists were completely removed from the blanket silicon wafers. On the other hand, the glue materials were not attacked by the compositions 3.1 to 3.82.
The removal of positive-tone photoresists, negative-tone photoresist and post-etch residues from patterned silicon wafer pieces having copper micro-bumps, copper plating and TSV was tested in the same way. It could be confirmed by X-SEM that the compositions 3.1 to 3.82 were capable of completely removing the photoresists and residues without damaging the fine structures.

Claims

We Claim:
1. A liquid composition being free from N-alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives and comprising
(A) at least two polar organic solvents, selected from the group consisting of solvents exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at 500C for a 30 nm thick polymeric barrier anti- reflective layer containing deep UV absorbing chromophoric groups, and
(B) at least one quaternary ammonium hydroxide.
2. The liquid composition according to claim 1 , characterized in that it exhibits a dynamic shear viscosity at 500C as measured by rotational viscometry of from 1 to 10 mPas.
3. The liquid composition according to claim 1 , characterized in that the at least two solvents (A) are selected such that the barrier anti-reflective layer is removable within 90 s.
4. The liquid composition according to claim 1 , characterized in that the at least two solvents (A) exhibit a boiling point above 1000C.
5. Liquid composition according to claim 4, characterized in that the at least two solvents (A) exhibit flashpoints as measured in a closed cup above 50°C.
6. The liquid composition according to claim 1 , characterized in that the at least two solvents (A) are selected from the group consisting of aliphatic polyamines comprising at least two primary amino groups, aliphatic alkanolamines having at least one carbon chain of at least 3 carbon atoms between one primary amino group and one hydroxyl group, aliphatic sulfoxides, and N-substituted imidazoles.
7. The liquid composition according to claim 6, characterized in that the at least two solvents (A) are selected from the group consisting of diethylenetriamine, N-methyl imidazole, 3-amino-1-propanol, 5-amino-1-pentanol, and dimethyl sulfoxide.
8. The liquid composition according to claim 1 , characterized in that the quaternary ammonium hydroxide (B) is selected from the group consisting of tetramethylammonium, tetraethylammonium, tetrapropylammonium, tetrabutylammonium, benzyltrimethylammonium, and (2-hydroxyethyl)ammonium hydroxide.
9. The liquid composition according to claim 8, characterized in that the quaternary ammonium hydroxide (B) is tetramethylammonium hydroxide.
10. The liquid composition according to claim 1 , characterized in that it contains at least one additional component selected from the group consisting of polar organic solvents (D) different from the solvents (A), corrosion inhibitors (E), chelating agents (F), fluoride salts (G), and surfactants (H).
1 1. The liquid composition according to claim 8, characterized in that the polar organic solvent (D) is selected from the group of solvents exhibiting, in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (DB), a removal rate at 500C for a 30 nm thick polymeric barrier anti- reflective layer containing deep UV absorbing chromophoric groups which increases with increasing concentration of tetramethylammonium hydroxide (B).
12. The liquid composition according to claim 11 , characterized in that the polar solvent (D) is selected from the group consisting of ethanolamine, N- methylethanolamine, N-ethylethanolamine, isopropanolamine, 2-(2- aminoethylamino)ethanol, 2-(2-aminoethoxy)ethanol, diethylene glycol monoethyl ether, diethylene glycol monobutyl ether, N-(2-hydroxyethyl)piperidine, 1 ,3- dimethyl-3,4,5,6-tetrahydro-2-(1 H)-pyrimidinone, and N-(3-aminopropyl)imidazole.
13. The liquid composition according to claim 10, characterized in that the corrosion inhibitor (E) is selected from the group consisting of copper corrosion inhibitors.
14. A method for preparing a liquid composition being free from N-alkylpyrrolidone and hydroxyl amine and hydroxyl amine derivatives, the said method comprising the steps of
(I) selecting at least two polar organic solvents (A) exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution, a constant removal rate at 500C for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophobe groups, and
(II) mixing at least two of the selected polar organic solvents (A) and at least one quaternary ammonium hydroxide (B) in the absence of N- alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives.
15. The method according to claim 14, characterized in that the dynamic shear viscosity at 500C of the mixture resulting from the process step (II) is adjusted to 1 to 10 mPas as measured by rotational viscometry.
16. The method according to claim 14, characterized in that the liquid composition according to any one of the claims 1 to 13 is prepared.
17. A method for manufacturing electrical devices comprising the steps of
(1 ) applying an insulating dielectric layer consisting of at least one low-k or ultra-low-k material on top of a substrate,
(2) applying a positive or a negative resist layer on top of the insulating dielectric layer (1 ),
(3) selectively exposing the resist layer (2) to electromagnetic radiation or corpuscular radiation,
(4) developing the selectively exposed resist layer (3) to form a resist pattern, (5) dry-etching the insulating dielectric layer (1) using the resist pattern (4) as a mask to form wire trenches and/or via holes communicating with the substrate surface,
(6) selecting at least two polar organic solvents (A) exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at 500C for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophobe groups,
(7) providing at least one resist stripping composition being free from N- alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives comprising
(A) at least two polar organic solvents selected in accordance with the process step (6), and), and
(B) at least one quaternary ammonium hydroxide,
(8) removing the resist pattern and the post-etch residues by an all-wet process using at least one resist stripping composition (7) prepared in accordance with the process step (7), and
(9) filling the wire trenches (5) and via holes (5) with at least one material having a low electrical resistivity.
18. The method according to claim 17, characterized in that a hard mask layer (10) is interposed between the resist layer (2) and the insulating dielectric layer (1), the said hard mask layer (10) being selectively etched using the resist pattern (4) as the mask in the process step (5).
19. The method according to claim 17, characterized in that a barrier anti-reflective layer (1 1) is interposed between the resist layer (2) and the insulating dielectric layer (1), the said barrier anti-reflective layer (1 1) being selectively etched using the resist pattern (4) as the mask in the process step (5).
20. The method according to claim 18, characterized in that a barrier anti-reflective layer (1 1) is interposed between the hard mask layer (10) and the resist layer (2), the said barrier anti-reflective layer (11 ) and the hard mask layer (10) being selectively etched in the process step (5).
21. The method according to claim 18, characterized in that the selectively etched barrier anti-reflective layer (11 ) is removed in the process step (8).
22. The method according to claim 19, characterized in that the selectively etched barrier anti-reflective layer (11 ) is removed in the process step (8).
23. The method according to claim 17, characterized in that a liquid composition according to any one of the claims 1 to 13 is used as the resist stripping composition (7).
24. The method according to claim 17, characterized in that copper is used as the material (9) having a low electrical resistivity.
25. The method according to claim 17, characterized in that the manufactured electrical devices are semiconductor integrated circuits, liquid crystal panels, organic electroluminescent panels, printed circuit boards, micro machines, DNA chips and micro plants.
26. The use of a liquid composition for removing negative-tone and positive-tone photoresists and post etch residues in the manufacture of 3D Stacked Integrated Circuits and 3D Wafer Level Packagings by way of patterning Through Silicon Vias and/or by plating and bumping, the said liquid composition being free from N- alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives and comprising
(A) at least two polar organic solvents, selected from the group consisting of solvents exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at 500C for a 30 nm thick polymeric barrier anti- reflective layer containing deep UV absorbing chromophoric groups, and
(B) at least one quaternary ammonium hydroxide.
PCT/EP2010/055202 2009-05-07 2010-04-20 Resist stripping compositions and methods for manufacturing electrical devices WO2010127941A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17617609P 2009-05-07 2009-05-07
US61/176,176 2009-05-07

Publications (1)

Publication Number Publication Date
WO2010127941A1 true WO2010127941A1 (en) 2010-11-11

Family

ID=42245973

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2010/055202 WO2010127941A1 (en) 2009-05-07 2010-04-20 Resist stripping compositions and methods for manufacturing electrical devices

Country Status (2)

Country Link
TW (1) TW201100980A (en)
WO (1) WO2010127941A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140141482A (en) * 2013-05-30 2014-12-10 신에쓰 가가꾸 고교 가부시끼가이샤 Cleaning composition of substrate
US8969275B2 (en) 2009-06-30 2015-03-03 Basf Se Aqueous alkaline cleaning compositions and methods of their use
US9005367B2 (en) 2009-05-07 2015-04-14 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
US9146471B2 (en) 2009-05-07 2015-09-29 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
US9184057B2 (en) 2011-03-18 2015-11-10 Basf Se Method for manufacturing integrated circuit devices, optical devices, micromachines and mechanical precision devices having patterned material layers with line-space dimensions of 50 nm and less
EP2460177B1 (en) * 2009-07-30 2016-03-23 Basf Se Post ion implant photoresist stripping method for semiconductor application
EP3648148A1 (en) * 2018-11-01 2020-05-06 Kabushiki Kaisha Toshiba Stripping liquid, stripping method, and electronic-component fabricating method
WO2021073718A1 (en) * 2019-10-15 2021-04-22 Henkel Ag & Co. Kgaa Photoresist stripping composition

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104169801B (en) * 2012-03-16 2019-12-17 巴斯夫欧洲公司 photoresist stripping and cleaning compositions, methods of making and uses thereof

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5919599A (en) 1997-09-30 1999-07-06 Brewer Science, Inc. Thermosetting anti-reflective coatings at deep ultraviolet
US6074946A (en) 1996-12-24 2000-06-13 Mitel Corporation Integrated processing for an etch module using a hard mask technique
US6218078B1 (en) 1997-09-24 2001-04-17 Advanced Micro Devices, Inc. Creation of an etch hardmask by spin-on technique
EP1211563A1 (en) * 2000-11-30 2002-06-05 Tosoh Corporation Resist stripper
US20030148624A1 (en) 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
US20040106531A1 (en) 2002-07-12 2004-06-03 Renesas Technology Corp. Cleaning composition for removing resists and method of manufacturing semiconductor device
WO2004100245A1 (en) 2003-05-02 2004-11-18 Ekc Technology, Inc. Removal of post-etch residues in semiconductor processing
US20050014667A1 (en) 2003-04-18 2005-01-20 Tetsuo Aoyama Aqueous fluoride compositions for cleaning semiconductor devices
US20050176259A1 (en) 2002-04-26 2005-08-11 Tokyo Ohka Kogyo Co., Ltd. Method for removing photoresist
US20050263743A1 (en) 1998-07-06 2005-12-01 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US20050266683A1 (en) 1998-07-06 2005-12-01 Lee Wai M Remover compositions for dual damascene system
US20060016785A1 (en) 2004-07-22 2006-01-26 Egbe Matthew I Composition for removing photoresist and/or etching residue from a substrate and use thereof
US20060213780A1 (en) 2005-03-24 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Electroplating composition and method
WO2008125003A1 (en) * 2007-04-13 2008-10-23 Anji Microelectronics (Shanghai) Co., Ltd. Low etch cleaning composition for removing resist
WO2008125002A1 (en) * 2007-04-13 2008-10-23 Anji Microelectronics (Shanghai) Co., Ltd Cleaning composition for removing thick film resist
US20080286977A1 (en) 2005-10-05 2008-11-20 Judy Wang Process to open carbon based hardmask overlying a dielectric layer
US20080305441A1 (en) 2007-06-05 2008-12-11 Kyong Ho Yoon Hardmask composition having antirelective properties and method of patterning material on susbstrate using the same
US20080305625A1 (en) 2007-06-07 2008-12-11 Ping Jiang Poison-free and low ulk damage integration scheme for damascene interconnects
US20090035944A1 (en) 2007-07-08 2009-02-05 Applied Materials, Inc. Methods of for forming ultra thin structures on a substrate

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074946A (en) 1996-12-24 2000-06-13 Mitel Corporation Integrated processing for an etch module using a hard mask technique
US6218078B1 (en) 1997-09-24 2001-04-17 Advanced Micro Devices, Inc. Creation of an etch hardmask by spin-on technique
US5919599A (en) 1997-09-30 1999-07-06 Brewer Science, Inc. Thermosetting anti-reflective coatings at deep ultraviolet
US20050266683A1 (en) 1998-07-06 2005-12-01 Lee Wai M Remover compositions for dual damascene system
US20050263743A1 (en) 1998-07-06 2005-12-01 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
EP1211563A1 (en) * 2000-11-30 2002-06-05 Tosoh Corporation Resist stripper
US20030148624A1 (en) 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
US20080280452A1 (en) 2002-04-26 2008-11-13 Shigeru Yokoi Method for stripping photoresist
US20070298619A1 (en) 2002-04-26 2007-12-27 Shigeru Yokoi Method for stripping photoresist
US20050176259A1 (en) 2002-04-26 2005-08-11 Tokyo Ohka Kogyo Co., Ltd. Method for removing photoresist
US20040106531A1 (en) 2002-07-12 2004-06-03 Renesas Technology Corp. Cleaning composition for removing resists and method of manufacturing semiconductor device
US7250391B2 (en) 2002-07-12 2007-07-31 Renesas Technology Corp. Cleaning composition for removing resists and method of manufacturing semiconductor device
US20050014667A1 (en) 2003-04-18 2005-01-20 Tetsuo Aoyama Aqueous fluoride compositions for cleaning semiconductor devices
US7399365B2 (en) 2003-04-18 2008-07-15 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
WO2004100245A1 (en) 2003-05-02 2004-11-18 Ekc Technology, Inc. Removal of post-etch residues in semiconductor processing
US20060016785A1 (en) 2004-07-22 2006-01-26 Egbe Matthew I Composition for removing photoresist and/or etching residue from a substrate and use thereof
US20060213780A1 (en) 2005-03-24 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Electroplating composition and method
US20080286977A1 (en) 2005-10-05 2008-11-20 Judy Wang Process to open carbon based hardmask overlying a dielectric layer
WO2008125003A1 (en) * 2007-04-13 2008-10-23 Anji Microelectronics (Shanghai) Co., Ltd. Low etch cleaning composition for removing resist
WO2008125002A1 (en) * 2007-04-13 2008-10-23 Anji Microelectronics (Shanghai) Co., Ltd Cleaning composition for removing thick film resist
US20080305441A1 (en) 2007-06-05 2008-12-11 Kyong Ho Yoon Hardmask composition having antirelective properties and method of patterning material on susbstrate using the same
US20080305625A1 (en) 2007-06-07 2008-12-11 Ping Jiang Poison-free and low ulk damage integration scheme for damascene interconnects
US20090035944A1 (en) 2007-07-08 2009-02-05 Applied Materials, Inc. Methods of for forming ultra thin structures on a substrate

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9005367B2 (en) 2009-05-07 2015-04-14 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
US9146471B2 (en) 2009-05-07 2015-09-29 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
US8969275B2 (en) 2009-06-30 2015-03-03 Basf Se Aqueous alkaline cleaning compositions and methods of their use
EP2460177B1 (en) * 2009-07-30 2016-03-23 Basf Se Post ion implant photoresist stripping method for semiconductor application
US9184057B2 (en) 2011-03-18 2015-11-10 Basf Se Method for manufacturing integrated circuit devices, optical devices, micromachines and mechanical precision devices having patterned material layers with line-space dimensions of 50 nm and less
KR20140141482A (en) * 2013-05-30 2014-12-10 신에쓰 가가꾸 고교 가부시끼가이샤 Cleaning composition of substrate
JP2015007217A (en) * 2013-05-30 2015-01-15 信越化学工業株式会社 Detergent composition for substrate
KR101968780B1 (en) 2013-05-30 2019-04-12 신에쓰 가가꾸 고교 가부시끼가이샤 Cleaning method of substrate
US10260027B2 (en) 2013-05-30 2019-04-16 Shin-Etsu Chemical Co., Ltd. Substrate detergent composition
EP3648148A1 (en) * 2018-11-01 2020-05-06 Kabushiki Kaisha Toshiba Stripping liquid, stripping method, and electronic-component fabricating method
US11118110B2 (en) 2018-11-01 2021-09-14 Kabushiki Kaisha Toshiba Stripping liquid, stripping method, and electronic-component fabricating method
WO2021073718A1 (en) * 2019-10-15 2021-04-22 Henkel Ag & Co. Kgaa Photoresist stripping composition

Also Published As

Publication number Publication date
TW201100980A (en) 2011-01-01

Similar Documents

Publication Publication Date Title
EP2427803B1 (en) Methods for manufacturing electrical devices using resist stripping compositions
US9146471B2 (en) Resist stripping compositions and methods for manufacturing electrical devices
JP6932683B2 (en) Etching solution and how to use it
WO2010127941A1 (en) Resist stripping compositions and methods for manufacturing electrical devices
TWI274968B (en) Composition for stripping and cleaning and use thereof
JP3516446B2 (en) Photoresist stripping method
TWI469220B (en) Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US20120295828A1 (en) Composition for Removing Photoresist and/or Etching Residue From a Substrate and Use Thereof
JP2007531006A (en) Compositions useful for removing backside anti-reflective coatings from patterned ion-implanted photoresist wafers
WO2006074316A1 (en) Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
WO2006110645A2 (en) Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
JP2008538013A (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP2004526981A (en) Photoresist remover composition

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10715223

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10715223

Country of ref document: EP

Kind code of ref document: A1