TW201100980A - Resist stripping compositions and methods for manufacturing electrical devices - Google Patents

Resist stripping compositions and methods for manufacturing electrical devices Download PDF

Info

Publication number
TW201100980A
TW201100980A TW99114540A TW99114540A TW201100980A TW 201100980 A TW201100980 A TW 201100980A TW 99114540 A TW99114540 A TW 99114540A TW 99114540 A TW99114540 A TW 99114540A TW 201100980 A TW201100980 A TW 201100980A
Authority
TW
Taiwan
Prior art keywords
weight
photoresist
composition
layer
polar organic
Prior art date
Application number
TW99114540A
Other languages
Chinese (zh)
Inventor
Andreas Klipp
Original Assignee
Basf Se
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Basf Se filed Critical Basf Se
Publication of TW201100980A publication Critical patent/TW201100980A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

A liquid composition being free from N-alkylpyrrolidones and hydroxyl amine and hydroxyl amine derivatives comprising (A) at least two polar organic solvents, selected from the group consisting of solvents exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at 50 DEG C for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophoric groups, and (B) at least one quaternary ammonium hydroxide, a method for its preparation, a method for manufacturing electrical devices and its use for removing negative-tone and positive-tone photoresists and post etch residues in the manufacture of 3D Stacked Integrated Circuits and 3D Wafer Level Packagings by way of patterning Through Silicon Vias and/or by plating and bumping.

Description

201100980 六、發明說明: 【發明所屬之技術領域】 本發明係關於可用於自含有銅及低k或超低k材料之基板 (具體而言半導體基板)移除圖案化光阻劑之新穎光阻剝離 組合物。 此外’本發明係關於製備電子裝置(具體而言半導體積 體電路(ic)、液晶面板、有機電致發光面板、印刷電路 板、微型機器、DNA晶片及微型植入體,尤其ic)之新穎 方法’該等新穎方法利用該等新穎光阻剝離組合物。 【先前技術】 微影姓刻技術中使用諸如深UV光阻抗餘劑或電子束光 阻劑等光阻劑來製造各種電子裝置,例如半導體積體電路 (1C) '液晶面板、有機電致發光面板、印刷電路板、微型 機器、DNA晶片及微型植入體,具體而言LSI(大規模積 體)或VLSI(超大規模積體)之1C。 現今,銅通常用作電子裝置中(具體而言IC中所含導通 體及互連線中)之低電阻或佈線材料。銅使用之增加及電 子結構尺寸之不斷減小以及1C功能性之不斷增加均要求使 用低k及超低k材料以避免因高佈線容量產生的佈線受阻及 佈線延遲問題。因此’業内需要此等具挑戰性之開發且仍 需要對製備方法及所使用材料進行不斷優化。 具體而言,在形成銅金屬佈線後,使用在不钱刻銅之情 況下藉由使用雙鑲嵌製程形成銅多層佈線的製程。由於銅 之抗I虫刻性較低,故人們已提出各種雙鑲嵌製程。其一實 147943.doc 201100980 例包含形成鋼層並在該銅層頂部上形成似層⑽如201100980 VI. INSTRUCTIONS OF THE INVENTION: TECHNICAL FIELD The present invention relates to novel photoresists that can be used to remove patterned photoresist from substrates (especially semiconductor substrates) containing copper and low-k or ultra-low-k materials. The composition is peeled off. Furthermore, the present invention relates to the novelty of preparing electronic devices (specifically, semiconductor integrated circuits (ic), liquid crystal panels, organic electroluminescent panels, printed circuit boards, micromachines, DNA wafers and micro-implants, especially ic). Method 'These novel methods utilize these novel photoresist stripping compositions. [Prior Art] A photoresist such as a deep UV light resisting agent or an electron beam photoresist is used in the lithography process to manufacture various electronic devices, such as a semiconductor integrated circuit (1C) 'liquid crystal panel, organic electroluminescence Panels, printed circuit boards, micro-machines, DNA chips, and micro-implants, specifically 1C of LSI (large-scale integrated body) or VLSI (very large-scale integrated body). Today, copper is commonly used as a low resistance or wiring material in electronic devices, specifically in the conductors and interconnects contained in ICs. The increased use of copper and the ever-decreasing size of electronic structures and the increasing functionality of 1C require the use of low-k and ultra-low-k materials to avoid wiring obstructions and routing delays due to high wiring capacity. Therefore, the industry needs such challenging developments and still needs to continuously optimize the preparation methods and materials used. Specifically, after the copper metal wiring is formed, a process of forming a copper multilayer wiring by using a dual damascene process without using copper is used. Due to the low resistance of copper to insects, various double damascene processes have been proposed. An example of 147943.doc 201100980 includes forming a steel layer and forming a layer (10) on top of the copper layer.

,SiOC f)’隨㈣成絲制作為最上層。視需要,可在施加 光阻劑層之前,在低k層頂部上形成金屬氮化物層(例如’, SiOC f)' is made with the (four) filaments as the uppermost layer. If desired, a metal nitride layer can be formed on top of the low-k layer prior to application of the photoresist layer (eg, '

TlN層)。在另一變化形式令’在金屬氮化物層與光阻劑層 之間插入障壁抗反射層(BARC)。 Ο ο 此後,將光阻劑層選擇性地暴露於電磁㈣或電子束並 =影以形成光阻劑圖案(「第-光阻抗钱劑圖案」)。然 ㈣Ϊ由使用該第—光阻劑圖案作為遮罩圖案,借助含氟 Ζ裝對低k或超低k層實施部分地乾钱刻。此製程步驟中金 鼠化物層的聯合使用通常稱為「硬遮罩技術」。此後, :::«灰化處理來剥除第—光阻劑圖案。藉此形成佈 然後’在其餘多層結構上新形成另—光阻劑圖宰(「第 二=圖案」)作為最上層,並藉由使用該第二光阻抗 ^劑圖案作為遮罩圖案再次部分地_掉其餘似或超低k ,藉此形成與料溝敍層級下面_互連料連通之 劑:案此後,亦藉由氧繼化處理來剝除第二光阻錄 =用銅較佳藉由電鍍填充佈線溝槽及通孔,藉 多層銅佈線導體。 一詩此等製程之基板可視需要在銅層與低k層之間具有 -p爭壁層(例如,SiN層或Sic層)作為勉刻終止層。在此一 形成通孔及溝槽,且然後,當基板上暴露在外之 早土曰保持原樣時或在已移除障壁層後,剝除光阻抗钱劑 147943.do, 201100980 且此後,用銅填充通孔及佈線溝槽。 在上述雙鑲嵌製程中,在實施蝕刻處理及氧電漿灰化處 理以在溝槽上形成通孔期間可能容易發生由低1層產生之 矽沈積,且此可能會在溝槽開口附近形成矽沈積物。此 外,亦可能發生由光阻劑產生之沈積。若此等沈積物未被 完全移除,則其會顯著降低半導體生產良率。 因此,氧電漿灰化處理已用於在實施習用金屬佈線圖案 化期間移除光阻劑圖案及蝕刻殘留物。然而,隨著超微圖 案化技術之發展,絕緣低}^層必須使用具有較低介電常數 之材料,即超低k材料。目前,已開發出使用介電常數為3 或更少之超低k層之製程。然而,該等超低k材料對灰化之 抵抗性較差或完全無抵抗性。因此,當使用該等超低k材 料時,蝕刻後必須使用不包括氧電漿灰化步驟之製程。 為此已開發出所謂的全濕式(all-wet)蚀刻後殘留物移 除(PERR)製程且其揭示於先前技術中。 美國專利申請案us 20〇3/0148624 A1揭示用於移除灰化 及未灰化光阻劑之光阻剝離組合物,該等組合物含有氫氧 化四級銨(例如氫氧化四曱基銨(TMAH))及有機溶劑(例如 乙醇胺、1-胺基-2-丙醇、胺基乙氧基乙醇、丨_甲基胺基乙 醇、一甲亞砜、N-甲基吡咯啶酮、二乙二醇單甲基醚或二 乙二醇單丁基醚)。該等實例明確地揭示由5重量%乙醇 胺、50重量%二甲亞颯、5重量〇/〇丙二醇、〇 〇5重量 %TMAH、39.55重量%水及1 ppm或更少之溶解的氧組成之 光阻剥離組合物及由28重量%1-胺基-2-丙醇、62重量。/。… 147943.doc 201100980 曱基吡咯啶酮、i重量%TMAH、9重量%水及i ppm溶解的 氧組成之光阻剝離組合物。此等先前技術光阻剝離組合物 可用於其中光阻劑必須經含有i重量%或更多過氧化氫及 氨或銨離子之微粒清除組合物預清除的製程中。 美國專利申請案US 2004/0106531 A1及相應美國專利us 7,250,391 B2揭示含有下列之光阻剝離組合物: (A)風氟酸與不含金屬之驗的鹽, (B 1)水溶性有機溶劑, 〇 (c)選自由有機酸及無機酸組成之群之酸,及 (D) 水 作為必要成份,及 (E) 銨鹽 作為可選成份。 可使用乙醇胺、異丙醇胺、2·(2_胺基乙基胺基)乙醇、 Ν-甲基乙醇胺、Ν-乙基乙醇胺、二環己基胺及ΤΜΑΗ作為 ❹ 不3金屬之驗以光阻剝離組合物重量計,整體(a)組份 較佳以0.01重量%至1重量%的量使用。當與二膦酸(C)一起 使用時,以光阻剝離組合物重量計,不含金屬之鹼可以 ‘ 〇.1重量%至20重量%的量使用。 可使用二乙二醇單乙基醚、二乙二醇單丁基醚、Ν_曱基 吡咯啶酮及二甲亞砜作為水溶性有機溶劑(Β)。 國際專利申請案WO 2004/100245 Α1揭示光阻剝離組合 物其包含較佳佔組合物0.001重量%至5重量%之量的 H2SiF6及/或HBF4、較佳佔組合物5〇重量%至89重量%之量 147943.doc 201100980 的有機溶劑、視需要較佳佔組合物少於15重量%之量的 胺、較佳佔組合物0,001重量%至10重量%之量的腐蝕抑制 劑及水作為其餘部分。可使用^甲基吡咯啶酮、二乙二醇 單曱基㈣或二乙二醇單丁基趟作為有機溶劑。可使用異丙 醇胺、2-(2-胺基乙基胺基)乙醇、2_(2_胺基乙氧基)乙醇及 乙醇胺作為可選胺。TMAH僅用於實質上不含有機溶劑之 所謂的高含水實施例中。 相關美國專利申請案 US 2005/0176259 A1&us 2007/0298619 A1揭示光阻剝離組合物,其包含較佳佔組合重量%至 2〇重量%之量的氫氧化四級銨(例wTMAH)、較佳佔組合 物5重量%至60重量%之量的水、水溶性有機溶劑(例如二 甲亞砜、N-曱基吡咯啶酮、二乙二醇單甲基醚、二乙二醇 單丁基醚)及較佳佔組合物10重量%至5〇重量%之量的水溶 性胺(例如乙醇胺、異丙醇胺、二伸乙基三胺、2_(2_胺基 乙氧基)乙醇或N-曱基乙醇胺)。此等先前技術光阻剝離組 合物可用於其中圖案化光阻劑在剝離前必須經臭氧水及/ 或過氧化氫水溶液預處理的製程中。 美國專利申請案US 2005/0014667 A1及其相應專利US 7,399,365 B2二者揭示稀的水性光阻剝離組合物,其包含 (例如)佔組合物0.02重量%至〇.18重量%的氟化銨、佔組合 物20重量%至4〇重量%的水 '佔組合物59重量%至85重量% 的醯胺及醚溶劑(例如二乙二醇單乙基醚、二乙二醇單丁 基喊及N-甲基吡咯啶酮)、〇.2重量%至5重量%的酸、〇 2重 %至5重量%的烧醇胺(例如乙醇胺、異丙醇胺、N_甲基 147943.doc 201100980 乙醇胺或2-(2-胺基乙基胺基)乙醇)及佔組合物〇·2重量%至 5重量%的四級錢化合物(例如ΤΜΑΗ)。此等先前技術光阻 剝離組合物可用於移除灰化或未灰化光阻劑。 相關美國專利申請案US 2005/0266683 Α1及US 2005/0263743 Α1二者揭示光阻剝離組合物,其包含較佳佔組合物^重量 %至30重量%之量的氫氧化四級銨(例如TMAH)、較佳佔組 合物15重量%至94重量%之量的水、較佳25重量%至85重 量%之量的極性有機溶劑(例如N-甲基吡咯咬_、二甲亞 硬、3-胺基-1 -丙醇及乙醇胺)或其混合物及較佳佔組合物2 重量%至12重量%之量的羥基胺或羥基胺衍生物。據稱, 可省去使用氧電漿之灰化步驟之使用。 美國專利申請案US 2006/0016785 A1揭示用於移除灰化 及未灰化光阻劑之水性及非水性光阻剝離組合物,該等組 合物包含佔組合物0.5重量%至15重量%的四級銨化合物(例 如TMAH或氫氧化四丁基銨(TBAH))、有機溶劑(例如二乙 二醇單曱基醚或二乙二醇單丁基醚)。 實例K明確地揭示由65重量%丙二醇甲基醚、39重量%丙 二醇丙基醚、0.4重量%水、〇_6重量%1^八11、3重量%對甲 苯磺酸及1重量%乙醇胺組成之光阻剝離組合物。實例[明 確地揭示不含水且由56重量%丙二醇丙基醚、35 5重量% 丙二醇曱基醚、〇.5重量%丁6人只、6重量%對曱苯磺酸及2 重量%乙醇胺組成之光阻剝離組合物。實例Μ明確地揭示 由91.5重量%丙二醇曱基醚' 〇 2重量%水、〇 2重量 %ΤΒΑΗ、6重量%對曱苯磺酸及2重量%乙醇胺組成之光阻 147943.doc 201100980 剝離組合物。根據實例C、E、F、J、N、〇、A5、P及S, TMAH以介於2.5重量%至5.5重量%間之較高量使用。根據 此等實例中所用之縮寫列表,PGME及PGPE二者皆應意指 丙二醇曱基醚。然而,有人認為PGPE真正意指丙二醇丙 基謎。 美國專利申請案US 2008/0280452 A1揭示用於未灰化光 阻劑之光阻剝離組合物,其具有高水含量且包含較佳佔組 合物1重量%至20重量%之量的氫氧化四級銨(例如tMAH、 TBAH或氫氧化曱基三丙基銨(MTPah))、水溶性有機溶劑 (例如二甲亞砜及N-曱基吡咯啶酮)及較佳佔組合物丨〇重量 /〇至1 5重量%之量的水溶性胺(例如乙醇胺、N_曱基乙醇胺 及2-(2-胺基乙氧基)乙醇)。具體而言,表2揭示(例如)由下 列組成之光阻剝離組合物:10重量%TMAH、5〇重量%二 甲亞砜及40重量%水(剝離溶液G) ; 5重量%TBAH、3〇重量 曱基吡咯啶酮、30重量%二甲亞砜及25重量%水(剝離 溶液J);或5重量0/〇MTPAH、30重量%二甲亞颯、15重量 %N-甲基吡咯啶酮、20重量%水及3〇重量%2_(2_胺基乙氧 基)乙醇。然而,為完全移除光阻劑,必須用臭氧水及/或 過氧化氫水溶液進行預處理。 先月il技術光阻剝離組合物展示多種缺點及葬端。 因此,含有Ν-曱基吼咯啶_之光阻剝離組合物會引起環 境、健康及安全(EHS)問題。 具有高水含量及/或高氫氧化四級銨含量之組合物會損 壞現代1C技術中所用之低k且具體而言超低,材料。由於羥 147943.doc -10- 201100980 基胺及羥基胺衍生物具有錯合及螯合能力,故含有此等化 合物之組合物會腐蝕銅導通體及互連線。兩種效應皆會導 致ic部分或完全失效。 . 具有高含量有機溶劑之光阻剝離組合物對光阻劑、蝕刻 後殘留物(PER)及障壁抗反射層(BARC)之移除速率主要取 決於氫氧化四級銨之濃度。此對濃度之強依賴性致使組合 物之優化變得困難且複雜。具體而言,若需要高濃度以= ❾ 成高移除速率’則會再次獲得上述不利影響。 已知光阻剝離組合物通常一方面對未變化光阻劑且另一 方面對PER及BARC展示不同的移除速率。在大多數情形 下,PER及BARC遠較未變化光阻劑難移除。此由於托^之 化學性質與光阻劑不同且由於BARC通常係不易於溶解或 分散之高度交聯材料所致。 此外,就具有複雜組成且尤其含有聚四氣乙烯類材料及 含鈦及/或矽之材料的蝕刻殘留物而言,先前技術光阻剝 〇 離組合物可令人滿意地移除光阻劑,但並未展示令人滿竞 之移除速率。 〜 另外,許多利用先前技術光阻剝離組合物之製程在移除TlN layer). In another variation, the barrier anti-reflective layer (BARC) is inserted between the metal nitride layer and the photoresist layer. Thereafter, the photoresist layer is selectively exposed to electromagnetic (four) or electron beams and shadowed to form a photoresist pattern ("first-optical impedance drug pattern"). (4) By using the first photoresist pattern as a mask pattern, the low-k or ultra-low-k layer is partially dried by means of a fluorine-containing armor. The combined use of the metalloid layer in this process step is often referred to as the "hard mask technique." Thereafter, ::: «ashing treatment to strip the first photoresist pattern. Thereby forming a cloth and then forming a new photoresist pattern ("second = pattern") on the remaining multilayer structure as the uppermost layer, and using the second optical impedance pattern as a mask pattern again. The ground_the rest is like or ultra-low k, thereby forming an agent that communicates with the interconnecting material below the trench level: after that, the second photoresist is also stripped by oxygen secondary treatment. A plurality of copper wiring conductors are borrowed by filling the wiring trenches and via holes by electroplating. A substrate of such a process may optionally have a -p wall layer (e.g., a SiN layer or a Sic layer) between the copper layer and the low-k layer as the etch stop layer. A through hole and a groove are formed here, and then, when the early soil on the substrate is exposed as it is or after the barrier layer has been removed, the optical impedance agent 147943.do, 201100980 is removed, and thereafter, copper is used. Fill the vias and wiring trenches. In the above dual damascene process, germanium deposition from the lower layer may easily occur during the etching process and the oxygen plasma ashing process to form via holes in the trench, and this may form a defect near the trench opening. Sediment. In addition, deposition by photoresist can also occur. If these deposits are not completely removed, they can significantly reduce semiconductor production yield. Therefore, oxygen plasma ashing has been used to remove photoresist patterns and etch residues during the implementation of conventional metal wiring patterning. However, with the development of the ultra-micropatterning technology, the insulating layer must use a material having a lower dielectric constant, that is, an ultra-low-k material. At present, a process using an ultra-low k layer having a dielectric constant of 3 or less has been developed. However, these ultra-low k materials are less resistant to ashing or are completely non-resistant. Therefore, when such ultra-low k materials are used, a process that does not include an oxygen plasma ashing step must be used after etching. A so-called all-wet post-etch residue removal (PERR) process has been developed for this purpose and is disclosed in the prior art. A photoresist release composition for removing ashed and unashed photoresists is disclosed in U.S. Patent Application Serial No. 20 〇 3/0148624 A1, which contains quaternary ammonium hydroxide (e.g., tetradecyl ammonium hydroxide) (TMAH)) and organic solvents (eg ethanolamine, 1-amino-2-propanol, aminoethoxyethanol, 丨_methylaminoethanol, monothyl sulfoxide, N-methylpyrrolidone, two Ethylene glycol monomethyl ether or diethylene glycol monobutyl ether). These examples expressly disclose that it consists of 5% by weight of ethanolamine, 50% by weight of dimethyl hydrazine, 5 parts by weight of hydrazine/hydrazine propylene glycol, 5% by weight of TMAH, 39.55% by weight of water and 1 ppm or less of dissolved oxygen. The photoresist stripping composition and 62 weight by weight of 28% by weight of 1-amino-2-propanol. /. 147943.doc 201100980 A photoresist release composition consisting of decyl pyrrolidone, i wt% TMAH, 9 wt% water, and i ppm dissolved oxygen. These prior art photoresist stripping compositions can be used in processes where the photoresist must be pre-cleared by a particulate scavenging composition containing i by weight or more of hydrogen peroxide and ammonia or ammonium ions. U.S. Patent Application No. US 2004/0106531 A1 and the corresponding U.S. Patent No. 7,250,391 B2, the disclosure of which is incorporated herein by reference to: 〇 (c) is selected from the group consisting of organic acids and inorganic acids, and (D) water as an essential component, and (E) ammonium as an optional component. Ethanolamine, isopropanolamine, 2·(2-aminoethylamino)ethanol, Ν-methylethanolamine, Ν-ethylethanolamine, dicyclohexylamine, and hydrazine can be used as the fluorene The overall component (a) is preferably used in an amount of from 0.01% by weight to 1% by weight based on the weight of the anti-stripping composition. When used together with the bisphosphonate (C), the metal-free base can be used in an amount of from 〇1% by weight to 20% by weight based on the weight of the photoresist stripping composition. Diethylene glycol monoethyl ether, diethylene glycol monobutyl ether, fluorenyl pyrrolidone and dimethyl sulfoxide can be used as the water-soluble organic solvent (Β). The international patent application WO 2004/100245 揭示1 discloses that the photoresist stripping composition comprises H2SiF6 and/or HBF4 in an amount of preferably from 0.001% to 5% by weight of the composition, preferably from 5% by weight to 89% by weight of the composition. % of the organic solvent of 147943.doc 201100980, preferably less than 15% by weight of the amine of the composition, preferably 0,001% to 10% by weight of the composition of the corrosion inhibitor and water as the rest section. As the organic solvent, methylpyrrolidone, diethylene glycol monodecyl (tetra) or diethylene glycol monobutyl hydrazine can be used. As the optional amine, isopropanolamine, 2-(2-aminoethylamino)ethanol, 2-(2-aminoethoxy)ethanol, and ethanolamine can be used. TMAH is only used in so-called high water containing embodiments which are substantially free of organic solvents. The related art patent application US 2005/0176259 A1 & us 2007/0298619 A1 discloses a photoresist stripping composition comprising quaternary ammonium hydroxide (example wTMAH) preferably in an amount of from 3% by weight to 2% by weight. A water-soluble organic solvent (for example, dimethyl sulfoxide, N-decyl pyrrolidone, diethylene glycol monomethyl ether, diethylene glycol monobutylate) in an amount of from 5% by weight to 60% by weight of the composition a water-soluble amine (for example, ethanolamine, isopropanolamine, di-ethyltriamine, 2-(2-aminoethoxy)ethanol) in an amount of preferably from 10% by weight to 5% by weight of the composition Or N-mercaptoethanolamine). These prior art photoresist stripping compositions can be used in processes where the patterned photoresist must be pretreated with ozone water and/or aqueous hydrogen peroxide prior to stripping. Both U.S. Patent Application No. US 2005/0014667 A1 and its corresponding patent US 7,399,365 B2 disclose a dilute aqueous photoresist release composition comprising, for example, from 0.02% by weight to 1818% by weight of ammonium fluoride of the composition, 20% to 4% by weight of the composition of water's 59% to 85% by weight of the composition of the indoleamine and ether solvent (eg diethylene glycol monoethyl ether, diethylene glycol monobutyl shunt N-methylpyrrolidone), 22% by weight to 5% by weight of acid, 〇2% by weight to 5% by weight of alkanolamine (eg ethanolamine, isopropanolamine, N-methyl 147943.doc 201100980 Ethanolamine or 2-(2-aminoethylamino)ethanol) and a quaternary compound (e.g., hydrazine) in an amount of from 2% to 5% by weight of the composition. These prior art photoresist stripping compositions can be used to remove ashed or unashed photoresist. The related US patent application US 2005/0266683 Α 1 and US 2005/0263743 Α 1 both disclose a photoresist stripping composition comprising quaternary ammonium hydroxide (e.g., TMAH) preferably in an amount of from 3% by weight to 30% by weight of the composition. a polar organic solvent in an amount of preferably from 15% by weight to 94% by weight of the composition, preferably from 25% by weight to 85% by weight (for example, N-methylpyrrole bit, dimethyl sulfene, 3 -Amino-1-propanol and ethanolamine) or mixtures thereof and preferably hydroxylamine or hydroxylamine derivatives in an amount of from 2% to 12% by weight of the composition. It is stated that the use of an ashing step using oxygen plasma can be omitted. US Patent Application No. US 2006/0016785 A1 discloses aqueous and non-aqueous photoresist release compositions for removing ashing and unashing photoresists, the compositions comprising from 0.5% to 15% by weight of the composition. A quaternary ammonium compound (for example, TMAH or tetrabutylammonium hydroxide (TBAH)), an organic solvent (for example, diethylene glycol monodecyl ether or diethylene glycol monobutyl ether). Example K clearly reveals that it consists of 65% by weight of propylene glycol methyl ether, 39% by weight of propylene glycol propyl ether, 0.4% by weight of water, 〇_6% by weight of 1 VIII, 3% by weight of p-toluenesulfonic acid and 1% by weight of ethanolamine. The photoresist stripping composition. EXAMPLES [definitely disclosed without water and consisting of 56% by weight of propylene glycol propyl ether, 355% by weight of propylene glycol decyl ether, 〇.5% by weight of butyl 6 person, 6% by weight of p-toluenesulfonic acid and 2% by weight of ethanolamine The photoresist stripping composition. EXAMPLES Μ Clearly reveals a photoresist consisting of 91.5% by weight of propylene glycol decyl ether ' 〇 2% by weight water, 〇 2% by weight hydrazine, 6% by weight of terephthalic acid and 2% by weight of ethanolamine 147943.doc 201100980 Stripping composition . According to Examples C, E, F, J, N, 〇, A5, P and S, TMAH is used in higher amounts between 2.5% and 5.5% by weight. According to the list of abbreviations used in these examples, both PGME and PGPE should mean propylene glycol decyl ether. However, some people think that PGPE really means propylene glycol propyl mystery. US Patent Application No. US 2008/0280452 A1 discloses a photoresist release composition for an unashed photoresist having a high water content and comprising preferably four parts by weight of the composition of from 1% to 20% by weight of the composition. Ammonium (for example, tMAH, TBAH or decyltrimethylammonium hydroxide (MTPah)), water-soluble organic solvents (such as dimethyl sulfoxide and N-decylpyrrolidone) and preferably the composition 丨〇 weight / A water-soluble amine (for example, ethanolamine, N-mercaptoethanolamine, and 2-(2-aminoethoxy)ethanol) is added in an amount of 15% by weight. Specifically, Table 2 discloses, for example, a photoresist release composition consisting of 10% by weight of TMAH, 5% by weight of dimethyl sulfoxide, and 40% by weight of water (peeling solution G); 5% by weight of TBAH, 3 〇 weight 曱pyrrolidone, 30% by weight of dimethyl sulfoxide and 25% by weight of water (peeling solution J); or 5 weights of 0 / 〇 MTPAH, 30% by weight of dimethyl hydrazine, 15% by weight of N-methylpyrrole Iridone, 20% by weight of water and 3% by weight of 2-(2-aminoethoxy)ethanol. However, in order to completely remove the photoresist, it is necessary to pretreat with ozone water and/or an aqueous hydrogen peroxide solution. The first month il technical photoresist stripping composition exhibits various shortcomings and burial ends. Therefore, a photoresist release composition containing fluorenyl-fluorenylpyrrolidine causes environmental, health and safety (EHS) problems. Compositions having a high water content and/or a high quaternary ammonium hydroxide content can damage the low k and, in particular, ultra low, materials used in modern 1C technology. Since the hydroxyl group 147943.doc -10- 201100980 base amine and hydroxylamine derivative have mismatching and chelating ability, the composition containing these compounds corrodes the copper conductor and the interconnect. Both effects result in partial or complete failure of ic. The removal rate of the photoresist, the post-etch residue (PER), and the barrier anti-reflective layer (BARC) of the photoresist composition having a high content of an organic solvent mainly depends on the concentration of the quaternary ammonium hydroxide. This strong dependence on concentration makes optimization of the composition difficult and complicated. Specifically, if a high concentration is required to achieve a high removal rate, then the above adverse effects are obtained again. It is known that photoresist stripping compositions typically exhibit different removal rates on the one hand for unaltered photoresist and on the other hand for PER and BARC. In most cases, PER and BARC are far more difficult to remove than unaltered photoresists. This is due to the fact that the chemical properties of the catalyst are different from those of the photoresist and because BARC is usually a highly crosslinked material that is not easily dissolved or dispersed. Furthermore, prior art photoresist stripping compositions can satisfactorily remove photoresist in the case of etch residues having a complex composition and in particular a polytetraethylene-based material and a material comprising titanium and/or tantalum. , but did not show a competing removal rate. ~ In addition, many processes utilizing prior art photoresist stripping compositions are removed

•步驟之前需要預處理步驟。通常使用臭氧水及/或過氧I •氯水溶液。除EHS問題外,此等強氧化溶液會藉由 中所含之碳而損壞低k及超低k材料、具體而言碳摻雜之氧 化矽(SiOC)材料。 最後但同樣重要的是,力前技術製*需要相對較長的製 程時間以在不損壞低k或超低k材料及/或過度蝕刻銅表面 147943.doc 201100980 之情況下達成圖案化光阻劑、障 丨旱壁抗反射層及蝕刻後殘留 物之完全剝除。若試圖縮短製 i程吩間,例如藉由增加諸如 氫氧化四級銨、氟化物或t合劑等反應成份之含量則在 大多數情形下會造成或超低叫料損壞及/或銅表面姓 刻過度。 在將裝置按比例縮放已變得曰益困難時,三維(3D)技術 及架構因有望能夠進-步增加系統性能而在冗技術中變得 日益重要。 就3D應用而言’光阻抗蝕劑可用於圖案化矽穿孔(列 以及鑛敷及凸塊化(3D堆疊積體電路,3D_SI(: ; 3D晶圓級 封裝,3D-WLP)。 通常,3D-WLP TSV蝕刻使用幾微米厚的正型光阻抗蝕 劑。一般使用乾式矽蝕刻與濕式光阻抗蝕劑剝離之組合。 此外,負型光阻抗蝕劑亦可用作鍍銅及微凸塊化應用之模 具。然而,先前技術光阻剝離組合物並非總能以相同方式 移除負型及正型光阻抗蝕劑二者。 被電漿損壞的光阻抗蝕劑(即,蝕刻後殘留物PER)通常 難以移除。為除去該等PER,通常需要施加額外的物理 力0 就3D-WLP方法而言,通常在已黏結至載體上之薄矽晶 圓上實施TSV之圖案化及微凸塊化。在此情形下,光阻剝 離組合物亦必須與膠合材料相容。 因此’人們極其期望不久後可得到一種光阻剝離組合 物’該組合物能夠在不損壞毯覆式(blanket)晶圓表面、圖 147943.doc •12- 201100980 案化晶圓結構及使薄矽晶圓黏結至載體上之膠合材料的情 況下以相肖最㈣之方式移除正型及貞型光阻抗蝕劑及 PER。然而,先前技術光阻抗蝕劑剝離劑不能或僅能部分 地完成此等具挑戰性之要求。 【發明内容】 發明目的 因此,本發明之目的係提供新穎光阻剝離組合物及利用 ❹ 該等新穎光阻剝離組合物製備電子裝置之新穎方法,該等 組合物及方法不再展示上文所陳述之先前技術之缺點及弊 端。 具體而言,新穎光阻剝離組合物將不再含有N_甲基π比咯 啶酮,以避免由此溶劑引起的環境、健康及安全(ehs)問 題。 新穎光阻剝離組合物將不再展示與高水含量及/或高氫 氧化四級銨含量有關之不利影響且將不再損壞現代1(:技術 Ο 中所用之低u具體而言超低k材料。此外,新穎光阻剝離 組合物將不再含有羥基胺及羥基胺衍生物以便銅導通體及 互連線之腐蝕風險降至最小或(在理想情形下)完全避免。 具有高含量有冑溶劑之新賴光阻剝隸合物對光阻劑、 姓刻後殘留物(PER)及障壁抗反射層(BARC)之移除速率將 不再取決於氫氧化四級銨之濃度。藉此,可簡單、直接且 有效地對新穎組合物進行優化及對其進行調適以改變製備 參數,因此不再需要高濃度來達成高移除速率。 新穎光阻剥離組合物將一方面對未變化光阻劑且另一方 147943.doc 13 201100980 面對PER及B ARC展示相同或基本上相同之移除速率,以 便PER及B ARC之不同化學性質不再妨礙其有效移除。 此外’就具有複雜組成且含有聚四氟乙烯類材料及含鈦 及/或石夕之材料的per而言’新穎光阻剝離組合物不僅將極 佳地移除光阻劑,而且將展示極佳的移除速率。 最後但同樣重要的是’新穎光阻剥離組合物將在不損壞 低k或超低k材料及/或過度姓刻銅表面之情況下顯著縮短 完全剝除圖案化光阻劑、障壁抗反射層及蝕刻後殘留物所 需之製程時間。 利用新穎光阻剝離組合物製備電子裝置(具體而言半導 體積體電路(1C)、液晶面板、有機電致發光面板及印刷電 路板、微型機器、DNA晶片及微型植入體,尤其IC)之新 穎方法在移除步驟之前將不再需要預處理步驟。具體而 e ’將το全省去臭氧水及/或過氧化氫水溶液之使用,以 便不再出現與其有關之EHS問題並可完全避免此等強氧化 溶液對低k及超低k材料造成的損壞。總之,新穎製備方法 將知到元全或基本上無缺陷、展示極佳的功能性並具有較 長使用壽命的無瑕疵電子裝置。 除此等目的外,新穎光阻剝離組合物將能夠最有利地用 於3D技術中來製備3D架構,具體而言,在圖案化矽穿孔 (TSV)以及鍍敷及凸塊化領域中(3D堆疊積體電路,• A pre-processing step is required before the step. Ozone water and/or peroxygen I • aqueous chlorine solution is usually used. In addition to the EHS problem, these strong oxidizing solutions can damage low-k and ultra-low-k materials, specifically carbon-doped cerium oxide (SiOC) materials, by the carbon contained in them. Last but not least, the pre-force technology* requires a relatively long process time to achieve a patterned photoresist without damaging the low-k or ultra-low-k material and/or over-etching the copper surface 147943.doc 201100980 Complete stripping of the anti-reflective layer of the barrier drywall and the residue after etching. In an attempt to shorten the process, for example, by increasing the content of reactive components such as ammonium hydride, fluoride or t-mixture, in most cases it will cause or ultra-low damage and/or copper surface surname. Excessive. Three-dimensional (3D) technology and architecture are becoming increasingly important in redundant technologies as they are expected to be able to further increase system performance when scaling devices has become difficult. For 3D applications, 'resist resists can be used to pattern germanium perforations (columns and mineral deposits and bumps (3D stacked integrated circuits, 3D_SI(: ; 3D wafer level package, 3D-WLP). Usually, 3D -WLP TSV etching uses a few micron thick positive photoresist. A combination of dry tantalum etching and wet photoresist stripping is generally used. In addition, negative photoresist can also be used as copper plating and micro bumps. A mold for the application. However, prior art photoresist stripping compositions do not always remove both negative and positive photoresists in the same manner. Photoresist resists damaged by plasma (ie, post-etch residues) PER) is usually difficult to remove. In order to remove these PERs, it is usually necessary to apply additional physical forces. In the case of the 3D-WLP method, TSV patterning and microconvex are usually performed on a thin germanium wafer bonded to a carrier. In this case, the photoresist stripping composition must also be compatible with the bonding material. Therefore, it is highly desirable to obtain a photoresist stripping composition in the near future. The composition can be used without damaging the blanket. Wafer surface, Figure 147943.doc •12-20110 0980 The wafer structure and the bonding of the thin germanium wafer to the carrier are removed in the same way as the positive and negative photoresist and PER. However, prior art photoresist The present invention is directed to providing a novel photoresist release composition and the use of the novel photoresist release composition. Novel methods of electronic devices that do not exhibit the disadvantages and disadvantages of the prior art set forth above. In particular, the novel photoresist stripping compositions will no longer contain N-methyl pi-pyrrolidone To avoid environmental, health and safety (ehs) problems caused by this solvent. The novel photoresist stripping composition will no longer exhibit adverse effects associated with high water content and/or high quaternary ammonium hydroxide content and will no longer Damage to Hyundai 1 (the low-k material used in the technical know-how), in particular, the ultra-low-k material. In addition, the novel photoresist stripping composition will no longer contain hydroxylamine and hydroxylamine derivatives for copper conductors and interconnects. The risk of corrosion is minimized or (in the ideal case) completely avoided. The new photoresist with a high content of bismuth solvent is used for photoresist, post-mortem residue (PER) and barrier anti-reflective layer (BARC). The rate of removal will no longer depend on the concentration of the quaternary ammonium hydroxide. Thereby, the novel composition can be optimized, adapted and adapted to change the preparation parameters simply, directly and efficiently, thus eliminating the need for high concentrations to achieve High removal rate. The novel photoresist stripping composition will exhibit the same or substantially the same removal rate for PER and B ARC on the one hand for the unaltered photoresist and the other 147943.doc 13 201100980 for PER and B ARC The different chemical properties no longer hinder their effective removal. In addition, 'a novel photoresist release composition that has a complex composition and contains a Teflon-based material and a material containing titanium and/or Asahi will not only remove the photoresist but also show the pole. Good removal rate. Last but not least, 'the novel photoresist stripping composition will significantly shorten the complete stripping of the patterned photoresist, barrier anti-reflective layer without damaging the low-k or ultra-low-k material and/or excessively surrogate copper surface. And the processing time required for the residue after etching. Preparation of electronic devices (specifically, semiconductor integrated circuits (1C), liquid crystal panels, organic electroluminescent panels and printed circuit boards, micromachines, DNA wafers, and micro implants, especially ICs) using novel photoresist stripping compositions The novel method will no longer require a pre-processing step prior to the removal step. Specifically, e 'will save the use of ozone water and/or aqueous hydrogen peroxide to eliminate the EHS problems associated with it and completely avoid damage to low-k and ultra-low-k materials caused by such strong oxidizing solutions. . In summary, the novel preparation method will be known to be a flawless electronic device that is fully or substantially defect-free, exhibits excellent functionality, and has a long service life. In addition to these objectives, novel photoresist release compositions will be most advantageously used in 3D technology to fabricate 3D architectures, specifically in the field of patterned ruthenium perforations (TSV) and plating and bumping (3D) Stacking integrated circuits,

Sic; 3D晶圓級封裝,3D_WLP)。在此等應用中,其將能 夠在不損壞毯覆式晶圓表面、圖案化晶圓結構及使薄矽晶 圓黏結至載體上之膠合材料的情況下以相同最有利之方式 147943.doc •14- 201100980 移除正型及負型光阻抗蝕劑及PER。 因此,已發現新穎液體組合物,該組合物不含N_烷基吡 11各咬酮及羥基胺及羥基胺衍生物且包含: • (A)至少兩種極性有機溶劑,其選自由符合以下條件之溶 劑組成之群:在0.06重量%至4重量%溶解的氫氧化四 甲基銨(B)存在下,在5(rc下對含有深uv吸收發色基 團之30 nm厚聚合物障壁抗反射層展示恆定移除速率, U 該重量百分比係以相應測試溶液(AB)之整體重量計,及 (B)至少一種氫氧化四級銨。 在下文中,不含N-烷基吡咯啶酮及羥基胺及羥基胺衍生 物之新穎液體組合物視情況可稱為「本發明之組合物 (composition, compositions)」。 另外,已發現用於製備不含N-烧基。比嘻咬_及經基胺及 羥基胺衍生物之液體組合物之新穎方法,該方法包含下列 步驟: 〇 (1)選擇至少兩種符合以下條件之極性有機溶劑(A):在 0.06重量%至4重量%溶解的氫氧化四甲基銨(B)存在 下,在5〇°C下對含有深吸收發色基團之30 nm厚聚 合物障壁抗反射層展示恆定移除速率,該重量百分比 係以相應測試溶液(AB)之整體重量計, (II)在不存在N_烷基吡咯啶酮及羥基胺及羥基胺衍生物之 f月况下將至少兩種該等選定極性有機溶劑(A)與至少一 種氫氧化四級銨(B)混合。 在下文中,用於製備不含N-烷基吡咯啶酮及羥基胺及羥 147943.doc 15 201100980 基胺衍生物之液體組合物之新纟 ;心新顆方法稱為「本發明之製備 方法」。 此外’已發現製備電子裝置之㈣方法,該方法包含下 列步驟: ⑴將由至/ #低让或超低k材料組成之絕緣介電層施加 至基板頂部上, ⑺將正型或負型光阻劑層施加至絕緣介電層⑴頂部上, ⑺將光阻劑層選擇性地暴露於電磁輻射或微粒輻射, (4) 將光阻劑層(3)顯影以形成光阻劑圖案, (5) 使用光阻_案⑷作為遮料絕緣介電層⑴實施乾姓 刻,以形成導線溝槽及/或與該基板表面連通之通孔, ⑹選擇至夕兩種符合以下條件之極性有機溶劑⑷:在 0_ 06重量/◦至4重量%溶解的氫氧化四甲基銨(b)存在 下,在50°C下對含有深uv吸收發色基團之3〇 nm厚聚 合物障壁抗反射層展示恆定移除速率,該重量百分比 係以相應測試溶液(AB)之整體重量計, (7) 提供至少一種不含N_烷基吡咯啶酮及羥基胺及羥基胺 衍生物之光阻剝離組合物,其包含: (A) 至少兩種根據製程步驟(6)選擇之極性有機溶劑,及 (B) 至少一種氫氧化四級錢, (8) 藉由全濕式製程使用至少一種根據製程步驟(?)製得 之光阻剝離組合物來移除光阻劑圖案及蝕刻後殘留 物,及 (9)用至少一種具有低電阻率之材料填充導線溝槽及通 147943.doc -16- 201100980 孔。 在下文中 備方法」。 製備電子裝置之新穎方法稱為 「本發明之製 另外’已發現液體組合物之新雜田、全 祈穎用途,其用於在借助圖 案化矽穿孔及/或藉由鍍敷及Λ抬儿制μ, 艰驭及凸塊化製備3D堆疊積體電路 及3D晶圓級封裝期間移除負型及正型光阻抗_以及_ ❹Sic; 3D wafer level package, 3D_WLP). In such applications, it will be able to perform in the same most advantageous manner without damaging the blanket wafer surface, patterning the wafer structure, and bonding the thin wafer to the bonding material on the carrier 147943.doc • 14- 201100980 Remove positive and negative photoresist and PER. Thus, a novel liquid composition has been discovered which does not contain N-alkylpyrrol 11 and hydroxyamine and hydroxylamine derivatives and comprises: • (A) at least two polar organic solvents selected from the following a group of solvent constituents: a 30 nm thick polymer barrier containing a deep uv absorbing chromophore at 5 (rc) in the presence of 0.06 wt% to 4 wt% dissolved tetramethylammonium hydroxide (B) The antireflective layer exhibits a constant removal rate, U is based on the total weight of the corresponding test solution (AB), and (B) at least one ammonium quaternary hydroxide. In the following, N-alkylpyrrolidone is not included. And a novel liquid composition of a hydroxylamine and a hydroxylamine derivative may be referred to as "composition composition" as the case may be. Further, it has been found to be used for the preparation of an N-free group. A novel method of liquid composition of a base amine and a hydroxylamine derivative, the method comprising the steps of: (1) selecting at least two polar organic solvents (A) satisfying the following conditions: dissolving at 0.06 wt% to 4 wt% The presence of tetramethylammonium hydroxide (B) A 30 nm thick polymer barrier antireflective layer containing a deep absorption chromophore exhibits a constant removal rate at 5 ° C, based on the total weight of the corresponding test solution (AB), (II) Mixing at least two of the selected polar organic solvents (A) with at least one ammonium quaternary ammonium hydroxide (B) in the absence of N-alkylpyrrolidone and hydroxylamine and hydroxylamine derivatives. Herein, the novel method for preparing a liquid composition containing no N-alkylpyrrolidone and hydroxylamine and hydroxy 147943.doc 15 201100980 amine derivative; the novel method is called "the preparation method of the present invention". In addition, the method of (4) for preparing an electronic device has been found, which comprises the following steps: (1) applying an insulating dielectric layer composed of a material to / #low or ultra-low k material to the top of the substrate, (7) a positive or negative photoresist a layer of agent applied to the top of the insulating dielectric layer (1), (7) selectively exposing the photoresist layer to electromagnetic radiation or particulate radiation, (4) developing the photoresist layer (3) to form a photoresist pattern, (5) Using a photoresist _ case (4) as a masking dielectric layer (1) Engraving to form a wire groove and/or a through hole communicating with the surface of the substrate, (6) selecting two kinds of polar organic solvents (4) satisfying the following conditions: at 0_06 weight/◦ to 4% by weight, dissolved tetramethyl hydroxide In the presence of the ammonium (b), a 3 〇 nm thick polymer barrier antireflective layer containing a deep uv absorbing chromophore exhibits a constant removal rate at 50 ° C in the corresponding test solution (AB) (7) providing at least one photoresist stripping composition containing no N-alkylpyrrolidone and hydroxylamine and hydroxylamine derivative, comprising: (A) at least two according to process step (6) Selecting a polar organic solvent, and (B) at least one quaternary hydroxide, (8) using at least one process step according to the process of the wet process (? The resulting photoresist stripping composition is used to remove the photoresist pattern and the post-etch residue, and (9) the wire trench is filled with at least one material having a low resistivity and the hole is 147943.doc -16-201100980. In the following method. The novel method of preparing an electronic device is referred to as "the other process of the present invention" has been found to be a new field of liquid composition, full praying use for perforation by means of patterned enamel and / or by plating and swaying μ, hard and bump fabrication of 3D stacked integrated circuits and removal of negative and positive optical impedances during 3D wafer level packaging _ and _ ❹

後殘留物,該液體組合物不修燒基。叫销基歸胺及 羥基胺衍生物且包含: (Α)至少兩種極性有機溶劑,其選自由符合以下條件之溶 劑組成之群:在0.06重量%至4重量%溶解的氫氧化四 甲基銨(Β)存在下,在5(rc下對含有深υν吸收發色基 團之30 nm厚聚合物障壁抗反射層展示怪定移除速率: 該重量百分比係以相應測試溶液(AB)之整體重量計,及 (B)至少一種氫氧化四級銨。 在下文中,該液體組合物之新穎用途稱為「本發明之用 途」。 本發明之優點 鑒於上文所討論之先前技術,令人驚奇且技術人員未料 到的是本發明之目的可藉由本發明之組合物、本發明之製 備及本發明之製備方法來解決。 具體而言’本發明之組合物不再含有N_烷基吡咯啶酮 (具體而言,N-曱基吡咯啶酮),因此不再出現與其相關之 環境、健康及安全(EHS)問題。 本發明之組合物不再展示與高水含量及/或高氫氧化四 147943.doc •17· 201100980 、·及叙3里有關之不利影響且不再對現代ic技術中所用之低 k且具體而言超低㈡才料造成損壞。此外,本發明之組合物 不再3有羥基胺及羥基胺衍生物,因此可大大減少或(在 々多It开y下)疋全避免銅導通體及互連線之腐蚀風險。 田本土明之組合物在〇.06重量%至4重量°/❶濃度範圍内 時本發月之組合物對光阻劑、钮刻後殘留物(PER)及障 壁^反射層(BARC)之移除速率不再取決於氫氧化四級按 /辰度藉此,1|、直接且有效地對本發明之組合物 2優化及對其進行調適以改變製備參數,因此不再需要 高濃度氫氧化四級銨來達成高移除速率。 本毛明之組合物一方面對未變化光阻劑且另一方面對 PER及BARC展示相同或基本上相同之移除速率,此使得 PER及BARC之不同化學性f不㈣礙其有效去除。 此外’就具有複雜組成且含有聚四氟乙烯類材料及含鈦 及/或石夕之材料的PER而言,本發明之組合物不僅極佳地移 除光阻;=)彳,並且展示極佳的移除速率。 :後但同樣重要的是,本發明之組合物可在不損壞似 或超低k材料及/或過度峨表面之情況下顯著縮短完全 =案化光阻劑、障壁抗反射層及钱刻後殘留物所需之 裏私時間。 明之組合物而不 〜’之,可製備、儲存、處理及使用本發 會引起環境、健康及安全(ESH)問題。 文全及極佳地可重 且無需任何特殊或 本發明之製備方法可以簡單、經濟、 複生產之方式實施而不會引起ESH問題 147943.doc -18- 201100980 特別設施及安全措施。該方法產生液體組合物,具體而言 本發明之組合物,其具有極佳的應用及性質特徵。 本發明之製備電子裝置(具體而言半導體積體電路(ic)、 液晶面板、有機電致發光面板及印刷電路板、微型機器、 DNA晶片及微型植入體,尤其1C)之方法在移除步驟之前 ' 不再需要預處理步驟。具體而言,可完全省去臭氧水及/ 或過氧化氫水溶液之使用以便不再出現與其相關之EHS問 題並可完全避免此等強氧化溶液對低k及超低k材料造成的 〇 損壞。總之,本發明之製備方法產生完全或基本上無缺 陷、展示極佳的功能性並具有較長壽命的電子裝置。 此外,本發明之組合物尤其最適於本發明在3D技術中用 於製備3D架構之用途,具體而言,在製備圖案化矽穿孔 (TSV)以及鍍敷及凸塊化領域中(3D堆疊積體電路,3D-SIC ; 3D晶圓級封裝,3D-WLP)。在此等應用中,其能夠 在不損壞毯覆式晶圓表面、圖案化晶圓結構及使薄矽晶圓 Q 結合至載體的膠合材料之情況下以相同最有利之方式極快 地移除正型及負型光阻抗蝕劑及PER。 【實施方式】 • 在本發明最廣泛之態樣中,本發明係關於不含N-烷基吡 • 咯啶酮(具體而言N-曱基吡咯啶酮及N-乙基吡咯啶酮)以及 羥基胺及羥基胺衍生物(具體而言如美國專利申請案US 2005/0266683 A1 第 4 頁第[0046]段至第[0050]段及 US 2005/0263743 A1第4頁第[0057]段至第5頁第[0063]段中所 揭示之經基胺衍生物)之液體組合物。 147943.doc -19- 201100980 在本發明之上下文t,特性「液體」意指本發明之組合 物至少在室溫(即23。〇下、較佳至少在〇t下且最佳至少 在-10°c下係液體。 此外,在本發明之上下文中,特性「不含」意 於定性及/或定量檢測N_烷基吡咯啶酮、羥基胺及羥基胺 :生物之已知現代技術分析方法(例如,氣相層析及/或質 譜)不能在本發明之組合物中檢測到相關化合物。 較佳地,如藉由旋轉黏度測定法所量測,本發明之組合 物在5(TC下展示i mPae i 〇 mPas、較佳2 _至8 mpas、 更佳1.5 mpas_^7 mPas且最佳2 mpas^⑺〜之動態剪切 黏度。較佳地,如藉由旋轉黏度敎法所㈣,本發明之 組合物在坑下亦展示2伽至2〇 mPas、更佳3心至 16 mPas且最佳3 mPae14爪〜之動態剪切黏度。 本發明之組合物可為分散液(即乳液或懸浮液)或均質組 口物其中所有成份皆係分子級分散。較佳地,本發明之 組合物係均質分子級分散組合物。 本發月之組合物包含至少兩種極性有機溶劑(八)。極性 有機溶劑⑷可為非質子或質子極性溶劑。至少兩種極性 有機溶劑(A)的量可声μ _ π , 里了廣乏變化且因此,可將其調節至對本 發明之既定製傷方法之要求最有利。較佳地,本發明之組 合物以該組合物之整體重量計包含40重量% '更佳45重量 。。且最佳50重里%至99.95重量%或更佳99 94重量%的至少 兩種極性有機溶劑(Α)。 在本發明之組合物中,有機極性溶劑(αι)與有機極性溶 147943.doc -20- 201100980 劑(A2)之比率亦可廣泛變化且因此,可將其調節至對本發 明之既定製造方法之要求最有利。較佳地,比率(A1):(A2) 為2:1至1:2、更佳為U:1至1:1.5,甚至更佳為1.3:1至1:1.3 且最佳為1.1:1至1:1.1。 • 當在本發明之組合物中使用兩種以上(例如三種、四 種、五種或η種)有機極性溶劑(A)時,其比率 (Α1):(Α2):(Α3):(Α4):(Α5):,·(Αη)亦可廣泛變化且因此,可 ❹冑其調節至對本發明之既定製備方法之要求最有利。較佳 地,極性有機溶劑(Α1)、(Α2)、(Α3)、(Α4)、(Α5)、…及 (An)可等量或幾乎等量使用。 至v兩種極性有機溶劑(A)選自由符合以下條件之溶劑 組成之群:在以相應測試溶液(AB)之整體重量計〇.〇6重量 /〇至4重量%之量的溶解的氫氧化四甲基銨⑺)存在下,在 5〇°C下對含有深UV吸收發色基團之30 nm厚聚合物障壁抗 反射層展示恆定移除速率。 〇 特性「恆定」意指在給定範圍内,移除速率完全或實質 上不依賴於氫氧化四甲基銨(B)之濃度。 出於量測移除速率之目的,較佳將聚合物障壁抗反射層 施加至半導體晶圓表面上。此後,將半導體晶圓表面頂部 上之P早壁抗反射層暴露於欲測試的具有不同(B)濃度的氫 氧化四甲基銨(B)於極性有機溶劑(A)中之測試溶液(AB)。 較佳地’氫氧化四甲基銨(B)係以水溶液形式添加,該 水溶液以該水溶液之整體重量計含有25重量%的氫氧化四 曱基銨(B)。因此,測試溶液(AB)以該測試溶液之整體重 147943.doc -21- 201100980 量計可含有高達16重量%的水(C)。 較佳地,在測試期間以恆定轉速、更佳以5〇卬以至2〇〇 rpm、甚至更佳以75 rpm至125 rpm且最佳以1〇〇卬爪攪拌 測試溶液(AB)。 在所有測試中,半導體晶圓表面頂部上之障壁抗反射層 暴露於測試溶液(AB)之時間皆相同。較佳地,暴露時間為 180 s。 在暴露後,將攜帶障壁抗反射層之半導體晶圓片從測試 /容液(AB)中取出,用極性有機溶劑(較佳異丙醇)沖洗且 此後用去離子水沖洗並用乾燥非反應性氣體(較佳氮)乾 燥。最佳地,在適中溫度下、較佳在^^至”它之溫度下 實施沖洗及乾燥步驟。 在乾燥步驟後,借助已知及習用光譜法檢驗障壁抗反射 層是否仍存在。較佳地,使用變換FTIR(傅立葉變換爪光 譜)來達成此目的。 倘若障壁抗反射層仍存在,則借助已知及習用量測薄層 厚度之方法量測其厚度。較佳地,使用變換FTIR& /或干 涉法來達成此目的。 最佳地,障壁抗反射層在暴露於測試溶液(AB)期間被完 全移除。 就上文所述之選擇性測試而言,可使用任何已知聚合物 抗反射塗層組合物來製備含有深UV發色基團之聚合物障 壁抗反射層’如例如彼等在美國專利US 5,919,599第3行第 40列至第16行第36列及第17行第25列至第18行第25列中結 147943.doc -22· 201100980 合圖1所闡述者。 如業内已知,由於其聚合及交聯性質,障壁抗反射層顯 著較圖案化光阻劑更難移除,選擇性測試保證有機極性溶 劑經選擇以使本發明之組合物甚至更能夠最佳在丨8〇 s - 内在無再沈積或基本上無再沈積之情況下完全移除圖案化 光阻劑及蝕刻後殘留物以及障壁抗反射層。 較佳地’在大氣壓力下極性有機溶劑(A)具有1 〇〇。〇以 0 上、更佳120。〇以上且最佳15〇°C以上的沸點。 更佳地’極性有機溶劑(A)具有5 (Tc以上、更佳5 5。(:以 上且最佳6〇t以上的閃點,如在密閉杯中所量測。 最佳地,至少兩種極性有機溶劑(A)選自由下列組成之 群.包含至少兩個一級胺基之脂肪族多元胺、在一個一級 胺基與一個羥基之間具有至少一個至少3個碳原子之碳鏈 的月曰肪族烷醇胺、脂肪族亞砜及N-經取代咪唑。特定而 δ ’溶劑(A)選自由二伸乙基三胺(沸點2〇7〇c,閃點 〇 l〇2C)、N•甲基咪唑(沸點198°c,閃點92。〇、3-胺基-1-丙 醇(彿點187。(: ’閃點1〇rc)、5_胺基戊醇(沸點222<t, 閃點65 C )及二甲亞砜(沸點189。(:,閃點87。(:)組成之群。 本發明之組合物另外包含至少一種(較佳一種)氫氧化四 級銨(B)。 在本發明之組合物中,氫氧化四級銨(B)的量可廣泛變 因此了將其調節至對本發明之既定製備方法之要求最 有利。較佳地,本發明之組合物以該組合物之整體重量計 匕s 0.05重1%至1〇重量%、更佳〇 〇6重量%至7重量%、甚 147943.doc -23- 201100980 至更佳0.06重量%至5重量%且最佳〇 〇6重量o/心重量%的 至少一種氫氧化四級銨(B)。 較佳地,氫氧化四級銨(B)選自由下列組成之群:氫氧 四甲基録、氫氧化四乙基錢、氫氧化四丙基錢、氯氧化 四丁基銨、氫氧化节基三曱基銨及氫氡化(h經基乙基) I女具體而吕虱氧化四曱基敍。 另外,本發明之組合物可不含水,此意指水含量亦可低 至無法由用於定性及定量檢測水之已知及習用方法檢測 到。另一選擇為,本發明之組合物可包含不同量(較佳少 量)的水作為組份(C)。在此情形下,水含量較佳<5重量 %更么<4重1 %、甚至更佳<3重量%且最佳<2重量%,各 重量百分比皆係以本發明組合物之整體重量計。 本發明之組合物亦可含有至少一種選自由下列組成之群 之額外組份:Μ於溶劑⑷之極性有機溶#_、腐餘抑 制劑⑻、螯合劑(F)、氟化物鹽(G)及表面活性劑⑻。 較佳地,極性有機溶劑⑼選自#合以下條件之溶劑之 群.在0.06重置。/。至4重量%溶解的氫氧化四甲基銨 下,在5CTC下對含有深uv吸收發色基團之⑼_厚聚合物 障壁抗反射層展示移除速率,該重量百分比係以測試溶液 _之整體重量計,該移除速率隨氫氧化四甲基 度之增加而增加。 / 同樣,氫氧化四甲基銨(B)較佳以水溶液形式添加,該 水溶液以該水溶液之整體重量計含有25重量%的氫氧化四/ 甲基錄⑻。因此,測試溶液(DB)以該測試溶液之整體重 147943.doc •24· 201100980 量計可含有高達16重量%的水(c)。 以如上文針對測試料(AB)料㈣之方式敎測試溶 液(DB)之移除速率。 較佳地’在上文所陳述之條件下在以測試溶液(DB)之整 體重量計1重量%氫氧化四甲基録(Β)之濃度下測試溶液 (DB)之移除速率係〇謹至1〇〇 nm。 較佳地,在大氣壓力下極性有機溶劑(D)具有i〇〇t;c以 〇 上、更佳120X:以上且最佳15〇t以上的沸點。 更佳地,極性有機溶劑(D)具有5〇t以上、更佳55它以 上且最佳60°C以上的閃點,如在密閉杯中所量測。 最佳地,極性有機溶劑(D)選自由下列組成之群:烷醇 胺、院二醇|烧基喊、N'經取代六氯吼。定、N_經取代環腺 及N-經取代咪唑,特定而言,乙醇胺(沸點172。〇,閃點 85°C)、N-甲基乙醇胺(沸點16〇。〇,閃點72χ:)、N_乙基乙 醇(沸點168 C,閃點78°C )、異丙醇胺(沸點丄59°c,閃點 Q 71 C )、2-(2-胺基乙基胺基)乙醇(沸點243^ ,閃點 144 C )、2-(2-胺基乙氧基)乙醇(沸點223至242°C,閃點 127 C )、一乙一醇單乙基醚(沸點193 ,閃點93 °C )、二乙 一醇單丁基_ (沸點23〇°C,閃點i〇7°C )、N-(2-經基乙基) 六氫°比咬(沸點198至203°C ’閃點83°C ) ' 1,3 -二曱基_ 3,4,5,6-四氫-(1Η)-°密咬顯|(沸點 246°C,閃點 121°C)、N-(3» 胺基丙基)咪唑(沸點296°C,閃點154。〇及二環己基胺(彿 點 256°C,閃點 105°C )。 本發明之組合物中極性溶劑(D)之濃度可廣泛變化。然 147943.doc •25· 201100980 而,濃度僅應高至本發明之組合物之性質特徵仍主要取決 於有機極性溶劑(A)。較佳地,至少兩種極性有機溶劑(A) 與極性有機溶劑(D)之重量比在5:1、更佳4:1且甚至更佳 3:1且最佳2.5:1範圍内。 原則上,可使用任一已知金屬腐蝕抑制劑(E)。較佳 地,腐蝕抑制劑選自由如(例如)下列中所述之銅腐蝕抑制 劑(E)組成之群: - 國際專利申請案WO 2004/100245 A1第9頁第[0030]段 至第10頁第[0031]段, - 美國專利申請案US 2005/0176259 A1第4頁第[0049]段 至第5頁第[0059]段, - 美國專利申請案US 2005/0263743 A1第5頁第[0067]段 至第6頁第[0073]段,及 - 美國專利申請案US 2008/0280452 A1第3頁第[0045]段 至第4頁第[0053]段。 銅腐蝕抑制劑(E)可以廣泛變化量使用。較佳地,其以 上文所提及先前技術中所揭示之習用及有效量使用。 原則上,在本發明之組合物中可使用任一已知螯合劑 (F)。較佳地,螯合劑(F)選自銅螯合劑(F)之群,具體而 言,選自(例如)下列美國專利申請案中所述之銅螯合劑(F) 之群: -US 2004/0106531 A1 第 6頁第[0074]段,及 -US 2005/0263743 A1 第 5頁第[0070]段至第 6頁第[0073] 段以及第[0078]段。 147943.doc •26- 201100980 該等銅螯合劑(F)通常亦可用作銅腐姓抑制劑⑻。 銅螯合劑(F)可以廣泛變化量使用。較佳地,其以上文 所提及先前技術中所揭示之習用及有效量使用。 . 原則上’在本發明之組合物中可使用任-已知氟化物鹽 ⑼。較佳地’氟化物鹽⑹選自氫a酸與不含金屬之驗之 鹽之群,如美國專利申請案us 2〇〇4/〇1〇6531八丨第]頁第 [0035]段至第’η段中所述。1化物鹽⑹可以廣泛變化 0 里使用。較佳地’其以所引用先前技術中(具體而言,第 [0041]段中)所揭示之習用及有效量使用。 原則上,在本發明之組合物中可使用任一已知表面活性 劑(Η)。較佳地,表面活性劑選自如美國專利申請案us 2008/0280452 A1第4頁第[0054]段至第5頁第[〇〇61]段中所 述表面活性劑之群。表面活性劑(H)可以廣泛變化量使 用。較佳地,其以所引用先前技術中(具體而言,第[〇〇61] 段中)所揭示之習用及有效量使用。 〇 本發明之組合物可以多種方式製備。較佳地,根據本發 月之製U方法製備本發明之組合物。本發明之優點係本發 明之製造方法亦可用於製備除本發明之組合物外的其他組 合物。 在本發明製造方法之第一製程步驟中,如上文所述選擇 至少兩種極性有機溶劑(A)。 在本發明製造方法之第二製程步驟中,將至少兩種該等 選定極性有機溶劑(A)與至少一種如上文所述之氫氧化四 級銨(B)混合在—起。 147943.doc -27- 201100980 至少一種選自由上文所述之不同於溶劑(A)之極性有機 溶劑(D)、腐蝕抑制劑(E)、螯合劑(F)、氟化物鹽(G)及表 面活性劑(H)組成之群的額外組份可在第一製程步驟中或 在單獨製程步驟中較佳以所引用先前技術中所揭示之較佳 量添加。 本發明之製造方法必須在不存在如上文所述N—烷基吡咯 啶酮、羥基胺及羥基胺衍生物之情況下將上述成分混合在 一起。 第二製程 mPas 至 10 mPas且最 在本發明製造方法之額外製程步驟中,可將隹 步驟得到之混合物於5〇°C下之剪切黏度調節至1 mPas、較佳 2 mPas至 8 mPas、更佳 1.5 mPas至 7 佳 2 mPas至 6 mPas。 額外製程步驟可作為單獨步驟實施或可合併至本發明製 造方法之各個其他製程步驟中。後者可藉由仔細選擇用於 第二製程步驟之成份以使所得混合物展示所需動態黏度來 達成。 最佳地,如藉由旋轉黏度測定法所量測,本發明之組合 物在23°C下亦展示2 mPas至20 mPas、更佳3 111]?^至16 mPas且最佳3 mPas至14 mPas之動態剪切黏度。 可使用習用及標準混合製程及混合設備(例如攪拌槽、 直插式(in-line)溶解器、高剪切葉輪、超音波混合器、均 質器噴嘴或對流式混合器)來實施組合物(具體而言本發明 之組合物)之成份之混合。 本發明之組合物、根據本發明之製造方法製得之組合物 147943.doc •28- 201100980 及(最佳地)根據本發明之製造方法製得之本發明組合物可 用於多種目的。具體而言,其可用於本發明之製備方法。 本發明之製備方法產生最有利之電子裝置,具體而言半 導體積體電路(ic)、液晶面板、有機電致發光面板、印刷 電路板、微型機器、DNA晶片及微型植入體,然而尤其 LSI或 VLSI之 1C。 本發明之製備方法在第一製程步驟中包含在基板頂部上 施加由至少一種低k或超低k材料組成之絕緣介電層之步 驟。 適宜低k或超低k材料及製備絕緣介電層之適宜方法闡述 於(例如)美國專利申請案US 2005/0176259 A1第2頁第 [0025]段至第[0027]段、US 2005/0014667 A1 第 1 頁第 [0003]段、US 2005/0266683 A1 第 1 頁第[0003]段及第 2頁 第[0024]段或 US 2008/0280452 A1 第[0024]段至第[0026]段 中或美國專利US 7,250,391 B2第1行第49列至第54列中。 適宜之基板尤其係常用於製備1C之半導體基板,例如矽 晶圓。 在第二製程步驟中,在絕緣介電層頂部上施加正型及負 型光阻劑層。 用於製備正型及負型光阻劑層之適宜材料及方法闡述於 (例如)美國專利US 7,250,391 B2第1行第55列至第60列中 或美國專利申請案US 2005/0176259 A1第2頁第[0029]段及 第[0030]段、US 2006/0016785 A1 第 3 頁第[0025]段至第 [0027]段或 US 2008/0280452 A1 第[0027]段至第[0029]段及 147943.doc -29- 201100980 第5頁第[0062]段中。 在第二步驟中’將光阻劑層選擇 微粒輕射。 選擇性也暴路於電磁輕射或 較佳地,使用UV_射線、深uv_射線、 (mm ^ 千刀千雷射射線 (具體而吕,KrF-、ArF-或F2-準分子雷鼾斛始、a A雷―“a +刀千雷射射線)或X-射線作 為電磁备射。就暴露而言,光阻密丨 ,^ 尤丨且劑層可藉助預期遮罩圖幸 暴路於能夠發射該等活性射線之光源,如例如,低Μ 燈、尚壓汞燈、超高壓汞燈或疝燈。 _ 子^制亦可直接《於㈣料,較佳地,暴露於電 然後’若需要,可進一步對光阻劑圖 後烘烤)。 恭硌 在第四製程步驟中,將經選擇性暴露之光阻劑層用㈣ 劑(較佳如(例如)美國專利申請案US 20嶋)28〇452 Α1/5 ^第[幅2]段中所述之驗性水溶液)顯影以產生光阻劑圖 案0 在第五製程步驟中,伸闲 ㈣㈣料對絕緣介 電廣只施章ζ*名虫刻,J;乂邮々播Α 形成導線溝槽及/或與該層下面的表 面連通之通孔,例如其妃 ^ __ _ "表面、層級下面的佈線表面或插 於s玄層級下面的表;也±2_ > 面〜擬乾蝕刻之絕緣介電層間之蝕刻终 止層(如例如,氮氧化矽屉 曰)之表面,該佈線由至少一種且 有低電阻率之材料(目辦上 U體而έ銅或銅合金)組成。 使用含氟電漿(具體而^ ^ Α 。,基於氟碳氣體之電漿)作為乾蝕 刻劑。 147943.doc •30- 201100980 在乾蝕刻步驟中,產生蝕刻後殘留物,在製備電子裝置 之BEOL(後段(back-end of the line))製程過程中必須將其 移除。此等蝕刻後殘留物可能具有不同的包含聚四氟乙烯 類材料及含鈦及/或矽之材料之組合物。 .在第六製程步驟中,如上文所述選擇至少兩種極性有機 ’溶劑(A)。 在第七製程步驟中,使用至少兩種選定極性有機溶劑 0 (A)來製備至少一種(較佳一種)本發明之組合物作為如上文 所述之光阻剝離組合物。 在第八製程步驟中,藉由全濕式製程使用至少一種(較 佳一種)根據第七製程步驟製得之光阻剝離組合物來移除 光阻劑圖案及蝕刻後殘留物。 可藉由用超a波輻照光阻剝離溶液來增強光阻剝離製程 步驟八之效果。 較佳地,在(^至川^、更佳1〇。(:至65。〇且最佳5〇C至 Q 60 c之溫度下實施第八製程步驟。 本發明製備方法之-個主要優點係由於❹本發明之光 阻剝離組合物,可省去灰化步驟(具體而言,使用含氧電 漿之灰化步驟)或預清除步驟(具體而言,使用臭氧水或過 f化氫之預清除步驟)。此外,未觀察到或僅觀察到極少 里的變硬光阻劑微粒及/或蝕刻後殘留物再沈積。 在剝離光阻劑圖案及蝕刻後殘留物後,可(具體而言用 去離子水)沖洗所得導線溝槽及/或通孔結構,以移除^何 剩餘光阻制離組合物。此後,可較佳用乾燥非反應性氣體 147943.doc •31 · 201100980 (具體而言,氮)來乾燥所得結構。 在第九製程步驟中,用至少一種具有低電阻率之材料填 充導線溝槽及通孔。較佳地,使用銅及銅合金(最佳銅)達 成此目的。較佳地,可使用已知銅電鍍溶液及電鍍方法, 如(例如)美國專利申請案us 2006/021378〇 Αι中所述。 在本發明之製備製程中,可使用如(例如)美國專利!)8 6,〇74,946或US 6,218,〇78 m或美國專利申請案仍 2008/0286977 A1 ^ US 2008/10305441 Al ' US 008/0305625 A1 或US 2〇〇9/0〇35944 A1中所述之硬遮罩層。在帛五製程步 驟中制自第四製程步㈣到之光阻_案作為遮單對該 硬遮罩層實施選擇性蝕刻。 另一選料’可在光阻劑層與絕緣介電層之間插入如 (例如)美國專利us 5,919,599中所述之障壁抗反射層。另 外,亦可在硬遮罩層與光阻劑層之間插入障壁抗反射層。 ,兩種h $下’均在第五製程步驟中使用自第四製程步驟 得到之光阻劑圖案作為遮罩對障壁抗反射層實施選擇性蝕 刻’並在第八製程步驟中將其與圖案化光阻劑及姑刻後殘 留物一起完全移除。 在貫施本發明之製備製程後,彳藉由化學機械抛光 Ο使用電子裝置(例如⑹製備領域熟知方法及設備對 所:表面實施拋光。此後,可施加另一層低k介電材料, 2要知加另-硬遮罩層,㉟需要施加另—障壁抗反射層 ^施加另—光阻劑層1後重複本發明之製備製程。 根據本發明製備方法製得之電子裝置具有極佳的功能性 147943.doc -32· 201100980 及很長的壽命。After the residue, the liquid composition does not cure. A pin-based amine and a hydroxylamine derivative and comprising: (Α) at least two polar organic solvents selected from the group consisting of solvents having a solubility in the range of 0.06 wt% to 4 wt% dissolved tetramethyl hydroxide In the presence of ammonium (Β), a 30 nm thick polymer barrier antireflective layer containing a deep υ absorbing chromophore group at 5 rc exhibits a strange removal rate: the weight percentage is determined by the corresponding test solution (AB) The overall weight, and (B) at least one quaternary ammonium hydroxide. Hereinafter, the novel use of the liquid composition is referred to as "the use of the present invention." Advantages of the Invention In view of the prior art discussed above, Surprisingly and unexpectedly by the skilled person, the object of the invention can be solved by the composition of the invention, the preparation of the invention and the preparation process according to the invention. In particular, the composition of the invention no longer contains N-alkyl groups. Pyrrolidone (specifically, N-decylpyrrolidone), so there are no environmental, health and safety (EHS) problems associated with it. The compositions of the present invention are no longer shown to be high in water content and/or high. Hydroxide four 147943.doc • 17· 201100980, and the adverse effects associated with the 3rd and no longer cause damage to the low k and especially the ultra low (2) used in modern ic technology. Furthermore, the composition of the present invention no longer has 3 hydroxyl groups. Amines and hydroxylamine derivatives, thus greatly reducing or avoiding the risk of corrosion of copper conductors and interconnects. The composition of the field is 〇.06% by weight to 4% by weight. The removal rate of the composition of the present month to the photoresist, the post-mortem residue (PER) and the barrier reflective layer (BARC) is no longer dependent on the hydration of the quaternary hydroxide Thus, 1|, the composition 2 of the present invention is optimized and adapted directly and effectively to change the preparation parameters, so that a high concentration of quaternary ammonium hydroxide is no longer required to achieve a high removal rate. Aspects of the unaltered photoresist and on the other hand exhibit the same or substantially the same removal rate for PER and BARC, which makes the different chemical properties of PER and BARC not (four) hinder its effective removal. Contains polytetrafluoroethylene materials and titanium and / PER evening of stone material, the composition of the present invention is not only excellent in addition to displacing the photoresist; =) left foot, and exhibit excellent removal rate. : After, but equally important, the composition of the present invention can significantly shorten the complete = cased photoresist, barrier anti-reflective layer and after the engraving without damaging the surface of the ultra-low k material and/or the excessively flawed surface. The private time required for the residue. The composition of the invention may be prepared, stored, handled and used to cause environmental, health and safety (ESH) problems. It is extremely versatile and excellent and does not require any special or preparative methods of the invention to be implemented in a simple, economical, and re-production manner without causing ESH problems. 147943.doc -18- 201100980 Special facilities and safety measures. This process produces a liquid composition, in particular a composition of the invention, which has excellent application and property characteristics. The method for preparing an electronic device (specifically, a semiconductor integrated circuit (IC), a liquid crystal panel, an organic electroluminescence panel and a printed circuit board, a micromachine, a DNA wafer, and a micro implant, especially 1C) of the present invention is removed. Before the step', the preprocessing step is no longer needed. In particular, the use of ozone water and/or aqueous hydrogen peroxide can be completely eliminated so that the EHS problems associated therewith are no longer present and the damage to the low k and ultra low k materials caused by such strong oxidizing solutions can be completely avoided. In summary, the preparation method of the present invention produces an electronic device that is completely or substantially free of defects, exhibits excellent functionality, and has a long life. Furthermore, the compositions of the present invention are particularly well suited for the use of the present invention in the preparation of 3D frameworks in 3D technology, in particular in the field of preparing patterned ruthenium perforations (TSV) and plating and bumping (3D stacked products). Body circuit, 3D-SIC; 3D wafer level package, 3D-WLP). In such applications, it can be removed extremely quickly in the same most advantageous manner without damaging the blanket wafer surface, patterning the wafer structure, and bonding the thin wafer Q to the carrier's bonding material. Positive and negative photoresists and PER. [Embodiment] • In the broadest aspect of the invention, the invention relates to the absence of N-alkylpyrrolidone (specifically N-decylpyrrolidone and N-ethylpyrrolidone) And a hydroxylamine and a hydroxylamine derivative (specifically, as described in US Patent Application No. US 2005/0266683 A1, page 4, paragraphs [0046] to [0050], and US 2005/0263743 A1, page 4, paragraph [0057] A liquid composition of the transamine derivative as disclosed in paragraph [0063] on page 5. 147943.doc -19- 201100980 In the context of the present invention t, the characteristic "liquid" means that the composition of the present invention is at least at room temperature (i.e., 23 〇, preferably at least 〇t and optimally at least -10) In addition, in the context of the present invention, the property "free" means to qualitatively and/or quantitatively detect N-alkylpyrrolidone, hydroxylamine and hydroxylamine: a known modern technical analysis method for living organisms (For example, gas chromatography and/or mass spectrometry), the relevant compound cannot be detected in the composition of the present invention. Preferably, the composition of the present invention is measured at 5 (TC) as measured by rotational viscosity measurement. Demonstrating i mPae i 〇mPas, preferably 2 _ to 8 mpas, more preferably 1.5 mpas_^7 mPas and optimal dynamic shear viscosity of 2 mpas^(7)~. Preferably, by rotating the viscosity method (4), The composition of the present invention also exhibits a dynamic shear viscosity of 2 to 2 mPas, more preferably 3 to 16 mPas and preferably 3 mPae 14 of the paws under the pit. The composition of the present invention may be a dispersion (i.e., an emulsion or Suspension) or homogenous group of mouthpieces in which all components are molecularly dispersed. Preferably, the present invention The composition is a homogeneous molecular-level dispersion composition. The composition of the present month comprises at least two polar organic solvents (VIII). The polar organic solvent (4) may be an aprotic or protic polar solvent. At least two polar organic solvents (A) The amount of vocal μ _ π varies widely and, therefore, it can be adjusted to the most advantageous requirements for the custom wound method of the present invention. Preferably, the composition of the present invention comprises the total weight of the composition. 40% by weight of 'better 45% by weight and optimally 50% by weight to 99.95% by weight or more 99% by weight of at least two polar organic solvents (Α). In the composition of the present invention, an organic polar solvent ( The ratio of αι) to the organic polar solution 147943.doc -20- 201100980 agent (A2) can also vary widely and, therefore, it can be adjusted to the most advantageous requirements of the established manufacturing method of the present invention. Preferably, the ratio (A1) :(A2) is 2:1 to 1:2, more preferably U:1 to 1:1.5, even more preferably 1.3:1 to 1:1.3 and most preferably from 1.1:1 to 1:1.1. Two or more (for example, three, four, five or η) organic substances are used in the composition of the present invention. In the case of the solvent (A), the ratio (Α1): (Α2): (Α3): (Α4): (Α5):, (Αη) can also be widely varied and, therefore, can be adjusted to the present invention. The requirements of the established preparation method are most advantageous. Preferably, the polar organic solvents (Α1), (Α2), (Α3), (Α4), (Α5), ... and (An) can be used in equal or almost equal amounts. v Two polar organic solvents (A) are selected from the group consisting of solvents having dissolved hydrogen peroxide in an amount of from 重量6 wt/〇 to 4 wt% based on the total weight of the corresponding test solution (AB). A 30 nm thick polymer barrier antireflective layer containing a deep UV absorbing chromophore exhibits a constant removal rate in the presence of tetramethylammonium (7)) at 5 °C. 〇 The characteristic "constant" means that the removal rate is completely or substantially independent of the concentration of tetramethylammonium hydroxide (B) within a given range. The polymeric barrier anti-reflective layer is preferably applied to the surface of the semiconductor wafer for the purpose of measuring the removal rate. Thereafter, the P early-wall anti-reflective layer on the top of the surface of the semiconductor wafer is exposed to a test solution (AB) having a different (B) concentration of tetramethylammonium hydroxide (B) in the polar organic solvent (A) to be tested. ). Preferably, tetramethylammonium hydroxide (B) is added as an aqueous solution containing 25% by weight of tetramethylammonium hydroxide (B) based on the total weight of the aqueous solution. Therefore, the test solution (AB) may contain up to 16% by weight of water (C) based on the total weight of the test solution 147943.doc -21 - 201100980. Preferably, the test solution (AB) is stirred at a constant rotational speed, more preferably 5 Torr to 2 rpm, even more preferably 75 rpm to 125 rpm, and optimally at 1 Torr during the test. In all tests, the barrier anti-reflective layer on the top of the surface of the semiconductor wafer was exposed to the test solution (AB) for the same time. Preferably, the exposure time is 180 s. After exposure, the semiconductor wafer carrying the barrier anti-reflective layer is removed from the test/capacitor (AB), rinsed with a polar organic solvent (preferably isopropanol) and thereafter rinsed with deionized water and dried for non-reactivity. The gas (preferably nitrogen) is dried. Most preferably, the rinsing and drying steps are carried out at moderate temperatures, preferably at temperatures of it. After the drying step, it is checked by known and conventional spectroscopy whether the barrier antireflective layer is still present. Transform FTIR (Fourier Transform Claw Spectroscopy) is used for this purpose. If the barrier anti-reflective layer is still present, the thickness is measured by means of known and custom-measured thin layer thicknesses. Preferably, a modified FTIR & Or interferometry to achieve this. Optimally, the barrier anti-reflective layer is completely removed during exposure to the test solution (AB). For the selectivity test described above, any known polymer resistance can be used. The reflective coating composition is used to prepare a polymeric barrier antireflective layer comprising a deep UV chromophore group as described, for example, in US Pat. No. 5,919,599, line 3, line 40 to line 16, line 36, line 17, line 25. Columns to column 18, column 25, 147943.doc -22· 201100980, as illustrated in Figure 1. As is known in the art, the barrier anti-reflective layer is significantly more reflective than the patterned photoresist due to its polymerization and cross-linking properties. Hard to remove, selective test guarantee The organic polar solvent is selected such that the composition of the present invention is even more capable of completely removing the patterned photoresist and post-etch residue, even within the 丨8〇s - without redeposition or substantially no redeposition. Barrier antireflection layer. Preferably, the polar organic solvent (A) has 1 在 at atmospheric pressure, 〇 is above 0, more preferably 120 〇 above and preferably at a boiling point above 15 ° C. More preferably ' The polar organic solvent (A) has 5 (Tc or more, more preferably 5 5 ((: above and preferably a flash point of 6 〇t or more, as measured in a closed cup. Optimum, at least two polar organic solvents) (A) a group selected from the group consisting of aliphatic polyamines containing at least two primary amine groups, a guanidine aliphatic alkane having at least one carbon chain of at least 3 carbon atoms between a primary amine group and a hydroxyl group. Alcoholamine, aliphatic sulfoxide and N-substituted imidazole. Specific and δ 'solvent (A) is selected from di-ethyltriamine (boiling point 2〇7〇c, flash point 〇l〇2C), N•methyl Imidazole (boiling point 198 ° C, flash point 92. 〇, 3-amino-1-propanol (Buddha 187. (: 'flash point 1 〇 rc), 5 _ a pentanol (boiling point 222 < t, flash point 65 C ) and dimethyl sulfoxide (boiling point 189. (:, flash point 87. (:) composition group. The composition of the present invention additionally comprises at least one (preferably one) The quaternary ammonium hydroxide (B). In the composition of the present invention, the amount of the quaternary ammonium hydroxide (B) can be varied widely, so that it is most advantageous to adjust it to the requirements of the predetermined preparation method of the present invention. The composition of the present invention is 1s 0.05 by weight from 1% to 1% by weight, more preferably from 6% to 7% by weight, even 147,943.doc -23 to 201100980 to more preferably the total weight of the composition. 0.06 wt% to 5% by weight and most preferably 重量6 wt%/heart weight % of at least one quaternary ammonium hydroxide (B). Preferably, the quaternary ammonium hydroxide (B) is selected from the group consisting of: hydrogen oxytetramethyl chloride, tetraethylammonium hydroxide, tetrapropyl hydroxyhydroxide, tetrabutylammonium oxychloride, and hydroxide Base trimethyl ammonium and hydroquinone (h via ethyl ethyl) I female specific and Lu Wei oxidation of tetradecyl. Further, the composition of the present invention may be free of water, which means that the water content may be too low to be detected by known and conventional methods for qualitatively and quantitatively detecting water. Alternatively, the compositions of the present invention may comprise varying amounts (preferably small amounts) of water as component (C). In this case, the water content is preferably < 5 wt% or more < 4 wt%, even more preferably <3 wt% and optimal < 2 wt%, each weight percentage being the composition of the invention The overall weight. The composition of the present invention may also contain at least one additional component selected from the group consisting of polar organic solvent #_, residual inhibitor (8), chelating agent (F), fluoride salt (G). And surfactant (8). Preferably, the polar organic solvent (9) is selected from the group consisting of solvents of the following conditions. It is reset at 0.06. /. The removal rate of the (9)_thick polymer barrier antireflection layer containing a deep uv absorbing chromophore group at 5 CTC under 4 wt% dissolved tetramethylammonium hydroxide, the weight percentage is determined by the test solution The removal rate increases with increasing tetramethylammonium hydroxide as a whole. Similarly, tetramethylammonium hydroxide (B) is preferably added in the form of an aqueous solution containing 25% by weight of tetramethylammonium hydroxide (8) based on the total weight of the aqueous solution. Therefore, the test solution (DB) may contain up to 16% by weight of water (c) based on the total weight of the test solution 147943.doc •24·201100980. The removal rate of the solution (DB) was tested as described above for the test material (AB) material (4). Preferably, the removal rate of the test solution (DB) at a concentration of 1% by weight of tetramethylammonium hydroxide (Β) based on the total weight of the test solution (DB) under the conditions set forth above is To 1〇〇nm. Preferably, the polar organic solvent (D) has a boiling point of 〇〇 ;; c 〇 above, more preferably 120X: or more and most preferably 15 〇t or more at atmospheric pressure. More preferably, the polar organic solvent (D) has a flash point of 5 Torr or more, more preferably 55 or more and preferably 60 ° C or more, as measured in a closed cup. Most preferably, the polar organic solvent (D) is selected from the group consisting of alkanolamines, diols, ketones, and N'-substituted hexachloropurines. N, substituted ring gland and N-substituted imidazole, in particular, ethanolamine (boiling point 172. 〇, flash point 85 ° C), N-methylethanolamine (boiling point 16 〇. 〇, flash point 72 χ:) , N_ethylethanol (boiling point 168 C, flash point 78 ° C), isopropanolamine (bp 丄 59 ° C, flash point Q 71 C ), 2-(2-aminoethylamino)ethanol ( Boiling point 243^, flash point 144 C), 2-(2-aminoethoxy)ethanol (boiling point 223 to 242 ° C, flash point 127 C), monoethyl alcohol monoethyl ether (boiling point 193, flash point 93 °C), diethyl ether monobutyl _ (boiling point 23 〇 ° C, flash point i 〇 7 ° C), N-(2-transethyl ethyl) hexahydro ratio bite (boiling point 198 to 203 ° C ' Flash point 83 ° C) ' 1,3 - Dimercapto _ 3,4,5,6-tetrahydro-(1 Η)-° dense bite|(boiling point 246 ° C, flash point 121 ° C), N- (3»Aminopropyl)imidazole (boiling point 296 ° C, flash point 154. oxime and dicyclohexylamine (Buddha point 256 ° C, flash point 105 ° C). Polar solvent (D) in the composition of the invention The concentration can vary widely. However, the concentration should only be as high as the nature of the composition of the invention, still largely dependent on the organic polar solvent (A). The weight ratio of the at least two polar organic solvents (A) to the polar organic solvent (D) is in the range of 5:1, more preferably 4:1 and even more preferably 3:1 and most preferably 2.5:1. In principle, Any known metal corrosion inhibitor (E) may be used. Preferably, the corrosion inhibitor is selected from the group consisting of, for example, copper corrosion inhibitors (E) as described below: - International Patent Application WO 2004 /100245 A1, page 9, paragraph [0030] to page 10, paragraph [0031], - US Patent Application US 2005/0176259 A1, page 4, paragraph [0049] to page 5, paragraph [0059], - US Patent Application US 2005/0263743 A1, page 5, paragraph [0067] to page 6, paragraph [0073], and - US Patent Application US 2008/0280452 A1, page 3, paragraph [0045] to page 4 [0053] The copper corrosion inhibitor (E) can be used in widely varying amounts. Preferably, it is used in the conventional and effective amounts disclosed in the prior art mentioned above. In principle, the composition of the present invention Any known chelating agent (F) may be used. Preferably, the chelating agent (F) is selected from the group of copper chelating agents (F), specifically, for example, from the following US patent applications The group of copper chelating agents (F) described in the case: -US 2004/0106531 A1, page 6, paragraph [0074], and -US 2005/0263743 A1, page 5, paragraphs [0070] to 6 [0073] paragraph and paragraph [0078]. 147943.doc •26- 201100980 These copper chelators (F) are also commonly used as copper sulphide inhibitors (8). The copper chelating agent (F) can be used in a wide variety of amounts. Preferably, it is used in the conventional and effective amounts disclosed in the prior art mentioned above. In principle, any-known fluoride salt (9) can be used in the composition of the present invention. Preferably, the 'fluoride salt (6) is selected from the group consisting of a hydrogen a acid and a metal-free test salt, as described in US Patent Application No. 2〇〇4/〇1〇6531 丨 丨 page [0035] Said in the 'n segment. 1 The compound salt (6) can be widely used in 0. Preferably, it is used in the conventional and effective amounts disclosed in the cited prior art (specifically, paragraph [0041]). In principle, any of the known surfactants (Η) can be used in the composition of the present invention. Preferably, the surfactant is selected from the group of surfactants as described in paragraph [0054] to page 5 [〇〇61] of page 4 of U.S. Patent Application No. 2008/0280452 A1. Surfactant (H) can be used in a wide variety of amounts. Preferably, it is used in the conventional and effective amounts disclosed in the cited prior art (specifically, in paragraph [61]). 〇 The compositions of the present invention can be prepared in a variety of ways. Preferably, the compositions of the present invention are prepared according to the U method of this month. Advantages of the Invention The method of the present invention can also be used to prepare other compositions than the compositions of the present invention. In the first process step of the production method of the present invention, at least two polar organic solvents (A) are selected as described above. In a second process step of the manufacturing process of the present invention, at least two of the selected polar organic solvents (A) are mixed with at least one of the quaternary ammonium hydroxides (B) as described above. 147943.doc -27- 201100980 at least one selected from the group consisting of a polar organic solvent (D) different from the solvent (A), a corrosion inhibitor (E), a chelating agent (F), a fluoride salt (G), and The additional components of the group of surfactant (H) compositions may be added in the first process step or in a separate process step, preferably in the preferred amounts disclosed in the cited prior art. The production method of the present invention must be carried out in the absence of N-alkylpyrrolidone, hydroxylamine and hydroxylamine derivatives as described above. The second process mPas to 10 mPas and most in the additional process steps of the manufacturing method of the present invention, the shear viscosity of the mixture obtained in the 隹 step can be adjusted to 1 mPas, preferably 2 mPas to 8 mPas at 5 ° C. More preferably 1.5 mPas to 7 better 2 mPas to 6 mPas. Additional process steps can be performed as separate steps or can be incorporated into various other process steps of the inventive method of manufacture. The latter can be achieved by carefully selecting the ingredients used in the second process step to bring the resulting mixture to the desired dynamic viscosity. Most preferably, the composition of the invention exhibits 2 mPas to 20 mPas, more preferably 3 111]?^ to 16 mPas and preferably 3 mPas to 14 at 23 ° C as measured by rotational viscosity measurement. Dynamic shear viscosity of mPas. The composition can be implemented using conventional and standard mixing processes and mixing equipment such as agitation tanks, in-line dissolvers, high shear impellers, ultrasonic mixers, homogenizer nozzles or convection mixers ( In particular, a mixture of the ingredients of the composition of the invention). The composition of the present invention, the composition obtained by the production method of the present invention 147943.doc • 28-201100980 and (best) the composition of the present invention obtained by the production method of the present invention can be used for various purposes. Specifically, it can be used in the production method of the present invention. The preparation method of the present invention produces the most advantageous electronic devices, in particular semiconductor integrated circuits (ic), liquid crystal panels, organic electroluminescent panels, printed circuit boards, micromachines, DNA wafers and micro implants, but especially LSI Or 1C of VLSI. The preparation method of the present invention comprises the step of applying an insulating dielectric layer composed of at least one low-k or ultra-low-k material on top of the substrate in the first process step. Suitable low-k or ultra-low-k materials and suitable methods for preparing the insulating dielectric layer are described, for example, in U.S. Patent Application No. US 2005/0176259 A1, page 2, paragraphs [0025] to [0027], US 2005/0014667 A1 page 1 [0003], US 2005/0266683 A1 page 1 page [0003] and page 2 paragraph [0024] or US 2008/0280452 A1 paragraphs [0024] to [0026] Or US Pat. No. 7,250,391 B2, line 1, column 49 to column 54. Suitable substrates are especially commonly used in the fabrication of 1C semiconductor substrates, such as germanium wafers. In the second process step, positive and negative photoresist layers are applied on top of the insulating dielectric layer. Suitable materials and methods for preparing positive and negative photoresist layers are described, for example, in U.S. Patent No. 7,250,391, B2, line 1, column 55 to column 60, or U.S. Patent Application No. US 2005/0176259 A1, No. 2 Pages [0029] and [0030], US 2006/0016785 A1, page 3, paragraphs [0025] to [0027] or US 2008/0280452 A1, paragraphs [0027] to [0029] and 147943.doc -29- 201100980 Page 5 in paragraph [0062]. In the second step, the photoresist layer is selected to be lightly incident. Selectivity also violently illuminates electromagnetic light or preferably uses UV ray, deep uv ray, (mm ^ thousand knives of laser ray (specific LV, KrF-, ArF- or F2-excimer thunder) At the beginning, a A Lei - "a + knife thousands of laser rays" or X-rays as electromagnetic preparation. In terms of exposure, the light resistance is tight, ^ especially the layer can be used to survive the expected mask map a light source capable of emitting such active rays, such as, for example, a low-lying lamp, a mercury-injected lamp, an ultra-high pressure mercury lamp or a xenon lamp. The system can also be directly applied to (four) materials, preferably exposed to electricity and then 'If necessary, further post-baking of the photoresist.) Congratulations on the selective exposure of the photoresist layer in the fourth process step (preferably, for example, US Patent Application US) 20嶋) 28〇452 Α1/5 ^The aqueous solution described in the [Section 2] section is developed to produce the photoresist pattern 0. In the fifth process step, the extension (4) (four) material is applied to the insulating dielectric. Zhang ζ * 名虫刻, J; 乂 々 々 形成 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线 导线a wiring surface or a table inserted under the s-hidden level; also a surface of an etch stop layer (such as, for example, a ruthenium oxynitride) between the insulating dielectric layers of the surface to be dry-etched, the wiring being at least one type It is composed of a low resistivity material (U-shaped copper or copper alloy). Fluorine-containing plasma (specifically, fluorocarbon-based plasma) is used as a dry etchant. 147943.doc • 30- 201100980 In the dry etching step, post-etch residue is removed, which must be removed during the BEOL (back-end of the line) process for preparing electronic devices. These post-etch residues may have Different compositions comprising a polytetrafluoroethylene material and a material comprising titanium and/or niobium. In a sixth process step, at least two polar organic 'solvents (A) are selected as described above. In the step, at least one (preferably one) composition of the present invention is prepared using at least two selected polar organic solvents 0 (A) as the photoresist stripping composition as described above. In the eighth process step, Full wet process to A (preferably one) photoresist stripping composition prepared according to the seventh process step to remove the photoresist pattern and the post-etch residue. The photoresist stripping can be enhanced by irradiating the photoresist stripping solution with a super a wave. The effect of the process step 8. Preferably, the eighth process step is carried out at a temperature of (^ to ^, preferably 1 〇 (: to 65 〇 and optimal 5 〇 C to Q 60 c. A major advantage of the method is that due to the photoresist stripping composition of the present invention, the ashing step (specifically, the ashing step using oxygen-containing plasma) or the pre-cleaning step (specifically, the use of ozone) can be omitted. Pre-clearing step of water or hydrogenation). In addition, little or no hardened photoresist particles and/or post-etch residue re-deposition were observed or observed. After stripping the photoresist pattern and the post-etch residue, the resulting wire trench and/or via structure can be rinsed (specifically with deionized water) to remove the remaining photoresist leaving composition. Thereafter, the resulting structure can be preferably dried by drying a non-reactive gas 147943.doc • 31 · 201100980 (specifically, nitrogen). In the ninth process step, the wire trenches and vias are filled with at least one material having a low resistivity. Preferably, copper and copper alloys (best copper) are used for this purpose. Preferably, known copper plating solutions and electroplating methods can be used, as described, for example, in U.S. Patent Application Serial No. 2006/021,378. In the preparation process of the present invention, for example, a US patent can be used! ) 8 〇 74, 946 or US 6, 218, 〇 78 m or US Patent Application No. 2008/0286977 A1 ^ US 2008/10305441 Al ' US 008/0305625 A1 or US 2 〇〇 9/0 〇 35944 A1 Hard mask layer. The hard mask layer is selectively etched as a mask in the fourth process step (4). Another material can be inserted between the photoresist layer and the insulating dielectric layer, such as the barrier anti-reflective layer described in U.S. Patent No. 5,919,599. Alternatively, a barrier anti-reflection layer may be interposed between the hard mask layer and the photoresist layer. , both h $ lower 'are in the fifth process step using the photoresist pattern obtained from the fourth process step as a mask to selectively etch the barrier anti-reflective layer' and to pattern it in the eighth process step The photoresist is completely removed together with the residue after the engraving. After the preparation process of the present invention, the surface is polished by chemical mechanical polishing using electronic devices (for example, (6) methods and equipment well-known in the field of fabrication. After that, another layer of low-k dielectric material can be applied, 2 It is necessary to apply another-hard mask layer, 35 to apply another barrier anti-reflective layer, and to apply the other photoresist layer 1 to repeat the preparation process of the present invention. The electronic device prepared according to the preparation method of the present invention has excellent functions. Sex 147943.doc -32· 201100980 and a very long life.

本發明之組合物一個最令人驚奇的優點係由於所用有機 極性溶劑(A)及可選有機極性溶劑(D)之沸點較高,故所有 本發明之組合物在適中溫度下(具體而言,在室溫直至 10 0 C之溫度範圍内)皆展示低的蒸氣壓。此外,由於所用 有機極性溶劑(A)及可選有機極性溶劑(D)之閃點較高,故 所有本發明之組合物均不易燃且不易起火。最後但同樣重 要的是,有機極性溶劑(A)及可選有機極性溶劑(D)在ESH 0 方面並不嚴重。因此,此同樣適用於含有其之本發明組合 物。因此,可製備、儲存、處理及使用本發明之組合物而 不會引起ESH問題。 本發明之組合物一個同樣令人驚奇的優點係其尤其適於 本發明之用途。One of the most surprising advantages of the compositions of the present invention is that all of the compositions of the present invention are at moderate temperatures (specifically due to the higher boiling point of the organic polar solvent (A) and the optional organic polar solvent (D) used. Low vapor pressure is exhibited at room temperature up to 10 0 C. Further, since the organic polar solvent (A) and the optional organic polar solvent (D) have a high flash point, all of the compositions of the present invention are non-flammable and hard to ignite. Last but not least, the organic polar solvent (A) and the optional organic polar solvent (D) are not critical in terms of ESH 0 . Therefore, the same applies to the composition of the invention containing the same. Thus, the compositions of the present invention can be prepared, stored, handled and used without causing ESH problems. An equally surprising advantage of the compositions of the present invention is that they are particularly suitable for use in the present invention.

根據本發明之用途,本發明之組合物可用於自常用於製 備3D 1C架構(亦稱為3D-SIC及3D-WLP)之毯覆式晶圓及圖 q 案化晶圓移除正型及負型光阻劑以及PER。在此等3D 1C架 構中,借助TSV、鍍敷及/或凸塊化,具體而言微凸塊化來 製備互連線(參照 imec,Scientific Report 2008,Advanced ’ Packaging and Interconnect, 3D Interconnect and Packaging, 3DAccording to the use of the present invention, the composition of the present invention can be used for the blanket removal of the wafers and the wafer removal of the 3D 1C architecture (also known as 3D-SIC and 3D-WLP). Negative photoresist and PER. In these 3D 1C architectures, interconnects are prepared by means of TSV, plating and/or bumping, in particular microbumping (see imec, Scientific Report 2008, Advanced 'Packaging and Interconnect, 3D Interconnect and Packaging) , 3D

Stacked IC (3D-SIC), 3D-WLP: Micro-Bumping) o 在本發明之使用中,藉由已知及習用方法及設備將本發 明之組合'物施加至欲自毯覆式及圖案化晶圓移除之光阻抗 蝕劑及PER。在移除光阻抗蝕劑後,沖洗晶圓並乾燥。可 藉由光學掃描電子顯微鏡(X-SEM)、原子力顯微鏡(AFM) 147943.doc •33· 201100980 及傅立葉變換紅外(FTIR)光㈣驗移除步驟 (即衫在総抗㈣丨謂R)。 可藉由相同方法證實本發明之組合物與使薄晶圓黏結至 載?亡之膠合材料之相容性(即,膠合材料完好存在)。 最7人驚奇的是’本發明之組合物能夠在不損壞所存在 圖案化M圓或膠合材料之精細結構之情況下自毯覆式及圖 〃 B曰圓決速並完全移除正型及負型光阻抗蝕劑以及 PER 〇 第1項 一種液體组合物,其不含N·烧基料㈣及經基胺及經 基胺衍生物且包含: ()至〉、兩種極性有機溶劑,其選自由符合以下條件之溶 劑組成之群:在0·06重量%至4重量%溶解的氣氧化四 甲基銨(Β)存在下,在5(rc下對含有深υν吸收發色基 團之30 nm厚聚合物障壁抗反射層展示恆定移除速 率,忒重ϊ百分比係以相應測試溶液(AB)之整體重量 計,及 (B)至少一種氫氧化四級銨。 第2項 如第1項之液體組合物,其特徵在於其在5〇它下展示i mPas至10 mPas之動態剪切黏度,如藉由旋轉黏度測定法 所量測。 第3項 如第1項之液體組合物,其特徵在於該至少兩種溶劑(A) 147943.doc -34- 201100980 經選擇可在90 s内移除該障壁抗反射層。 第4項 如第1項之液體組合物’其特徵在於該至少兩種溶劑 展示100°C以上之沸點。 第5項 如第4項之液體組合物,其特徵在於該至少兩種溶劑(A) 展不50 C以上之閃點,如在密閉杯中所量測。 第6項 0 如第1項之液體組合物,其特徵在於該至少兩種溶劑(A) 選自由下列組成之群:包含至少兩個一級胺基之脂肪族多 7C胺、在一個一級胺基與一個羥基之間具有至少一個至少 3個碳原子之碳鏈的脂肪族烷醇胺、脂肪族亞砜及队經取 代咪唑。 第7項 如第6項之液體組合物,其特徵在於該至少兩種溶劑(A) 〇 選自由二伸乙基三胺、N-曱基咪唑、3-胺基-丙醇、5_胺 基-1-戊醇及二曱亞颯組成之群。 第8項 如第1項之液體組合物,其特徵在於該氫氧化四級銨 選自由氫氧化四甲基錄、氫氧化四乙基録、氣氧化四丙基 錢、氫氧化四丁基銨、氫氧化节基三甲基鍵及氣氧化(㈣ 基乙基)銨組成之群。 第9項 如第8項之液體組合物,其特徵在於該氫氧化四級銨⑻ 147943.doc -35· 201100980 係氫氧化四甲基銨。 第ίο項 如第1項之液體組合物,其特徵在於其含有至少一種選 自由下列組成之群之額外組份:不同於該等溶劑之極 性有機溶劑⑼、腐姑抑制劑⑻、餐合劑(F)、氣化物鹽 (G)及表面活性劑(η)。 第11項 如第8項之液體組合物,其特徵在於該極性有機溶劑⑴) 選自符合以下條件之溶劑之群:在〇〇6重量%至4重量%溶 解的氫氧化四甲基銨(B)存在下,在贼下對含有深⑽及 收發色基團之30 nm厚聚合物障壁抗反射層展示移除速 率,4重1百分比係以相應測試溶液⑴…之整體重量計, 該移除速率隨氫氧化四甲基銨(B)濃度之增加而增加。 第12項 第11項之液體組合物,其特徵在於該極性溶劑(D)選 自由下列組成之群:乙醇胺、N-甲基乙醇胺、N—乙基乙醇 胺、異丙醇胺、2-(2-胺基乙基胺基)乙醇 幻乙醇、二乙二醇單乙基趟、二乙二醇單丁基醚、Ν·= 备基乙基)六氫吼„定、W二甲基_3,4,5,6_四氫_2_(叫喷咬 嗣及Ν-(3-胺基丙基)味。坐。 第13項 如第1〇項之液體組合物,其特徵在於該腐蝕抑制劑(Ε) 選自由銅腐蝕抑制劑組成之群。 第14項 147943.doc -36- 201100980 一種製備不含N-烷基吡咯啶酮及羥基胺及羥基胺衍生物 之液體組合物之方法,該方法包含下列步驟: (I)選擇至少兩種符合以下條件之極性有機溶劑(A):在 〇.〇6重量%至4重量%溶解的氫氧化四甲基銨(b)存在 下,在50。(:下對含有深uv吸收發色基團之3〇 nm厚聚 合物障壁抗反射層展示恆定移除速率,該重量百分比 係以相應測試溶液之整體重量計,及 ❹ (Π)在不存在N-烷基吡咯啶酮及羥基胺及羥基胺衍生物之 障況下將至^兩種该等選定極性有機溶劑(A)與至少一 種氫氧化四級銨(B)混合。 第15項 如第14項之方法,其特徵在於可將自製程步驟得到 之混合物於5(TC下之動態剪切黏度調節至i ^^^至⑺ mPas,如藉由旋轉黏度測定法所量測。 第16項 Ο 如第14項之方法,其特徵在於可製備如第1項至第13項 中任一項之液體組合物。 第17項 -種製備電子裳置之方法’其包含下列步驟: (1)將由至少-種低k或超低k材料組成之絕緣介電層施加 至基板頂部上, ⑺將正型或負型光阻劑層施加至絕緣介電層⑴頂部上, ⑺將光_層⑺選擇性地暴露於電磁輻射或微粒輕射, (4)將經選擇性暴露之光阻劑層(3)顯^彡成光阻劑圖 147943.doc -37- · 201100980 案, (5) 使用光阻劑圖案(4)作為遮罩對絕緣介電層⑴實施乾钱 刻,以形成導線溝槽及/或與該基板表面連通之通孔, (6) 選擇至少兩種符合以下條件之極性有機溶劑(a):在 0.06重量%至4重量%溶解的氫氧化四甲基銨(B)存在 下,在50°C下對含有深Uv吸收發色基團之3〇 nm厚聚 合物障壁抗反射層展示恆定移除速率,該重量百分比 係以相應測試溶液(AB)之整體重量計, (7) 提供至少一種不含N_烷基吡咯啶酮及羥基胺及羥基胺 衍生物之光阻剝離組合物,其包含: (A) 至少兩種根據製程步驟(6)選擇之極性有機溶劑,及 (B) 至少一種氫氧化四級錄, (8) 藉由全濕式製程使用至少一種根據製程步驟(?)製得之 光阻剝離組合物(7)來移除該光阻劑圖案及蝕刻後殘留 物,及 (9) 用至少一種具有低電阻率之材料填充導線溝槽及通 孔(5) 〇 第18項 如第17項之方法,其特徵在於在光阻劑層(2)與絕緣介 電層(1)之間插入硬遮罩層(10),在製程步驟(5)中使用光阻 劑圖案(4)作為遮罩對該硬遮罩層〇〇)實施選擇性蝕刻。 第19項 如第17項之方法,其特徵在於在光阻劑層(2)與絕緣介 電層(1)之間插入障壁抗反射層(11),在製程步驟(5)中使用 147943.doc •38- 201100980 光阻劑圖案(4)作為遮罩對該障壁抗反射層(1丨)實施選擇性 姓刻。 第20項 如第18項之方法,其特徵在於在硬遮罩層(1〇)與光阻劑 層(2)之間插入障壁抗反射層(丨丨),在製程步驟(5)中對該障 壁抗反射層(11)及該硬遮罩層(1〇)實施選擇性蝕刻。 第21項 ❹ 如第18項之方法,其特徵在於在製程步驟(8)中移除該 經選擇性蝕刻之障壁抗反射層(1丨)。 第22項 如第19項之方法,其特徵在於在製程步驟(8)中移除該 經選擇性蝕刻之障壁抗反射層〇丨)。 第23項 如第π項之方法,其特徵在於使用如第i項至第13項中 任一項之液體組合物作為光阻剝離組合物(7)。 〇 第24項 如第17項之方法,其特徵在於使用銅作為具有低電阻率 之材料(9)。 第25項 如第17項之方法,其特徵在於所製備的電子裝置係半導 體積體電路、液晶面板、有機電致發光面板、印刷電路 板、微型機器、DNA晶片及微型植入體。 第26項 一種液體組合物之用途,其用於在借助圖案化矽穿孔及/ 147943.doc -39- 201100980 或藉由鍍敷及凸塊化製備3D堆疊積體電路及3D晶圓級封 裝期間移除負型及正型光阻抗触劑及Ί虫刻後殘留物,該液 體組合物不含N-烷基吡咯啶酮及羥基胺及羥基胺衍生物且 包含: (A) 至少兩種極性有機溶劑,其選自由符合以下條件之溶 劑組成之群:在〇·06重量%至4重量%溶解的氫氧化四 甲基錄(B)存在下’在5〇。(:下對含有深UV吸收發色基 團之30 nm厚聚合物障壁抗反射層展示恆定移除速 率,該重量百分比係以相應測試溶液(AB)之整體重量 計,及 (B) 至少一種氫氧化四級錢。 實例 實例1 極性有機溶劑(A)之選擇 從來自由醯氣、氣曱酸酯、醇、二醇、多元醇、醛、縮 酿、酮、胺、胺基醇、羧酸及衍生物、雜環化合物、離子 液體、腈、脲衍生物、乙烯基化合物、乙烯基醚及脂肪族 醯胺組成之群之極性有機溶劑(S)中根據其清除性能並根 據其高沸點、高閃點及環境、健康及安全(EHs)等級(即, 溶劑應盡可能引起較少的EHS問題)預選出表1中所列示之 極性有機溶劑。 147943.doc •40· 201100980 表1 :極性有機溶劑之預選 溶劑 代號 溶劑 沸點/°C 閃點 (密閉杯)/°c S1 二伸乙基三胺 207 102 S2 N-曱基咪唑 198 92 S3 3-胺基-1-丙醇 187 101 S4 5-胺基-1-戍醇 222 65 S5 二曱亞颯 189 87 S6 N-(3-胺基丙基)咪唑 296 154 S7 2-(2-胺基乙氧基)乙醇 223至242 127 S8 N-乙基乙醇胺 168 78 S9 N-曱基乙醇胺 160 72 S10 乙醇胺 172 85 S11 異丙醇胺 159 71 S12 2-(2-胺基乙基胺基)乙醇 243 144 S13 N-(2-經基乙基)六氫°比。定 198至203 83 S14 1,3-二甲基-3,4,5,6-四氫-(1印-嘧啶酮 246 121 S15 二乙二醇單丁基醚 230 107 S16 二乙二醇單乙基醚 193 93 S17 二環己基胺 256 105Stacked IC (3D-SIC), 3D-WLP: Micro-Bumping) o In the use of the present invention, the combination of the present invention is applied to the blanket and pattern by known and conventional methods and equipment. Wafer removal photoresist and PER. After the photoresist is removed, the wafer is rinsed and dried. The removal step can be performed by optical scanning electron microscopy (X-SEM), atomic force microscopy (AFM) 147943.doc •33·201100980 and Fourier transform infrared (FTIR) light (four) (ie, the shirt is in the anti- (four) 丨 R). The compatibility of the composition of the present invention with the bonding of the thin wafer to the dead glue can be confirmed by the same method (i.e., the glue material is intact). The most surprising thing is that 'the composition of the present invention is capable of speeding off and completely removing the positive shape from the blanket and the bottom of the frame without damaging the fine structure of the patterned M circle or the glue material present. Negative photoresist and PER 〇 a liquid composition of the first item, which does not contain N·burning base (IV) and transamine and transamine derivatives and comprises: () to 〉, two polar organic solvents, It is selected from the group consisting of solvents having a deep υ absorbing chromophore group at 5 (rc) in the presence of 0. 06% by weight to 4% by weight of dissolved tetramethylammonium oxide (Β) The 30 nm thick polymer barrier antireflective layer exhibits a constant removal rate, the percentage of helium weight is based on the total weight of the corresponding test solution (AB), and (B) at least one ammonium quaternary hydroxide. A liquid composition according to item 1, which is characterized in that it exhibits a dynamic shear viscosity of i mPas to 10 mPas at 5 Å, as measured by a rotational viscosity measurement. Item 3: Liquid composition of item 1 , characterized in that the at least two solvents (A) 147943.doc -34- 201100980 are selected to be The barrier anti-reflection layer is removed within 90 s. The liquid composition of item 4, wherein the at least two solvents exhibit a boiling point of 100 ° C or higher. The liquid composition of item 5, item 4 , characterized in that the at least two solvents (A) exhibit a flash point of not more than 50 C, as measured in a closed cup. Item 6 is a liquid composition according to item 1, characterized in that the at least two The solvent (A) is selected from the group consisting of aliphatic poly 7C amines containing at least two primary amine groups, aliphatic alkyl groups having at least one carbon chain of at least 3 carbon atoms between a primary amine group and a hydroxyl group. The liquid amine composition according to Item 6, wherein the at least two solvents (A) are selected from the group consisting of di-ethyltriamine and N-fluorenyl. A liquid composition comprising the imidazole, the 3-amino-propanol, the 5-amino-1-pentanol, and the diterpenoid. The liquid composition according to Item 1, characterized in that the quaternary ammonium hydroxide is selected. Free tetramethyl chloride hydroxide, tetraethyl hydroxide, gas oxidized tetrapropyl acetate, tetrabutylammonium hydroxide, hydroxide A trimethyl bond and a gas oxidized ((tetra)ethyl) ammonium group. The liquid composition according to item 8, wherein the quaternary ammonium hydroxide (8) 147943.doc -35· 201100980 is a hydroxide A liquid composition according to item 1, characterized in that it contains at least one additional component selected from the group consisting of polar organic solvents (9) different from the solvents, and a serotonin inhibitor (8), a meal mixture (F), a vapor salt (G), and a surfactant (η). The liquid composition according to Item 8, wherein the polar organic solvent (1) is selected from the group consisting of the following conditions: Group: 30 nm thick polymer barrier antireflective layer containing deep (10) and transmissive groups in the presence of 〇〇6 wt% to 4 wt% dissolved tetramethylammonium hydroxide (B) The removal rate, 4% by 1 percentage, is based on the overall weight of the corresponding test solution (1), which increases as the concentration of tetramethylammonium hydroxide (B) increases. The liquid composition according to Item 12, characterized in that the polar solvent (D) is selected from the group consisting of ethanolamine, N-methylethanolamine, N-ethylethanolamine, isopropanolamine, 2-(2) -Aminoethylamino)Ethyl alcohol, diethylene glycol monoethyl hydrazine, diethylene glycol monobutyl ether, Ν·= benzyl group) hexahydro hydrazine, W dimethyl _3 , 4,5,6_tetrahydro-2_ (called squeezing sputum and sputum-(3-aminopropyl) scent. Sit. The liquid composition of item 13, wherein the corrosion inhibition is The agent (Ε) is selected from the group consisting of copper corrosion inhibitors. Item 14 147943.doc -36- 201100980 A method for preparing a liquid composition containing no N-alkylpyrrolidone and hydroxylamine and hydroxylamine derivative, The method comprises the following steps: (I) selecting at least two polar organic solvents (A) which are in the presence of 〇.〇6 wt% to 4 wt% dissolved tetramethylammonium hydroxide (b), 50. (: The 3 〇 nm thick polymer barrier antireflection layer containing a deep uv absorbing chromophore group exhibits a constant removal rate, which is based on the overall test solution By weight, and ❹ (Π) in the absence of N-alkylpyrrolidone and hydroxylamine and hydroxylamine derivatives, to the two selected polar organic solvents (A) and at least one of the four The ammonium salt (B) is mixed. The method according to Item 14, wherein the mixture obtained by the self-made step can be adjusted to a dynamic shear viscosity of 5 (TC) to i ^^^ to (7) mPas, such as The method of claim 14, wherein the liquid composition according to any one of items 1 to 13 is prepared, wherein the liquid composition is prepared according to any one of items 1 to 13. The method comprises the steps of: (1) applying an insulating dielectric layer composed of at least one low-k or ultra-low-k material to the top of the substrate, and (7) applying a positive or negative photoresist layer to the insulating dielectric. On the top of the electrical layer (1), (7) selectively exposing the photo-layer (7) to electromagnetic radiation or light-emitting particles, (4) exposing the selectively exposed photoresist layer (3) to a photoresist agent 147943. Doc -37- · 201100980, (5) Using a photoresist pattern (4) as a mask to perform an inscription on the insulating dielectric layer (1) to form a wire groove and/or a through hole communicating with the surface of the substrate, (6) selecting at least two polar organic solvents (a) satisfying the following conditions: 0.06 wt% to 4 wt% dissolved tetramethylammonium hydroxide ( B) In the presence of a 3 〇 nm thick polymer barrier antireflective layer containing a deep Uv absorbing chromophore at 50 ° C exhibits a constant removal rate, which is based on the overall weight of the corresponding test solution (AB) (7) providing at least one photoresist stripping composition containing no N-alkylpyrrolidone and hydroxylamine and hydroxylamine derivative, comprising: (A) at least two polarities selected according to process step (6) Organic solvent, and (B) at least one of the four grades of hydroxide, (8) using at least one process step according to the process of the wet process (? a photoresist stripping composition (7) to remove the photoresist pattern and post-etch residue, and (9) filling the wire trench and via (5) with at least one material having a low resistivity 〇 Item 18. The method of item 17, wherein the hard mask layer (10) is interposed between the photoresist layer (2) and the insulating dielectric layer (1), and the photoresist is used in the process step (5). The agent pattern (4) is selectively etched as a mask to the hard mask layer. The method of item 17, wherein the barrier anti-reflection layer (11) is interposed between the photoresist layer (2) and the insulating dielectric layer (1), and 147943 is used in the process step (5). Doc •38- 201100980 The photoresist pattern (4) is used as a mask to selectively etch the barrier anti-reflective layer (1丨). Item 20, wherein the method of item 18 is characterized in that a barrier anti-reflection layer (丨丨) is interposed between the hard mask layer (1〇) and the photoresist layer (2), in the process step (5) The barrier anti-reflection layer (11) and the hard mask layer (1) are selectively etched. Item 21. The method of item 18, characterized in that the selectively etched barrier anti-reflection layer (1丨) is removed in the process step (8). Item 22. The method of item 19, wherein the selectively etched barrier anti-reflective layer 移除 is removed in the process step (8). Item 23. The method of item π, characterized in that the liquid composition according to any one of items (i) to (13) is used as the photoresist release composition (7). 〇 Item 24. The method of item 17, characterized in that copper is used as the material having low electrical resistivity (9). Item 25. The method of item 17, wherein the electronic device is a semi-conductor volume circuit, a liquid crystal panel, an organic electroluminescence panel, a printed circuit board, a micromachine, a DNA wafer, and a micro implant. Item 26. Use of a liquid composition for preparing a 3D stacked integrated circuit and 3D wafer level package by patterning ruthenium perforation and / 147943.doc -39 - 201100980 or by plating and bumping Removing the negative and positive optical impedance contact agent and the aphid residue, the liquid composition does not contain N-alkylpyrrolidone and hydroxylamine and hydroxylamine derivatives and comprises: (A) at least two polarities An organic solvent selected from the group consisting of solvents having a condition of 'at 5 〇 in the presence of 06·06% by weight to 4% by weight of dissolved tetramethyl hydride (B). (: a 30 nm thick polymer barrier antireflective layer containing a deep UV absorbing chromophore exhibits a constant removal rate, based on the total weight of the corresponding test solution (AB), and (B) at least one Hydration of four grades. Example 1 Polar organic solvent (A) is selected from free helium, gas phthalate, alcohol, diol, polyol, aldehyde, condensate, ketone, amine, amino alcohol, carboxylic acid And a polar organic solvent (S) composed of a derivative, a heterocyclic compound, an ionic liquid, a nitrile, a urea derivative, a vinyl compound, a vinyl ether, and an aliphatic decylamine, according to its scavenging property and according to its high boiling point, High flash point and environmental, health and safety (EHs) grades (ie, solvents should cause as few EHS problems as possible) pre-select the polar organic solvents listed in Table 1. 147943.doc •40· 201100980 Table 1: Preselected Solvent Solvent for Polar Organic Solvents Solvent Boiling Point / °C Flash Point (Closed Cup) / °c S1 Diethyl Ethyltriamine 207 102 S2 N-Mercaptoimidazole 198 92 S3 3-Amino-1-propanol 187 101 S4 5-Amino-1-nonanol 222 65 S5 Diterpenoid 189 87 S6 N-(3-Aminopropyl)imidazole 296 154 S7 2-(2-Aminoethoxy)ethanol 223 to 242 127 S8 N-ethylethanolamine 168 78 S9 N-mercaptoethanolamine 160 72 S10 Ethanolamine 172 85 S11 isopropanolamine 159 71 S12 2-(2-aminoethylamino)ethanol 243 144 S13 N-(2-transethylethyl) hexahydrogen ratio. 198 to 203 83 S14 1,3-two Methyl-3,4,5,6-tetrahydro-(1-pyrimidinone 246 121 S15 diethylene glycol monobutyl ether 230 107 S16 diethylene glycol monoethyl ether 193 93 S17 dicyclohexylamine 256 105

為進行溶劑(A)之最終選擇,將小片矽半導體晶圓用含 有深UV吸收發色基團之30 nm厚聚合物障壁抗反射層覆 蓋。使聚合物障壁抗反射層交聯。 然後,製備氫氧化四甲基銨(TMAH) (B)於表1中所列示 之每種溶劑(S)中之測試溶液。藉由添加適當量的含有25 重量%TMAH之水溶液使每個系列測試溶液(SB)皆由TMAH 濃度為0.06重量%、0.1重量%、0.2重量%、0.5重量%、1.0 重量%、2.0重量%及4.0重量%的七種溶液組成,該重量百 分比係以相應測試溶液(SB)之整體重量計。 每個系列中每種測試溶液(SB)之移除速率皆測定如下: 在燒杯中在50°C下將一片經覆蓋之矽半導體晶圓暴露於 以100 rpm攪拌之測試溶液(SB),持續達180 s。此後,將 147943.doc -41 - 201100980 該片經覆蓋之矽半導體晶圓從測試溶液(SB)中取出,用異 丙醇沖洗且然後用去離子水沖洗並在50°C下用乾燥氮氣流 乾燥。冷卻至室溫後’藉由變換FTIR及干涉法研究是否還存 在交聯聚合物障壁抗反射層,且若存在,其厚度為多少。 溶劑 代號 0.06 移除速率: 在下列重量%丁河人11下所移除的ηιη: —_ 0.2 0.5 1.0 7(i 4.0 S1 30 30 30 30 30 3〇 ____—- 30 S2 30 30 30 30 30 30 30 S3 30 30 30 30 30 30 30 S4 30 30 30 30 30 30 -----------— 30 S5 30 30 30 30 30 30 30 S6 0 0 0 0 0 7 5 30 S7 0 0 0 0 0 30 _______* 30 S8 0 0 0 0 0 7 5 -------- 30 S9 0 0 0 0 0 17 —------ 30 S10 0 0 0 0 2 16 30 S11 0 0 0 0 9 16 30 S12 0 0 0 0 4 2〇 S13 0 0 0 0 5 15 ------- 30 S14 0 0 0 0 1 3〇 30 S15 0 0 0 0 4 15 30 S16 0 0 0 0 0 6 _________ 30 S17 0 0 0 0 23 15 表2概述了所獲得之結果。 秦2 :極性有機溶麵以丨之搛擇 表2中所給出之測§式結果表明只有溶劑si、S2、S3、S4 及S 5之移除速率不依賴於τ M A Η濃度且在濃度低至以相應 測試溶液之整體重量計0.06重量%之情況下仍可達成交聯 I合物Ρ早壁抗反射層之完全移除。因此,只有溶劑s 1、 S2、S3、S4及S5適合作為本發明擬使用之極性有機溶劑 (Α)。而其他測試溶劑(S)適合作為可選極性有機溶劑(D)。 實例2 測試溶液(SB)之TMAH濃度對蝕刻迷率之影響 147943.doc • 42- 201100980 另外,按以下方式測試含有表1極性有機溶劑及丨重量 %、2重量%及4重量%TMAH之測試溶液(SB)之相容性,該 重量百分比係以相應測試溶液之整體重量計。 將多片矽半導體晶圓用由碳摻雜之氧化矽(由Allied Materials公司製造的Black Diam〇ndTM)組成之4〇〇 厚超 _ 低k層覆蓋。 為評估測試溶液(SB)對超低k層之影響,將未經處理之 ❹ 超低k層在15〇t下退火120分鐘,以其作為參照標準。若 實施退火,則退火僅會使得厚度及折射率有極小變化。 然後在燒杯中在5〇。(:下將多片經超低让層覆蓋之矽半導 體晶圓暴露於經攪拌(100 rpm)之測試溶液(SB),持續達 180秒。此後,將各片從測試溶液(SB)中取出,用異丙醇 及水沖洗且然後在50。(:下在乾燥氮氣流中乾燥。冷卻至室 溫後’量測超低k層之厚度及折射率變化: 與未經處理之超低k層相反,幾乎所有經暴露之超低让層 〇 皆展示顯著減小之厚度,具體而言已暴露於含有2重量% 及4重量%TMAH之測試溶液(SB)之彼等層。將測試溶液 (SB)自經暴露之超低k層移除後,在15〇它下退火分 鐘’厚度會更進一步減小,具體而言,在已暴露於含有2 重量%及4重量%TMAH之測試溶液(SB)之層之情況下。 與未經處理之超低k層相反,幾乎所有經暴露之超低让層 皆展示顯著增加之折射率,具體而言已暴露於含有2重量 %及4重量%TMAH之測試溶液(SB)之彼等層。將測試溶液 (SB)自經暴露之超低让層移除後,在15〇它下退火ία分 147943.doc -43 201100980 鐘,折射率會更進一步增加,具體而言,在已暴露於含有 2重量%及4重量%TMAH之測試溶液(SB)之層之情況下。 此等結果表明高濃度的TMAH會顯著損壞超低k材料, 此係由於相應測試溶液(SB)之高蝕刻速率所致。 當超低k層已暴露於含有£0.5重量%TMAH之測試溶液 (SB)時,未觀察到此種不利影響。在此等情形下,蝕刻速 率低於1 nm/分鐘。 用已暴露於常用於選擇性蝕刻以製造導線溝槽及通孔之 含氟蝕刻電漿的超低k層重複實驗。結果表明被電漿損壞 之超低k層甚至比未受損壞之超低k層更耐受含有<0.5重量 %TMAH之測試溶液(SB)。 用多片銅盤(copper disk)重複實驗。結果表明含有50.5 重量%TMAH之測試溶液(SB)展示低於1 nm/分鐘之蝕刻速 率,而含有多於1重量%、2重量%及4重量%TMAH之測試 溶液(SB)展示比其高得多的蝕刻速率。 用氫氧化四丙基銨、氫氧化四丁基銨及氫氧化苄基三曱 基銨獲得類似結果。此等氫氧化四級銨之反應性低於 TMAH並依次減小。此為微調組合物及以簡單方式使其適 應特定製備條件提供了可能性。 總之,此等發現進一步表明含有根據實例1選擇之極性 有機溶劑(A)及低濃度氫氧化四級銨(具體而言TMAH)之組 合物尤其最適合且可最有利地用作光阻剝離組合物,以用 於在製備VLI及VLSI之1C之後段(BE0L)銅鑲嵌製程中移除 圖案化光阻抗蝕劑、聚合物障壁抗反射層及蝕刻後殘留 147943.doc -44 - 201100980 物,而不損壞超低k材料或蝕刻銅表面。 實例3 含有至少兩種極性有機溶劑(A)及低濃度TMAH之組合物 作為光阻剝離組合物之用途 實例3使用依次經30 11111厚碳化矽蝕刻終止層、386 nm厚 超低k碳摻雜之氧化矽層、39 nm厚氮化鈦硬遮罩層、含有 深UV吸收基團之28 nm厚聚合物障壁抗反射層及基於含有 〇 懸掛金剛烷及内酯基團之甲基丙烯酸酯共聚物的6〇 1^1厚 正型194nm深UV光阻劑層覆蓋的3〇〇mm矽半導體晶圓。 藉助具有不同孔徑(尺寸低於1〇〇 nm)之測試遮罩用194 nm深UV輻射選擇性地輻照經覆蓋之矽半導體晶圓,藉此 使負型光阻劑之經暴露區域發生分解。此後,移除遮罩並 將經輻照之光阻劑層用氫氧化鈉水溶液顯影,得到預期光 阻劑圖案。 然後使用圖案化光阻劑作為遮罩將經覆蓋矽半導體晶圓 ◎ 之上表面暴露於含氟蝕刻電漿,藉此移除未受光阻劑圖案 保護之氮化鈦硬遮罩層之聚合物障壁抗反射層區域。在此 製程步驟中,儘管超低k層未被蝕穿,但與超低]^層之整體 厚度相比,至多僅下至較小之深度。 藉由以預期量混合各成份並使所得混合物均質化來製備 光阻剝離組合物。各成份及其量彙編於表3中。百分比係以 重量%形式給出,其係以相應光阻剝離組合物之整體重量 5十。在含有兩種有機極性溶劑(A)且不含有機極性溶劑(D)之 組合物中,極性有機溶劑(A1)與極性有機溶劑(A2)之重量比 147943.doc -45- 201100980 係1:1。在含有兩種有機極性溶劑(A)及一種有機極性溶劑 () &物中,極性有機溶劑(A1):(A2):(D)之重量比係 1_1·1。在合有三種有機極性溶劑且不含有機極性溶劑 (D)之組合物中,極性有機溶劑(ai):(a2):(a3)之重量比係 1:1:1 。 如藉由紅轉黏度測定法所量測,在50。(:下表3所有光阻剥 離,、且〇物3.1至3.82之動態黏度皆在21111^至5111?^範圍内。For the final selection of solvent (A), a small piece of germanium semiconductor wafer was covered with a 30 nm thick polymer barrier antireflective layer containing a deep UV absorbing chromophore. The polymer barrier antireflective layer is crosslinked. Then, tetramethylammonium hydroxide (TMAH) (B) was prepared for the test solution in each of the solvents (S) listed in Table 1. Each series of test solutions (SB) was made from TMAH concentrations of 0.06 wt%, 0.1 wt%, 0.2 wt%, 0.5 wt%, 1.0 wt%, 2.0 wt% by adding an appropriate amount of an aqueous solution containing 25% by weight of TMAH. And 4.0% by weight of seven solutions, the weight percentage being based on the total weight of the corresponding test solution (SB). The removal rate of each test solution (SB) in each series was determined as follows: A piece of the covered germanium semiconductor wafer was exposed to a test solution (SB) stirred at 100 rpm in a beaker at 50 ° C. Up to 180 s. Thereafter, 147943.doc -41 - 201100980 the covered semiconductor wafer was taken out from the test solution (SB), rinsed with isopropyl alcohol and then rinsed with deionized water and dried at 50 ° C with a dry nitrogen stream. dry. After cooling to room temperature, it was investigated by the FTIR and interferometry whether there is still a crosslinked polymer barrier antireflection layer and, if so, what its thickness is. Solvent code 0.06 Removal rate: ηιη removed under the following weight % Dinghe people 11: —_ 0.2 0.5 1.0 7 (i 4.0 S1 30 30 30 30 30 3〇____—30 S2 30 30 30 30 30 30 30 S3 30 30 30 30 30 30 30 S4 30 30 30 30 30 30 ----------- 30 S5 30 30 30 30 30 30 30 S6 0 0 0 0 0 7 5 30 S7 0 0 0 0 0 30 _______* 30 S8 0 0 0 0 0 7 5 -------- 30 S9 0 0 0 0 0 17 —------ 30 S10 0 0 0 0 2 16 30 S11 0 0 0 0 9 16 30 S12 0 0 0 0 4 2〇S13 0 0 0 0 5 15 ------- 30 S14 0 0 0 0 1 3〇30 S15 0 0 0 0 4 15 30 S16 0 0 0 0 0 6 _________ 30 S17 0 0 0 0 23 15 The results obtained are summarized in Table 2. Qin 2: The polar organic solution is determined by the test results given in Table 2, indicating that only the solvents si, S2 The removal rates of S3, S4 and S 5 are independent of the concentration of τ MA 且 and can reach the cross-linking compound early-wall anti-reflection layer at a concentration as low as 0.06 wt% based on the total weight of the corresponding test solution. Complete removal. Therefore, only solvents s 1, S2, S3, S4 and S5 are suitable as polar organic solvents (Α) to be used in the present invention. The other test solvent (S) is suitable as an optional polar organic solvent (D). Example 2 The effect of the TMAH concentration of the test solution (SB) on the etching rate 147943.doc • 42- 201100980 In addition, the test is as follows: The compatibility of the polar organic solvent and the test solution (SB) of wt%, 2 wt% and 4 wt% TMAH, which is based on the total weight of the corresponding test solution. The doped yttrium oxide (Black Diam〇ndTM manufactured by Allied Materials) consists of a 4 〇〇 thick _ low k layer. To evaluate the effect of the test solution (SB) on the ultra low k layer, it will be untreated. ❹ The ultra-low k layer is annealed at 15 〇t for 120 minutes as a reference standard. If annealing is performed, the annealing will only result in a slight change in thickness and refractive index. Then it is 5 在 in the beaker. (: Multiple pieces of the semiconductor wafer covered by the ultra-low layer are exposed to the stirred (100 rpm) test solution (SB) for 180 seconds. Thereafter, each piece is taken out from the test solution (SB) Rinse with isopropyl alcohol and water and then dry at 50° (: dry in a stream of dry nitrogen. After cooling to room temperature) measure the thickness and refractive index of the ultra-low k layer: with untreated ultra low k In contrast, almost all of the exposed ultra-low layers allow the layer to exhibit a significantly reduced thickness, specifically to the layers of the test solution (SB) containing 2% by weight and 4% by weight of TMAH. (SB) After removal of the exposed ultra-low k layer, it will be further reduced by annealing at 15 〇, specifically, after exposure to a test solution containing 2% by weight and 4% by weight of TMAH In the case of the layer of (SB). In contrast to the untreated ultra-low k layer, almost all exposed ultra-low layers exhibit a significantly increased refractive index, specifically exposed to 2% by weight and 4% by weight. The layers of the %TMAH test solution (SB). The test solution (SB) is exposed to the super After the layer is removed, it is annealed at 15 〇, ία 147943.doc -43 201100980, the refractive index will be further increased, specifically, it has been exposed to the test solution containing 2% by weight and 4% by weight of TMAH ( In the case of layers of SB), these results indicate that high concentrations of TMAH can significantly damage ultra-low k materials due to the high etch rate of the corresponding test solution (SB). When the ultra-low k layer has been exposed to contain £ No such adverse effects were observed with 0.5% by weight of TMAH test solution (SB). In these cases, the etch rate was less than 1 nm/min. It has been exposed to common etching for the fabrication of wire trenches and The ultra-low-k layer of the fluorine-containing etched plasma of the through-hole was repeated. The results showed that the ultra-low-k layer damaged by the plasma was more resistant to the test solution containing <0.5 wt% TMAH even more than the undamaged ultra-low-k layer. (SB). The experiment was repeated with a multi-copper disk. The results showed that the test solution (SB) containing 50.5 wt% TMAH exhibited an etch rate of less than 1 nm/min, and contained more than 1 wt%, 2 wt%. And 4% by weight of TMAH test solution (SB) showcases A much higher etch rate. Similar results were obtained with tetrapropylammonium hydroxide, tetrabutylammonium hydroxide and benzyltrimonium hydroxide. The reactivity of these quaternary ammonium hydroxides was lower than TMAH and decreased sequentially. This provides a possibility to fine tune the composition and adapt it to specific preparation conditions in a simple manner. In summary, these findings further indicate the inclusion of a polar organic solvent (A) selected according to Example 1 and a low concentration of quaternary ammonium hydroxide (specifically In particular, the composition of TMAH) is particularly suitable and can be most advantageously used as a photoresist stripping composition for removing patterned photoresist in a 1C post-stage (BE0L) copper damascene process for preparing VLI and VLSI. , polymer barrier anti-reflective layer and post-etch residue 147943.doc -44 - 201100980 without damaging the ultra-low k material or etching the copper surface. Example 3 Use of a composition containing at least two polar organic solvents (A) and a low concentration of TMAH as a photoresist stripping composition Example 3 using a 30 11111 thick tantalum carbide etch stop layer, 386 nm thick ultra low k carbon doping a ruthenium oxide layer, a 39 nm thick titanium nitride hard mask layer, a 28 nm thick polymer barrier antireflection layer containing a deep UV absorbing group, and a methacrylate copolymer based on a suspension of adamantane and a lactone group A 3〇〇mm矽 semiconductor wafer covered by a 6〇1^1 thick positive 194nm deep UV photoresist layer. Selectively irradiating the covered germanium semiconductor wafer with 194 nm deep UV radiation by means of test masks having different apertures (less than 1 〇〇 nm), thereby decomposing the exposed regions of the negative photoresist . Thereafter, the mask is removed and the irradiated photoresist layer is developed with an aqueous solution of sodium hydroxide to obtain a desired photoresist pattern. The upper surface of the covered germanium wafer ◎ is then exposed to the fluorine-containing etch plasma using the patterned photoresist as a mask, thereby removing the polymer of the titanium nitride hard mask layer not protected by the photoresist pattern. Barrier anti-reflection layer area. In this process step, although the ultra-low k layer is not etched through, it is at most as low as the overall thickness of the ultra-low layer. The photoresist stripping composition is prepared by mixing the ingredients in the desired amounts and homogenizing the resulting mixture. The ingredients and their amounts are compiled in Table 3. The percentages are given in % by weight, based on the total weight of the corresponding photoresist stripping composition of 50. In a composition containing two organic polar solvents (A) and containing no organic polar solvent (D), the weight ratio of polar organic solvent (A1) to polar organic solvent (A2) is 147943.doc -45 - 201100980 series 1: 1. In the case of containing two organic polar solvents (A) and one organic polar solvent () &, the polar organic solvent (A1): (A2): (D) is a weight ratio of 1_1·1. In the composition having three organic polar solvents and no organic polar solvent (D), the polar organic solvent (ai): (a2): (a3) has a weight ratio of 1:1:1. As measured by the red-transfer viscosity measurement, at 50. (: All of the photoresists in Table 3 are peeled off, and the dynamic viscosity of the impurities 3.1 to 3.82 is in the range of 21111^ to 5111?.

147943.doc * 46 - 201100980147943.doc * 46 - 201100980

3.9 S2 S4 98.9 0.25 0.75 Elb) 0.1 3.10 S2 - 0.25 0.75 E2C) S4 0.1 98.9 3.11 S2 _ 0.5 1.5 Elb) S4 0.1 97.9 3.12 S2 - 0.5 1.5 E2C) S4 0.1 97.9 3.13 S2 - 0.25 0.75 Elb) S5 0.1 98.9 3.14 S2 - 0.25 0.75 E2C) S5 0.1 98.9 3.15 S2 - 0.5 1.5 Elb) S5 0.1 97.9 3.16 S2 - 0.5 1.5 E2C) S5 0.1 97.9 3.17 SI - 0.25 0.75 Elb) S5 0.1 98.9 3.18 SI - 0.25 0.75 E2C) S5 0.1 98.9 3.19 SI - 0.5 1.5 Elb) S5 0.1 97.9 3.20 SI - 0.5 1.5 E2C) S5 0.1 97.9 3.21 S3 - 0.25 0.75 Elb) S4 0.1 98.9 3.22 S3 - 0.25 0.75 E2C) S4 0.1 98.9 147943.doc -47- 201100980 3.23 53 54 97.9 0.5 1.5 Elb) 0.1 3.24 S3 - 0.5 1.5 E2C) S4 0.1 97.9 3.25 S3 - 0.25 0.75 Elb) S5 0.1 98.9 3.26 S3 - 0.25 0.75 E2C) S5 0.1 98.9 3.27 S3 - 0.5 1.5 Elb) S5 0.1 97.9 3.28 S3 - 0.5 1.5 E2C) S5 0.1 97.9 3.29 S4 - 0.25 0.75 Elb) S5 0.1 98.9 3.30 S4 - 0.25 0.75 E2C) S5 0.1 98.9 3.31 S4 - 0.5 1.5 Elb) S5 0.1 97.9 3.32 S3 - 0.5 1.5 E2C) S5 0.1 97.9 3.33 SI S26 0.5 1.5 Elb) S2 0.1 97.9 3.34 SI S29 0.5 1.5 E2C) S2 0.1 97.9 3.35 SI S51 0.5 1.5 Elb) S2 0.1 97.9 3.34 SI S51 0.5 1.5 E2C) S2 0.1 97.9 147943.doc • 48 - 2011009803.9 S2 S4 98.9 0.25 0.75 Elb) 0.1 3.10 S2 - 0.25 0.75 E2C) S4 0.1 98.9 3.11 S2 _ 0.5 1.5 Elb) S4 0.1 97.9 3.12 S2 - 0.5 1.5 E2C) S4 0.1 97.9 3.13 S2 - 0.25 0.75 Elb) S5 0.1 98.9 3.14 S2 - 0.25 0.75 E2C) S5 0.1 98.9 3.15 S2 - 0.5 1.5 Elb) S5 0.1 97.9 3.16 S2 - 0.5 1.5 E2C) S5 0.1 97.9 3.17 SI - 0.25 0.75 Elb) S5 0.1 98.9 3.18 SI - 0.25 0.75 E2C) S5 0.1 98.9 3.19 SI - 0.5 1.5 Elb) S5 0.1 97.9 3.20 SI - 0.5 1.5 E2C) S5 0.1 97.9 3.21 S3 - 0.25 0.75 Elb) S4 0.1 98.9 3.22 S3 - 0.25 0.75 E2C) S4 0.1 98.9 147943.doc -47- 201100980 3.23 53 54 97.9 0.5 1.5 Elb) 0.1 3.24 S3 - 0.5 1.5 E2C) S4 0.1 97.9 3.25 S3 - 0.25 0.75 Elb) S5 0.1 98.9 3.26 S3 - 0.25 0.75 E2C) S5 0.1 98.9 3.27 S3 - 0.5 1.5 Elb) S5 0.1 97.9 3.28 S3 - 0.5 1.5 E2C) S5 0.1 97.9 3.29 S4 - 0.25 0.75 Elb) S5 0.1 98.9 3.30 S4 - 0.25 0.75 E2C) S5 0.1 98.9 3.31 S4 - 0.5 1.5 Elb) S5 0.1 97.9 3.32 S3 - 0.5 1.5 E2C) S5 0.1 97.9 3.33 SI S26 0.5 1.5 Elb) S2 0.1 97.9 3.34 SI S29 0.5 1.5 E2C) S2 0.1 97.9 3.35 SI S51 0.5 1.5 Elb) S2 0.1 97.9 3.34 SI S51 0.5 1.5 E2C) S2 0.1 97.9 147943.doc • 48 - 201100980

3.35 51 52 97.9 S53 0.5 1.5 Elb) 0.1 3.36 SI S53 0.5 1.5 E2C) S2 0.1 97.9 3.37 SI S47 0.5 1.5 Elb) S3 0.1 97.9 3.38 SI S47 0.5 1.5 E2C) S3 0.1 97.9 3.39 SI S21 0.5 1.5 Elb) S5 0.1 97.9 3.40 SI S21 0.5 1.5 E2C) S5 0.1 97.9 3.41 SI S31 0.5 1.5 Elb) S5 0.1 97.9 3.42 SI S31 0.5 1.5 E2C) S5 0.1 97.9 3.43 SI S32 0.5 1.5 Elb) S5 0.1 97.9 3.44 SI S32 0.5 1.5 E2C) S5 0.1 97.9 3.45 SI S43 0.5 1.5 Elb) S5 0.1 97.9 3.46 SI S43 0.5 1.5 E2C) S5 0.1 97.9 3.47 SI S47 0.5 1.5 Elb) S5 0.1 97.9 3.48 SI S47 0.5 1.5 E2C) S5 0.1 97.9 147943.doc -49- 201100980 3.49 SI S5 97.9 S53 0.5 1.5 Elb) 0.1 3.50 SI S53 0.5 1.5 E2C) S5 0.1 97.9 3.51 S2 S47 0.5 1.5 Elb) S4 0.1 97.9 3.52 S2 S47 0.5 1.5 E2C) S4 0.1 97.9 3.53 S2 S31 0.5 1.5 Elb) S5 0.1 97.9 3.54 S2 S31 0.5 1.5 E2C) S5 0.1 97.9 3.55 S2 S43 0.5 1.5 Elb) S5 0.1 97.9 3.56 S2 S43 0.5 1.5 E2C) S5 0.1 97.9 3.57 S2 S47 0.5 1.5 Elb) S5 0.1 97.9 3.58 S2 S47 0.5 1.5 E2C) S5 0.1 97.9 3.59 S3 - 1 3 Elb) S4 0.1 S5 95.9 3.60 S3 - 1 3 E2C) S4 0.1 S5 95.9 3.61 S3 - 0.25 0.75 Elb) S4 0.1 S5 98.9 147943.doc -50- 2011009803.35 51 52 97.9 S53 0.5 1.5 Elb) 0.1 3.36 SI S53 0.5 1.5 E2C) S2 0.1 97.9 3.37 SI S47 0.5 1.5 Elb) S3 0.1 97.9 3.38 SI S47 0.5 1.5 E2C) S3 0.1 97.9 3.39 SI S21 0.5 1.5 Elb) S5 0.1 97.9 3.40 SI S21 0.5 1.5 E2C) S5 0.1 97.9 3.41 SI S31 0.5 1.5 Elb) S5 0.1 97.9 3.42 SI S31 0.5 1.5 E2C) S5 0.1 97.9 3.43 SI S32 0.5 1.5 Elb) S5 0.1 97.9 3.44 SI S32 0.5 1.5 E2C) S5 0.1 97.9 3.45 SI S43 0.5 1.5 Elb) S5 0.1 97.9 3.46 SI S43 0.5 1.5 E2C) S5 0.1 97.9 3.47 SI S47 0.5 1.5 Elb) S5 0.1 97.9 3.48 SI S47 0.5 1.5 E2C) S5 0.1 97.9 147943.doc -49- 201100980 3.49 SI S5 97.9 S53 0.5 1.5 Elb) 0.1 3.50 SI S53 0.5 1.5 E2C) S5 0.1 97.9 3.51 S2 S47 0.5 1.5 Elb) S4 0.1 97.9 3.52 S2 S47 0.5 1.5 E2C) S4 0.1 97.9 3.53 S2 S31 0.5 1.5 Elb) S5 0.1 97.9 3.54 S2 S31 0.5 1.5 E2C) S5 0.1 97.9 3.55 S2 S43 0.5 1.5 E Lb) S5 0.1 97.9 3.56 S2 S43 0.5 1.5 E2C) S5 0.1 97.9 3.57 S2 S47 0.5 1.5 Elb) S5 0.1 97.9 3.58 S2 S47 0.5 1.5 E2C) S5 0.1 97.9 3.59 S3 - 1 3 Elb) S4 0.1 S5 95.9 3.60 S3 - 1 3 E2C) S4 0.1 S5 95.9 3.61 S3 - 0.25 0.75 Elb) S4 0.1 S5 98.9 147943.doc -50- 201100980

3.62 53 54 55 98.9 0.25 0.75 E2C) 0.1 3.63 S2 54 55 98.9 0.25 0.75 Elb) 0.1 3.64 S2 54 55 98.9 0.25 0.75 E2C) 0.1 3.65 S2 54 55 97.9 0.5 1.5 Elb) 0.1 3.66 S2 54 55 97.9 0. 5 1.5 E2C) 0.1 3.67 52 53 S5 98.9 0.25 0.75 Elb) 0.1 3.68 52 53 S5 98.9 0.25 0.75 E2C) 0.1 3.69 52 53 S5 97.9 0.5 1.5 Elb) 0.1 3.70 52 53 S5 97.9 0. 5 1.5 E2C) 0.1 3.71 52 53 54 98.9 0.25 0.75 Elb) 0.1 147943.doc • 51- 201100980 3.72 52 53 54 98.9 0.25 0.75 E2C) 0.1 3.73 52 53 54 97.9 0.5 1.5 Elb) 0.1 3.74 52 53 54 97.9 0.5 1.5 E2C) 0.1 3.75 SI S3 S5 98.9 0.25 0.75 Elb) 0.1 3.76 SI S3 S5 98.9 0.25 0.75 E2C) 0.1 3.77 SI S3 S5 97.9 0.5 1.5 Elb) 0.1 3.78 SI S3 S5 97.9 0.5 1.5 E2C) 0.1 3.79 SI 54 55 98.9 0.25 0.75 Elb) 0.1 3.80 SI 54 55 98.9 0.25 0.75 E2C) 0.1 3.81 51 52 S5 98.9 0.25 0.75 Elb) 0.1 147943.doc -52- 201100980 3.82 S1 S2 S5 98.9 0.25 0.75 E2C) 0.1 溶劑之整體量 a) .相應光阻制離組合物中所存在所有 b) 5 -胺基苯并三唾; c) 1,2,4-三唑·甲酸甲酯 〇 覆 層 暴 :吏用三個系列的表3光阻剝離組合物31至3 82自蝕刻經 盍石夕半導體晶圓移除圖案化光阻劑、圖案化障壁抗反射 及姓刻後殘留物。為此,將晶圓放入燒杯中並在5CTC下 露於經__啊)之三個㈣的綠剝離組合物,持 續達300 1 80 s及90 s。此後,將晶圓從光阻剝離組合物 中取出’用異丙醇沖洗且然後用水沖洗並在机下用乾燥 氮氣流乾燥。在冷卻至室溫後,用綱(原子力顯微鏡)及 SEM(掃描電子顯微鏡)檢驗硬遮罩結構之缺陷。3.62 53 54 55 98.9 0.25 0.75 E2C) 0.1 3.63 S2 54 55 98.9 0.25 0.75 Elb) 0.1 3.64 S2 54 55 98.9 0.25 0.75 E2C) 0.1 3.65 S2 54 55 97.9 0.5 1.5 Elb) 0.1 3.66 S2 54 55 97.9 0. 5 1.5 E2C ) 0.1 3.67 52 53 S5 98.9 0.25 0.75 Elb) 0.1 3.68 52 53 S5 98.9 0.25 0.75 E2C) 0.1 3.69 52 53 S5 97.9 0.5 1.5 Elb) 0.1 3.70 52 53 S5 97.9 0. 5 1.5 E2C) 0.1 3.71 52 53 54 98.9 0.25 0.75 Elb) 0.1 147943.doc • 51- 201100980 3.72 52 53 54 98.9 0.25 0.75 E2C) 0.1 3.73 52 53 54 97.9 0.5 1.5 Elb) 0.1 3.74 52 53 54 97.9 0.5 1.5 E2C) 0.1 3.75 SI S3 S5 98.9 0.25 0.75 Elb) 0.1 3.76 SI S3 S5 98.9 0.25 0.75 E2C) 0.1 3.77 SI S3 S5 97.9 0.5 1.5 Elb) 0.1 3.78 SI S3 S5 97.9 0.5 1.5 E2C) 0.1 3.79 SI 54 55 98.9 0.25 0.75 Elb) 0.1 3.80 SI 54 55 98.9 0.25 0.75 E2C) 0.1 3.81 51 52 S5 98.9 0.25 0.75 Elb) 0.1 147943.doc -52- 201100980 3.82 S1 S2 S5 98.9 0.25 0.75 E2C) 0.1 The total amount of solvent a) . All the b) present in the corresponding photoresist formulation Aminobenzotrisole; c) 1,2,4- Triazole-methyl formate coating: 3 series of 3 photoresist stripping compositions 31 to 3 82 self-etching through the 盍石夕 semiconductor wafer to remove patterned photoresist, patterned barrier anti-reflection And the surname remains after the engraving. To this end, the wafer was placed in a beaker and exposed to 5 (TC) at three (iv) green stripping compositions at 3 CTC for 300 1 80 s and 90 s. Thereafter, the wafer was taken out of the photoresist stripping composition, rinsed with isopropanol and then rinsed with water and dried under a stream of dry nitrogen under the machine. After cooling to room temperature, the defects of the hard mask structure were examined using an atomic force microscope and an SEM (scanning electron microscope).

在所有情形下,圖案化硬遮罩之階梯高度(step height) 甚至僅在90 s後即精確地等於其原始厚度,此表明光阻剝 離組合物已在不損害超低]^層之情況下在有利地短製程時 間内完全移除圖案化光阻劑、圖案化障壁抗反射層及蝕刻 後殘留物。圖案化硬遮罩精確地複製了測試遮罩之結構。 未觀察到缺陷、變形、不規則側壁、殘留物或再沈積材 剩’此更進一步表明光阻剝離組合物展示極佳的清除能力 以及極佳的相容性。 實例4 含有極性有機溶劑(A)及低濃度TMAH之組合物用於剝離 147943.doc •53- 201100980 正型及負型光阻抗蝕劑及蝕刻後殘留物之用途及該等組合 物與使薄矽晶圓黏結至載體上之膠合材料之相容性 使用實例3之組合物3· 1至3.82實施實例4。 在燒杯中在65t下將經厚度為3.5 μιη、7 μιη及5 μπι之市 售正型光阻劑或負型光阻劑層覆蓋的毯覆式矽晶圓片分別 暴露於組合物H3.82,持續達5分鐘。隨後用去離子水 沖洗3分鐘並使用氮搶乾燥。 以相同方式檢查其與膠合材料之相容性。 藉由光學檢驗及FTIR光譜可證實光阻劑已自毯覆式石夕曰曰曰〇 圓兀王移除。另一方面,組合物31至3 Μ未損害膠合材 料。 、5方式K正型光阻抗蚀劑、負型光阻抗钮劑及姓 刻後殘留物自具有銅微凸塊、銅鍍膜及TSV之圖案化矽晶 圓片之移除。藉由X-SEM可古登每知入 4〇 ^ ^ 了0足貝級合物3.1至3.82能夠在不 才貝壞精細結構之g、、ff + 月/下元王移除光阻抗蝕劑及殘留物。In all cases, the step height of the patterned hard mask is exactly equal to its original thickness even after 90 s, indicating that the photoresist stripping composition has been damaged without damaging the ultra-low layer. The patterned photoresist, the patterned barrier anti-reflective layer, and the post-etch residue are completely removed in an advantageous short process time. The patterned hard mask accurately replicates the structure of the test mask. No defects, deformation, irregular sidewalls, residues or redeposited material were observed. This further indicates that the photoresist stripping composition exhibits excellent cleaning ability and excellent compatibility. Example 4 A composition containing a polar organic solvent (A) and a low concentration TMAH for stripping 147943.doc • 53-201100980 The use of positive and negative photoresists and post-etch residues and the composition and thinning Compatibility of the matte material to which the crucible wafer was bonded to the carrier Example 4 was carried out using the composition 3.1 to 3.82 of Example 3. The blanket-type tantalum wafer covered by a commercially available positive or negative photoresist layer having a thickness of 3.5 μm, 7 μm, and 5 μm was exposed to composition H3.82 at 65t in a beaker, respectively. , lasts for 5 minutes. It was then rinsed with deionized water for 3 minutes and dried with nitrogen. The compatibility with the glue was checked in the same manner. It was confirmed by optical inspection and FTIR spectroscopy that the photoresist had been removed from the blanket-covered Shi Xizhen. On the other hand, the compositions 31 to 3 Μ did not damage the cemented material. The 5 mode K positive photoresist, the negative photoresist, and the post-mortem residue are removed from the patterned twin wafer with copper microbumps, copper plating, and TSV. By X-SEM, Gudeng can know each time 4 〇 ^ ^ 0 foot shells 3.1 to 3.82 can remove the photoresist in the g, ff + month / lower yuan of the fine structure And residue.

147943.doc -54·147943.doc -54·

Claims (1)

201100980 七、申請專利範圍: 〆 1 ·種’夜體組合物’其不含N-烷基吡咯啶酮及羥基胺及羥 基胺衍生物且包含: (A) 至少兩種極性有機溶劑,其選自由符合以下條件之 溶劑組成之群:在0·〇6重量%至4重量%溶解的氫氧 化四甲基銨(Β)存在下,在501:下對含有深UV吸收發 色基團之30 nm厚聚合物障壁抗反射層展示恆定移除 速率,該重量百分比係以相應測試溶液(AB)之整體 〇 重量計,及 (B) 至少一種氫氧化四級錢。 2. 一種製備不含N-烷基吡咯啶酮及羥基胺及羥基胺衍生物 之液體組合物之方法,該方法包含下列步驟: 〇 (I) 選擇至少兩種符合以下條件之極性有機溶劑(A):在 0.06重量%至4重量%溶解的氫氧化四曱基銨(b)存在 下’在50°C下對含有深uv吸收發色基團之30 nm厚 聚合物障壁抗反射層展示恆定移除速率,該重量百 分比係以相應測試溶液之整體重量計,及 (II) 在不存在N_烷基吡咯啶酮及羥基胺及羥基胺衍生物 之情況下將至少兩種該等選定極性有機溶劑(A)與至 少一種氫氧化四級銨(B)混合。 3. —種製備電子裝置之方法,其包含下列步驟: (1) 將由至少一種低k或超低k材料組成之絕緣介電層施 加至基板頂部上, (2) 將正型或負型光阻劑層施加至該絕緣介電層(丨)頂部 147943.doc 201100980 上, ⑺將該光阻劑層⑺選擇性地暴露於電磁輻射或微粒輕 射, ⑷將該經選擇性暴露之光阻劑層(3)顯影以形成光阻劑 圖案, (5) 使用該光阻劑圖案(4)作為遮罩對該絕緣介電層(1)實 施乾敍刻,以形成導線溝槽及/或與該基板表面連^ 之通孔, (6) 選擇至少兩種符合以下條件之極性有機溶劑(a):在 06重里/〇至4重量%溶解的氬氧化四甲基銨⑺)存在 下,在5(TC下對含有深UV吸收發色基團之3〇 nm厚 聚合物障壁抗反射層展示恆定移除速率該重量百 分比係以相應測試溶液(AB)之整體重量計, ⑺提供至少-種不含N_院基対咬酮及經基胺及經基 胺衍生物之光阻剝離組合物,其包含: (A) 至少兩種根據製程步驟⑹選擇之極性有機溶 劑,及 (B) 至少一種氫氧化四級錢, ⑻藉由全濕式(all_wet)製程使用至少―種根據製程步驟 (7)製得之光阻剝離組合物(7)來移除該光阻劑圖案及 蝕刻後殘留物,及 (9)用至少-種具有低電阻率之材料填充該等導線溝槽 (5)及通孔(5)。 9 4. -種液體組合物之用途’其用於在借助圖案化石夕穿孔 147943.doc 201100980 (Through Silicon Via)及/或藉由鍍敷及凸塊化製備3D堆 疊積體電路及3D晶圓級封裝期間移除負型及正型光阻抗 蝕劑及蝕刻後殘留物,該液體組合物不含N_烷基吡咯啶 酮及羥基胺及羥基胺衍生物且包含: (A) 至少兩種極性有機溶劑,其選自由符合以下條件之 /谷劑組成之群:在〇.〇6重量。/。至4重量%溶解的氫氧 化四曱基銨(B)存在下,在5〇°C下對含有深uv吸收發 色基團之30 nm厚聚合物障壁抗反射層展示恆定移除 速率,該重量百分比係以相應測試溶液(AB)之整體 重量計,及 (B) 至少一種氫氧化四級銨。 147943.doc 201100980 四、指定代表圖: (一) 本案指定代表圖為:(無) (二) 本代表圖之元件符號簡單說明: 五、本案若有化學式時,請揭示最能顯示發明特徵的化學式: (無)201100980 VII. Patent application scope: 〆1 · The 'night body composition' does not contain N-alkylpyrrolidone and hydroxylamine and hydroxylamine derivatives and contains: (A) at least two polar organic solvents, which are selected A group of solvent compositions free of the following conditions: in the presence of 0·〇6 wt% to 4 wt% of dissolved tetramethylammonium hydroxide (Β), at 501: 30 with a deep UV-absorbing chromophore group The nm thick polymer barrier antireflective layer exhibits a constant removal rate, based on the total weight of the respective test solution (AB), and (B) at least one quaternary hydroxide. 2. A process for preparing a liquid composition free of N-alkylpyrrolidone and a hydroxylamine and a hydroxylamine derivative, the process comprising the steps of: 〇(I) selecting at least two polar organic solvents which satisfy the following conditions ( A): 30 nm thick polymer barrier antireflective layer exhibiting a deep uv absorbing chromophore group at 50 ° C in the presence of 0.06 wt% to 4 wt% dissolved tetradecyl ammonium bromide (b) Constant removal rate, based on the total weight of the corresponding test solution, and (II) at least two of these selected in the absence of N-alkylpyrrolidone and hydroxylamine and hydroxylamine derivatives The polar organic solvent (A) is mixed with at least one ammonium quaternary ammonium hydroxide (B). 3. A method of fabricating an electronic device comprising the steps of: (1) applying an insulating dielectric layer composed of at least one low-k or ultra-low-k material to the top of the substrate, (2) positive or negative light. A resist layer is applied to the top of the insulating dielectric layer (147) 147943.doc 201100980, (7) the photoresist layer (7) is selectively exposed to electromagnetic radiation or light particles, (4) the selectively exposed photoresist The agent layer (3) is developed to form a photoresist pattern, (5) the insulating dielectric layer (1) is dry-etched using the photoresist pattern (4) as a mask to form a wire trench and/or a through hole connected to the surface of the substrate, (6) selecting at least two polar organic solvents (a) satisfying the following conditions: in the presence of 06% by weight/〇 to 4% by weight of dissolved tetramethylammonium arsenoxide (7) The 3 〇 nm thick polymer barrier antireflective layer containing a deep UV absorbing chromophore exhibits a constant removal rate at 5 (TC) based on the total weight of the corresponding test solution (AB), (7) providing at least - Non-N-hospital ketones and photo-resistive stripping of amines and transamines a composition comprising: (A) at least two polar organic solvents selected according to process step (6), and (B) at least one quaternary hydroxide, (8) using at least one type of process according to the all-wet process The photoresist stripping composition (7) obtained in the step (7) is used to remove the photoresist pattern and the post-etch residue, and (9) filling the wire trenches with at least one material having a low resistivity ( 5) and through-holes (5). 9. 4. Use of a liquid composition's for preparation by means of patterned fossil piercings 147943.doc 201100980 (Through Silicon Via) and/or by plating and bumping Removal of negative and positive photoresist and post-etch residues during 3D stacked integrated circuits and 3D wafer-level packaging, the liquid composition does not contain N-alkylpyrrolidone and hydroxylamine and hydroxylamine derivatives And comprising: (A) at least two polar organic solvents selected from the group consisting of: a granule of: 重量. 〇 6 wt. / to 4 wt% of dissolved tetradecyl ammonium hydroxide (B) 30 nm thick polymerization with deep uv-absorbing chromophores at 5 °C The barrier anti-reflective layer exhibits a constant removal rate, based on the total weight of the corresponding test solution (AB), and (B) at least one quaternary ammonium hydroxide. 147943.doc 201100980 IV. Designated representative map: (1) The representative representative of the case is: (none) (2) The symbol of the symbol of the representative figure is simple: 5. If there is a chemical formula in this case, please disclose the chemical formula that best shows the characteristics of the invention: (none) 147943.doc147943.doc
TW99114540A 2009-05-07 2010-05-06 Resist stripping compositions and methods for manufacturing electrical devices TW201100980A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17617609P 2009-05-07 2009-05-07

Publications (1)

Publication Number Publication Date
TW201100980A true TW201100980A (en) 2011-01-01

Family

ID=42245973

Family Applications (1)

Application Number Title Priority Date Filing Date
TW99114540A TW201100980A (en) 2009-05-07 2010-05-06 Resist stripping compositions and methods for manufacturing electrical devices

Country Status (2)

Country Link
TW (1) TW201100980A (en)
WO (1) WO2010127941A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104169801A (en) * 2012-03-16 2014-11-26 巴斯夫欧洲公司 Photoresist stripping and cleaning composition, method of its preparation and its use
CN117031895A (en) * 2023-08-17 2023-11-10 浙江奥首材料科技有限公司 Chip photoresist stripping liquid, preparation method and application thereof

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG175273A1 (en) 2009-05-07 2011-11-28 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
US9146471B2 (en) 2009-05-07 2015-09-29 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
KR101751553B1 (en) 2009-06-30 2017-06-27 바스프 에스이 Aqueous alkaline cleaning compositions and methods of their use
WO2011012559A2 (en) * 2009-07-30 2011-02-03 Basf Se Post ion implant stripper for advanced semiconductor application
WO2012127342A1 (en) 2011-03-18 2012-09-27 Basf Se Method for manufacturing integrated circuit devices, optical devices, micromachines and mechanical precision devices having patterned material layers with line-space dimensions of 50 nm and less
JP6165665B2 (en) * 2013-05-30 2017-07-19 信越化学工業株式会社 Substrate cleaning method
JP7154955B2 (en) 2018-11-01 2022-10-18 株式会社東芝 Stripping solution, stripping method, and method for manufacturing electronic component
WO2021073718A1 (en) * 2019-10-15 2021-04-22 Henkel Ag & Co. Kgaa Photoresist stripping composition
WO2024126549A1 (en) 2022-12-16 2024-06-20 Basf Se Solution for post etch residue removal (perr)
WO2024126548A1 (en) 2022-12-16 2024-06-20 Basf Se Solution for post etch residue removal (perr)
WO2024126588A1 (en) 2022-12-16 2024-06-20 Basf Se Solution for post etch residue removal (perr)

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2193905A1 (en) 1996-12-24 1998-06-24 Luc Ouellet Integrated processing for an etch module
US6218078B1 (en) 1997-09-24 2001-04-17 Advanced Micro Devices, Inc. Creation of an etch hardmask by spin-on technique
US5919599A (en) 1997-09-30 1999-07-06 Brewer Science, Inc. Thermosetting anti-reflective coatings at deep ultraviolet
US7579308B2 (en) 1998-07-06 2009-08-25 Ekc/Dupont Electronics Technologies Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
EP1211563B1 (en) * 2000-11-30 2011-12-21 Tosoh Corporation Resist stripper composition
US20030148624A1 (en) 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
JP3516446B2 (en) 2002-04-26 2004-04-05 東京応化工業株式会社 Photoresist stripping method
JP4443864B2 (en) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ Cleaning solution for removing resist or etching residue and method for manufacturing semiconductor device
US7399365B2 (en) 2003-04-18 2008-07-15 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
CN100442449C (en) 2003-05-02 2008-12-10 Ekc技术公司 Removal of post-etch residues in semiconductor processing
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
US20060213780A1 (en) 2005-03-24 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Electroplating composition and method
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
CN101286016A (en) * 2007-04-13 2008-10-15 安集微电子(上海)有限公司 Low etching photoresist cleaning agent
CN101286017A (en) * 2007-04-13 2008-10-15 安集微电子(上海)有限公司 Thick film photoresist cleaning agent
KR100908601B1 (en) 2007-06-05 2009-07-21 제일모직주식회사 Anti-reflective hard mask composition and patterning method of substrate material using same
US7884019B2 (en) 2007-06-07 2011-02-08 Texas Instruments Incorporated Poison-free and low ULK damage integration scheme for damascene interconnects
US7981812B2 (en) 2007-07-08 2011-07-19 Applied Materials, Inc. Methods for forming ultra thin structures on a substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104169801A (en) * 2012-03-16 2014-11-26 巴斯夫欧洲公司 Photoresist stripping and cleaning composition, method of its preparation and its use
CN117031895A (en) * 2023-08-17 2023-11-10 浙江奥首材料科技有限公司 Chip photoresist stripping liquid, preparation method and application thereof

Also Published As

Publication number Publication date
WO2010127941A1 (en) 2010-11-11

Similar Documents

Publication Publication Date Title
TWI494711B (en) Resist stripping compositions and methods for manufacturing electrical devices
TW201100980A (en) Resist stripping compositions and methods for manufacturing electrical devices
TWI492001B (en) Resist stripping compositions and methods for manufacturing electrical devices
JP6932683B2 (en) Etching solution and how to use it
TWI274968B (en) Composition for stripping and cleaning and use thereof
TWI355416B (en) Aqueous cleaning composition for removing residues
JP4819429B2 (en) Compositions and methods for removing residues
TWI304525B (en)
KR100942009B1 (en) Formulation for removal of photoresist, etch residue and barc
JP2006307158A (en) Composition and method for removing residue and patterning process
TW200925269A (en) Compounds for photoresist stripping
WO2005103832A1 (en) Resist pattern forming method and composite rinse agent
JP2007109744A (en) Substrate cleaning liquid
WO2019019533A1 (en) Cleaning agent and preparation method and use thereof
TWI239042B (en) Method of manufacturing semiconductor device
TW200525012A (en) Photoresist residue remover composition and semiconductor circuit element production process employing said composition
TW201425279A (en) Composition for manufacturing integrated circuit devices, optical devices, micromachines and mechanical precision devices
JP4472369B2 (en) Method for cleaning semiconductor substrate or semiconductor element