WO2003091806A1 - Procede de retrait de photoresist - Google Patents

Procede de retrait de photoresist Download PDF

Info

Publication number
WO2003091806A1
WO2003091806A1 PCT/JP2003/005336 JP0305336W WO03091806A1 WO 2003091806 A1 WO2003091806 A1 WO 2003091806A1 JP 0305336 W JP0305336 W JP 0305336W WO 03091806 A1 WO03091806 A1 WO 03091806A1
Authority
WO
WIPO (PCT)
Prior art keywords
photoresist
substrate
dielectric layer
water
layer
Prior art date
Application number
PCT/JP2003/005336
Other languages
English (en)
French (fr)
Inventor
Shigeru Yokoi
Kazumasa Wakiya
Takayuki Haraguchi
Original Assignee
Tokyo Ohka Kogyo Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Ohka Kogyo Co., Ltd. filed Critical Tokyo Ohka Kogyo Co., Ltd.
Priority to KR1020047017197A priority Critical patent/KR100781925B1/ko
Priority to EP03720958A priority patent/EP1550912A4/en
Priority to AU2003235130A priority patent/AU2003235130A1/en
Priority to US10/512,586 priority patent/US20050176259A1/en
Publication of WO2003091806A1 publication Critical patent/WO2003091806A1/ja
Priority to US11/889,394 priority patent/US20070298619A1/en
Priority to US12/219,120 priority patent/US20080280452A1/en
Priority to US12/458,992 priority patent/US20090291565A1/en
Priority to US12/883,592 priority patent/US8354215B2/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor

Definitions

  • the present invention relates to a method for removing a photoresist provided on a substrate having at least copper (CU) wiring and a low dielectric layer.
  • the present invention is particularly in the manufacture of semiconductor devices such as IC and LSI, it is suitably applied to a method of removing photoresist in a process not including 0 2 plasma mediation single step which is commonly conventionally. Background art
  • a photoresist is applied uniformly on a conductive metal layer, insulating layer, or low dielectric layer formed by CVD deposition on a substrate such as silicon wafer, and this is selectively applied. After exposing and developing a photoresist pattern to form a photoresist pattern, and using this pattern as a mask to selectively etch the conductive metal layer, insulating layer and low dielectric layer deposited by CVD, and forming a fine circuit, It is manufactured by removing an unnecessary photoresist layer with a stripping solution.
  • a 1 wiring (A 1, A 1 Two types of devices are used, one using metal wiring mainly composed of A1 such as 1 alloy, and the other using copper wiring (metal wiring mainly composed of Cu, such as Cu and Cu alloy). It has come to be.
  • the etching resistance of Cu is low, and a method of forming a Cu multilayer wiring without etching Cu using a dual damascene method is used.
  • Various methods have been proposed for the dual damascene method. As an example, after stacking multiple layers of Cu layers and low dielectric layers (such as Si ⁇ C layers) on a substrate, a photoresist layer is provided on the top layer, and then the photoresist layer is selected. Exposure and development to form a photoresist pattern (first photoresist pattern).
  • the multilayer C u wiring is formed .
  • a substrate provided with a barrier layer (such as a SiN layer or a SiC layer) serving as an etching stopper layer between the Cu layer and the low dielectric layer may be used.
  • a barrier layer such as a SiN layer or a SiC layer
  • a photoresist stripping process is performed with the barrier layer exposed on the substrate remaining or after the barrier layer is removed, and then the via holes and trenches are formed. Fill the trench with Cu.
  • the Si-based residue (Si deposition) derived from the low dielectric layer is easily generated by the etching process for forming the via hole and the trench, the plasma assing process, and the like.
  • Residue may be formed as Si deposition on the outer periphery of the opening. Residues derived from photoresist are also likely to be generated. Therefore, if these residues are not completely removed, problems such as a decrease in the yield of semiconductor production occur.
  • a metal wiring pattern formation employed a 0 2 plasma mediation single treatment for removing the photoresist pattern and etch ing after residue.
  • low-dielectric layers used in Cu wiring boards are made of materials with lower dielectric constants. Is in the process of being developed.
  • Such low dielectric constant material (1 ow-k material) Is Atsushingu resistance is weak, or even is said that there is no Atsushingu resistance, when using a l ow _ k material, after etching, it is necessary to employ a process that does not perform ⁇ 2 plasma Atsu single step.
  • Patent Document 1 Japanese Patent Application Laid-Open No. H11-74180 (Patent Document 1), a semiconductor substrate using metal wiring such as A1 contains an oxidizing agent (hydrogen peroxide) before the photoresist is stripped.
  • oxidizing agent hydrogen peroxide
  • Japanese Patent Application Laid-Open No. 63-147716 Japanese Patent Application Laid-Open No. 63-147716 discloses, in [001] of Document 1, together with a stripping solution containing alkanolamine as a main component and a fluorine-based stripping solution.
  • Patent Document 1 One line is described (exemplary list) as using a tetramethylhydroxyl-ammonium (TMAH) -based stripping solution as described in reference 2).
  • TMAH tetramethylhydroxyl-ammonium
  • the stripping solution whose effect is actually confirmed in Patent Document 1 is a monoethanolamine-based stripper, and both Patent Documents 1 and 2 disclose a dual damascene method as contemplated by the present invention. There is no mention or suggestion of a suitable photoresist stripping method.
  • Patent Document 3 discloses that a photoresist pattern is made of an oxidizing agent and an organic acid after dry etching of a semiconductor substrate using metal wiring such as A1.
  • a method of manufacturing a semiconductor device in which the semiconductor element is cleaned with a cleaning liquid and then removed with a resist stripping liquid is described, but the publication also confirms the effect.
  • the stripping solution is of a monoethanolamine type, and there is no description or suggestion about a photoresist stripping method suitable for the dual damascene method as contemplated by the present invention.
  • Patent Document 1 Japanese Patent Application Laid-Open No. H11-1-7480
  • Patent Document 2 JP-A-63-147 7 16 8
  • Patent Document 3 Japanese Patent Application Laid-Open No. H11-123333 Disclosure of Invention
  • the present invention has been made in view of the above circumstances, the fine patterning of a substrate having at least copper (C u) wiring and Tei ⁇ conductive layer, even in a process that does not perform ⁇ 2 plasma Atsu single treatment, after etching It is an object of the present invention to provide a photoresist stripping method which can effectively strip off the photoresist film and the etching residue, does not adversely affect the dielectric constant of the low dielectric layer, and has excellent anticorrosion properties. I do. To solve the above problems, the present invention provides:
  • step (I I) a step of contacting the substrate after the step (I) with ozone water and / or hydrogen peroxide water
  • (I I I) a step of contacting the substrate after the step (I I) with a photoresist stripper containing at least a quaternary ammonium hydroxide
  • a known photolithography technique can be applied.
  • a copper (Cu) wiring is formed on a substrate such as a silicon wafer, and a low dielectric layer is formed thereon.
  • a barrier layer (etching stopper layer) on the Cu wiring is formed as an intermediate layer Alternatively, an insulating layer or the like may be provided, and a plurality of layers may be stacked.
  • the copper (Cu) wiring includes both wiring made of pure copper and wiring made of a copper alloy containing copper as a main component (for example, A1-Si_Cu, A1-Cu, etc.).
  • metal wiring other than Cu wiring, such as A1-based wiring may be formed as the metal wiring.
  • the formation of the metal layer is performed by CVD deposition, electrolytic plating, or the like, but is not particularly limited.
  • barrier layer examples include a SiN layer, a SiC layer, a Ta layer, and a TaN layer, but are not limited to these examples.
  • a material having a dielectric constant of 3 or less is particularly preferably used as the low dielectric layer.
  • Examples of the low dielectric layer include black diamond (Applied Materials Co., Ltd.), Coral (Novelus Systems Co., Ltd.), Aurora (Japan ASM Co., Ltd.) (S i ⁇ C) -based materials: “ ⁇ CD T-7”, “ ⁇ CD T-1 9”, “ ⁇ CD T-11”, “OCD T—31”, “OCD T—39” (all MSQ (methylsilsesquioxane) based materials such as Tokyo Ohka Kogyo Co., Ltd .; HSQ (hydroxysilsesquioxane) such as "OCD T_12" and "@CD T_32" (both from Tokyo Ohka Kogyo)
  • a low dielectric constant material low-k material
  • the low-dielectric layer is formed by applying the low-k material (low-k material) exemplified above and baking it at a high temperature of usually 350 ° C. or more for crystallization.
  • a photoresist composition is applied onto the low dielectric layer and dried, and then a photoresist pattern is formed by a known photolithography technique by exposure, development, and the like.
  • the photoresist composition, Kr F, Ar F, F 2 excimer laser of all, there had the photoresist composition which are customary is preferably used for the electron beam, not limited in particular.
  • Exposure and development conditions can be appropriately selected depending on the photoresist used depending on the purpose.
  • active light such as ultraviolet light, far ultraviolet light, excimer laser, X-ray, electron beam, etc.
  • the photoresist layer is exposed through a desired mask pattern by a light source emitting light, for example, a low-pressure mercury lamp, a high-pressure mercury lamp, an ultra-high-pressure mercury lamp, a xenon lamp, or the like, or drawn on the photoresist layer while manipulating the electron beam.
  • post-exposure baking post-exposure baking
  • the development method is not particularly limited.For example, a substrate coated with a photoresist is immersed in a developing solution for a certain period of time, then washed with water and dried, followed by immersion development, and the developing solution is dropped on the surface of the coated photoresist.
  • Various developments can be performed according to the purpose, such as paddle development in which the sample is left for a certain period of time and then washing and drying, and spray development in which a developing solution is sprayed on the photoresist surface and then washed and dried.
  • the low dielectric layer is selectively etched by dry etching or the like to form via holes or trenches (grooves for wiring).
  • a dual damascene method or the like is suitably applied.
  • the substrate after the etching step is brought into contact with ozone water and / or hydrogen peroxide water.
  • the (II) step is intended to decompose the photoresist pattern, post-etch residue, etc., prior to the subsequent (III) step.
  • ozone water in which ozone gas is dissolved in pure water by means such as publishing.
  • concentration of ozone is desirably 1 ppm or more to a saturation concentration.
  • the aqueous solution of hydrogen peroxide is preferably an aqueous solution having a concentration of about 0.1 to 60% by mass, and particularly preferably an aqueous solution having a concentration of about 0.5 to 35% by mass.
  • Examples of the contacting method include an immersion method, a paddle method, and a shuffling method as described in the case of the developer treatment.
  • it is immersed in ozone water and Z or hydrogen peroxide water for about 5 to 60 minutes.
  • the substrate after the step (II) is brought into contact with a photoresist stripper containing at least a quaternary ammonium hydroxide to remove a photoresist pattern and a residue after etching.
  • a photoresist stripper containing at least a quaternary ammonium hydroxide to remove a photoresist pattern and a residue after etching.
  • the above quaternary ammonium hydroxide has the following general formula (I)
  • R 1, R 2 , R 3 , and R 4 each independently represent an alkyl group having 1 to 4 carbon atoms or a hydroxyalkyl group
  • TMAH tetramethylammonium hydroxide
  • tetrapropylammonium hydroxide tetrapropylammonium hydroxide
  • tetramethylammonium hydroxide, tetrabutylammonium hydroxide, tetrapropylammonium hydroxide, methyltriptylammonium hydroxide, methyltrippyrmonammonium hydroxide, choline, etc. are Cu, Si-based residues. It is preferable from the viewpoint of the peelability of the object and the photoresist peelability.
  • One or more quaternary ammonium hydroxides can be used.
  • the compounding amount of the quaternary ammonium hydroxide is preferably about 1 to 20% by mass, more preferably about 2 to 10% by mass in the photoresist stripping solution.
  • the photoresist stripping solution used in the present invention usually contains water and a water-soluble organic solvent in addition to the quaternary ammonium hydroxide.
  • the mixing amount of water is preferably about 5 to 60% by mass, and particularly preferably 10 to 50% by mass.
  • the balance is a water-soluble organic solvent.
  • the water-soluble organic solvent include sulfoxides such as dimethyl sulfoxide; dimethyl sulfone, getyl sulfone, bis (2-hydroxyethyl) sulfone, and tetra.
  • Sulfones such as ramethylene sulfone [nisulfolane]; amides such as N, N-dimethylformamide, N-methylformamide, N, N-dimethylacetamide, N-methylacetamide, N, N-getylacetamide Lactams such as N-methyl-2-pyrrolidone, N-ethyl-2-pyrrolidone, N-propyl-12-pyrrolidone, N-hydroxymethyl-12-pyrrolidone, N-hydroxyethyl-2-pyrrolidone; Imidazolidinones such as 3-dimethyl-2-imidazolidinone, 1,3-getyl 1-2-imidazolidinone, 1,3-diisopropyl-2-imidazolidinone; ethylene glycol, ethylene glycol monomethyl ether , Ethylene glycol monoethyl ether, ethylene glycol monobutyl ether, ethylene glycol Monomethyl ether acetate, ethylene
  • dimethyl sulfoxide dimethyl imidazolidinone, N-methyl-2-pyrrolidone, diethylene glycol monobutyl ether, sulfolane, N, N-dimethylacetamide, N, N-dimethylformamide and the like are preferably used.
  • One or more water-soluble organic solvents can be used.
  • the photoresist stripping solution may further contain a water-soluble amine, if desired.
  • water-soluble amines include monoethanolamine, diethanolamine, triethanolamine, 2- (2-aminoethoxy) ethanol, N, N-dimethylethanolamine, N, N-getylethanolamine, N, N-dibutylamine, N-methylethanolamine, N-ethylethanolamine, N-butylethanolamine, N-methylgenethanolamine, monoisopropanolamine, diisopropanolamine, triisopropanolamine, etc.
  • Lucanoamines diethylenetriamine, triethylenetetramine, propylene Polyalkylenepolyamines such as rangeamine, N, N-getylethylenediamine, 1,4-butanediamine, N-ethyl-ethylenediamine, 1,2-propanediamine, 1,3-propanediamine, 1,6-hexanediamine 2-ethylethylhexylamine, dioctylamine, triptylamine, tripropylamine, triarylamine, heptylamine, aliphatic amines such as cyclohexylamine; aromatic amines such as benzylamine, diphenylamine, etc .; piperazine, N-methyl-piperazine And cyclic amines such as methyl-piperazine and hydroxyethylpiperazine.
  • monoethanolamine, 2- (2-aminoethoxy) ethanol, N-methylethanolamine and the like are preferably used from the viewpoints of removal of photoresist jetting residue and corrosion resistance to metal wiring.
  • the blending amount is preferably about 10 to 50% by mass in the photoresist stripping solution.
  • a carboxyl group-containing acidic compound, a salt of hydrofluoric acid with a base containing no metal ion, or the like may be further blended.
  • the carboxyl group-containing acidic compound As the carboxyl group-containing acidic compound, acetic acid, propionic acid, glycolic acid and the like are preferred.
  • the amount is preferably about 2 to 20% by mass in the photoresist stripping solution.
  • Preferred examples of the salt of hydrofluoric acid and a base containing no metal ion include ammonium fluoride.
  • the amount is preferably about 0.1 to 10% by mass in the photoresist stripping solution.
  • the photoresist stripping solution may further include a barrier layer (an etching stopper layer) as an intermediate layer, or a barrier layer provided with a barrier layer. If the photoresist is removed after the layer is removed by etching, select from aromatic hydroxy compounds, benzotriazole-based compounds, and compounds containing a mercapto group as anticorrosives in view of the anticorrosion properties of CU wiring, etc. It is desirable to mix at least one of them.
  • pyrocatechol, pyrogallol, gallic acid and the like are preferably used.
  • One or more aromatic hydroxy compounds can be used.
  • the benzotriazole-based compound is represented by the following general formula (II)
  • R 5 and R 6 each independently represent a hydrogen atom, a substituted or unsubstituted hydrocarbon group having 1 to 10 carbon atoms, a propyloxyl group, an amino group, a hydroxyl group, a cyano group, a formyl group, Represents a sulfonylalkyl group or a sulfo group;
  • Q represents a hydrogen atom, a hydroxyl group, a substituted or unsubstituted hydrocarbon group having 1 to 10 carbon atoms (provided that the structure has an amide bond or an ester bond, May be used), aryl group, or the following formula
  • R 7 represents an alkyl group having 1 to 6 carbon atoms
  • R 8 and R 9 each independently represent a hydrogen atom, a hydroxyl group, or a hydroxy group having 1 to 6 carbon atoms. Represents an alkyl group or an alkoxyalkyl group).
  • the hydrocarbon group is preferably It may be either an aromatic hydrocarbon group or an aliphatic hydrocarbon group, may have a saturated or unsaturated bond, and may be a straight-chain or branched chain.
  • the substituted hydrocarbon group include a hydroxyalkyl group and an alkoxyalkyl group.
  • Q is particularly preferably a group represented by the above formula (III). Among them, in formula (III), it is preferable to independently select a hydroxyalkyl group or an alkoxyalkyl group having 1 to 6 carbon atoms as R 8 and R 9 .
  • those which represent a water-soluble group as Q are also preferably used.
  • a hydrogen atom, an alkyl group having 1 to 3 carbon atoms that is, a methyl group, an ethyl group, a propyl group, or an isopropyl group
  • a hydroxyalkyl group having 1 to 3 carbon atoms, a hydroxyl group, or the like is an inorganic material.
  • a layer for example, a polysilicon film, an amorphous silicon film, etc.
  • benzotriazole-based compound examples include, for example, benzotriazole, 5,6-dimethylbenzotriazole, 1-hydroxybenzotriazole, 1-methylbenzotriazole, 1-aminobenzotriazole, 1-phenyl Benzotriazole, 1-hydroxymethylbenzotriazole, 1-methylbenzotriazolecarboxylate, 5-benzotriazolecarboxylic acid, 1-methoxybenzotriazole, 1_ (2,2-dihydroxy) Butyl) -benzotriazole, 1- (2,3-dihydroxypropyl) benzotriazole, or 2,2 '— ([[( 4-Methyl-1H-benzotriazole_1-yl) methyl] imino ⁇ bisethanol, 2, 2 '- ⁇ [( 5 _Methyl-1H-benzotriazole-1-yl) methyl] imino ⁇ bisethanol, 2, 2 '— ⁇ [(4-Methyl-1H-benzotriazo-1-
  • 1- (2,3-dihydroxypropyl) -benzotriazol, 2, 2 '- ⁇ [(4-methyl-1H-benzotriazol-1-11) methyl] imino ⁇ bisethanol, 2, 2 '- ⁇ [(5-Methyl-1H-benzotriazoyl-11-yl) methyl] imino ⁇ bisphenol is preferably used.
  • One or more benzotriazole compounds can be used.
  • mercapto group-containing compound a compound having a structure having a hydroxyl group and a Z or hydroxyl group in at least one of the 3-position and the 3-position carbon atom bonded to the mercapto group is preferable.
  • Specific examples of such compounds include 1-thioglycerol, 3- (2-aminophenylthio) -2-hydroxypropylmercaptan,
  • 3- (2-Hydroxyethylthio) 1-2-hydroxypropylmercaptan, 2-mercaptopropionic acid, 3-mercaptopropionic acid and the like are preferred.
  • 11-thioglycerol is particularly preferably used.
  • One or more mercapto group-containing compounds can be used.
  • the compounding amount varies depending on the photoresist stripping solution used. It is preferable to mix them by about 1 to 10% by mass, and it is particularly preferable to mix them by about 0.5 to 5% by mass. The upper limit of the total compounding amount is preferably about 15% by mass or less.
  • the photoresist stripping solution used in the present invention may further contain an acetylene alcohol'alkylene oxide adduct obtained by adding an alkylene oxide to acetylene alcohol from the viewpoint of improving permeability.
  • R is a hydrogen atom or the following formula (V)
  • the compound represented by is preferably used.
  • This acetylenic alcohol is commercially available as a series of, for example, “Surfinol” and “Olfine” (both are manufactured by Air Product and Chemicals Inc.), and is suitably used.
  • “Surfinol 104”, “Surfinol 82” or a mixture thereof is most preferably used in view of its physical properties.
  • “Olfin B”, “Olfin P”, “Olfin Y” and the like can be used.
  • acetylene alcohol ⁇ alkylene oxide adduct represented by the following general formula (VI) 16
  • R 15 is a hydrogen atom or the following formula (VII)
  • R 16 , R 17 , R 18 , and R 19 each independently represent a hydrogen atom or an alkyl group having 1 to 6 carbon atoms.
  • (n + m) represents an integer from 1 to 30, and the properties such as solubility in water and surface tension vary slightly depending on the number of added ethylene oxide.
  • Acetylene alcohol / alkylene oxide adduct is a substance known per se as a surfactant. These are commercially available as “Safinolol” (manufactured by Air Product and Chemicals Inc.) or “Acetyleneol” (manufactured by Kawaken Fine Chemicals Co., Ltd.) and are preferably used. Above all, consider changes in properties such as solubility in water and surface tension due to the number of ethylene oxide added.
  • a mixture of “acetylenol EL” and “acetylenol EH” is preferably used.
  • a mixture of “acetylenol EL” and “acetylenol EH” in a ratio of 2: 8 to 4: 6 (mass ratio) is particularly preferably used.
  • the permeability of the stripping solution itself can be improved, and the wettability can be improved.
  • the compounding amount is preferably about 0.05 to 5% by mass, and particularly preferably about 0.1 to 2% by mass.
  • the photoresist stripping solution used in the present invention can be advantageously used for photoresists that can be developed with aqueous solutions, including negative and positive photoresists.
  • photoresists include (i) a positive photoresist containing a naphthoquinonediazide compound and a novolak resin; (ii) a compound that generates an acid upon exposure to light; (Iii) a compound that generates an acid upon exposure to light, a soluble resin having a group that is decomposed by an acid and increases the solubility in an aqueous solution of an aqueous solution And (iv) a compound capable of generating an acid by light, a negative photoresist containing a crosslinking agent and a soluble resin, and the like, but is not limited thereto.
  • the photoresist stripping solution is brought into contact with the substrate that has undergone the step (ii) to remove and remove the post-etching residue and the photoresist pattern.
  • the contact method is not particularly limited, but is usually applied by an immersion method, a paddle method, or a spray method.
  • the stripping time is not particularly limited as long as it is a time sufficient for stripping.
  • a decomposition treatment using ozone water and sulfuric acid or hydrogen peroxide water is performed prior to the removal step using a stripping solution.
  • a rinsing process and a drying process using a conventionally-used organic solvent, water, or the like may be performed.
  • a conventionally-used organic solvent water, or the like
  • the organic solvent a lower alcohol is preferable, and among them, isopropyl alcohol and the like are preferably used.
  • a “via first” method in which a via hole is formed first and then a trench is formed, and a via hole is formed after the trench is formed It may include, but is not limited to, any of the “trench first” methods.
  • the low dielectric layer is etched using the photoresist pattern (first photoresist pattern) as a mask to communicate with the metal layer on the substrate (when a substrate having a barrier layer is used). Is connected to the metal layer via a barrier layer) to form a via hole, and is then contacted with a photoresist stripping solution used in the present invention to strip the first photoresist pattern. Subsequently, a new photoresist pattern (second photoresist pattern) is formed on the remaining low dielectric layer, and the low dielectric layer is partially etched using this as a mask to form a trench communicating with the via hole. .
  • the substrate is brought into contact with the photoresist stripping solution used in the present invention, and Strip the second photoresist pattern.
  • a low-dielectric layer is first etched to a predetermined thickness using a photoresist pattern (first photoresist pattern) as a mask to form a trench, and then the photoresist used in the present invention is used. Contact with stripper Then, the first photoresist pattern is peeled off. Subsequently, a new photoresist pattern (second photoresist pattern) is formed on the remaining low dielectric layer, and using this as a mask, the low dielectric layer is etched in communication with the trench, and the lower part is formed on the substrate.
  • first photoresist pattern first photoresist pattern
  • second photoresist pattern second photoresist pattern
  • a via hole is formed that communicates with the Cu layer (if a substrate having a barrier layer is used, it communicates with the Cu layer on the substrate via the barrier layer).
  • the substrate is brought into contact with the photoresist stripping solution used in the present invention. To peel off the second photoresist pattern.
  • the via holes and trenches are filled with Cu by electrolytic plating or the like, thereby forming a multilayer Cu wiring.
  • TDUR-P722 (Tokyo Ohka Kogyo Co., Ltd.) is a positive photoresist on a substrate on which a Cu wiring is formed and an Si ⁇ C layer (Carbon-butoxide layer; low-k layer) is laminated on top of it. Co., Ltd.) and heated at 140 ° C. for 90 seconds to form a photoresist layer. This was selectively exposed using S—203B (manufactured by Nikon Corporation), followed by post-exposure baking at 140 ° C. for 90 seconds, and 2.38% by mass A photoresist pattern was formed by developing with an aqueous solution of ammonium hydroxide (TMAH). The SiOC layer was then etched.
  • TMAH ammonium hydroxide
  • the substrate after the above etching treatment is brought into contact with ozone water obtained by bubbling ozone gas with pure water for 15 minutes for 15 minutes. Subsequently, a photoresist stripper having a composition shown in Table 1 below (stripping) The solution was immersed (60 ° C, 30 minutes) in liquids A to F).
  • the substrates after the etching treatment obtained in the same manner as in Examples 1 to 6 were treated in exactly the same manner as in Examples 1 to 6, except that the step of bringing into contact with ozone water was omitted.
  • TPAH Tetrapropylammonium hydroxide
  • a positive type photoresist is formed on a substrate on which a Cu wiring is formed, and a SiN layer (barrier layer) and a Si ⁇ C layer (carbon-doped oxide layer; low-k layer) are sequentially stacked on top of it.
  • a certain TDUR-P722 manufactured by Tokyo Ohka Kogyo Co., Ltd. was applied and heated at 140 ° C. for 90 seconds to form a photoresist layer. This was selectively exposed using S-203B (manufactured by Nikon Corporation), followed by a post-exposure bake treatment at 140 ° C. for 90 seconds, and 2.38% by mass of tetraammonium hydroxide ( (TMAH) aqueous solution to form a photoresist pattern. Next, the S i OC layer was etched.
  • TMAH tetraammonium hydroxide
  • the substrate after the above etching treatment is brought into contact with ozone water obtained by bubbling ozone gas against pure water for 15 minutes for 15 minutes. Subsequently, a photoresist stripper having a composition shown in Table 2 below (stripping solution G ⁇ L) (60 ° C, 30 minutes).
  • the substrate after the etching treatment obtained in the same manner as in Examples 13 to 18 above was brought into contact with a 30% by mass hydrogen peroxide solution heated to 60 ° C. for 30 minutes, followed by a photoresist composition having the composition shown in Table 2 below.
  • MTPAH Methyltripropylammonium hydroxide
  • MTBAH Methyltributylammonium hydroxide
  • the photoresist film after etching in forming a fine pattern of the substrate that have a at least Cu wiring low dielectric layers, even in the process is not performed ⁇ 2 plasma Atsu single treatment, the photoresist film after etching
  • a photoresist stripping method capable of effectively stripping the etching residue, having no adverse effect on the dielectric constant of the low dielectric layer, and having excellent corrosion resistance of the low dielectric layer is provided.
  • the photoresist stripping method of the present invention is applied to the formation of fine patterns using at least a substrate having Cu wiring and a low dielectric layer, such as a dual damascene method, and in particular, semiconductors such as ICs and LSIs.
  • the method is suitably applied to a photoresist stripping method in a process that does not include an O 2 plasma ashes step, which has been conventionally used.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

明 細 書 ホトレジスト剥離方法 技術分野
本発明は少なくとも銅 (CU) 配線と低誘電体層を有する基板上に設けたホト レジストを剥離する方法に関する。 本発明は特に、 I Cや L S I等の半導体素子 等の製造において、 従来から慣用されている 02プラズマアツシング工程を含ま ないプロセスでのホトレジストの剥離方法に好適に適用される。 背景技術
I Cや LS I等の半導体素子は、 シリコンゥエーハ等の基板上に CVD蒸着等 により形成された導電性金属層、 絶縁層や低誘電体層上にホトレジストを均一に 塗布し、 これを選択的に露光、 現像処理をしてホトレジストパターンを形成し、 このパターンをマスクとして上記 CVD蒸着された導電性金属層、 絶縁層や低誘 電体層を選択的にエッチングし、 微細回路を形成した後、 不要のホトレジスト層 を剥離液で除去して製造される。
近年、 半導体素子の高集積化とチップサイズの縮小化に伴い、 配線回路の微細 化および多層化が進む中、 半導体素子では用いる金属層の抵抗 (配線抵抗) と配 線容量に起因する配線遅延なども問題視されるようになつてきた。 このため、 配 線材料として従来おもに使用されてきたアルミニウム (A 1) よりも抵抗の少な い金属、 例えば銅 (Cu) などを用いることが提案され、 最近では、 A 1配線(A 1、 A 1合金など、 A 1を主成分とする金属配線) を用いたものと、 Cu配線(C u、 Cu合金など、 Cuを主成分とする金属配線) を用いたものの 2種類のデバ イスが用いられるようになつてきた。
Cu金属配線の形成においては、 Cuのエッチング耐性が低いこともあり、 デ ユアルダマシン法を用いて、 Cuをエッチングすることなく Cu多層配線を形成 する方法が用いられている。 デュアルダマシン法としては種々の方法が提案され ているが、 その一例を挙げると、 基板上に C u層、 低誘電体層 (S i〇C層など) 等を多層積層した後、 最上層にホトレジスト層を設け、 次いで該ホトレジスト層 を選択的に露光、現像してホトレジストパターン(第 1のホトレジストパターン) を形成する。 この第 1のホトレジストパ夕一ンをマスクとして低誘電体層をエツ チング後、 02プラズマアツシング処理等により第 1のホトレジストパターンを 剥離し、 基板上の C u層に連通するビアホールを形成する。 次いで、 残存する多 層積層の最上層に新たにホトレジストパターン (第 2のホトレジストパターン) を形成し、 これをマスクとして残存する低誘電体層を部分的にエッチングして、 前記ビアホールに連通する配線用の溝 (トレンチ) を形成する。 そして〇2ブラ ズマアツシング処理等により第 2のホトレジストパ夕一ンを剥離した後、 ビアホ ール、 トレンチ内に電解めつき等により C uを充填することにより、 多層 C u配 線が形成される。
なお、 C u層と低誘電体層との間にエッチングストツバ一層としてのバリァ層 ( S i N層、 S i C層など) を設けた基板を用いる場合もある。 このような場合 は、 ビアホールやトレンチを形成 (エッチング) した後、 基板上に露出するバリ ァ層を残存させたまま、 あるいは該バリア層を除去した後、 ホトレジスト剥離処 理を行い、 次いでビアホール、 トレンチ内に C uを充填する。
このようなデュアルダマシン法においては、 上記ビアホール、 トレンチ形成の エッチング処理、 プラズマアツシング処理等により、 低誘電体層に由来する S i 系残渣物 (S iデポジション) が発生しやすく、 これがトレンチの開口部外周に S iデポジションとして残渣物が形成されることがある。 またホトレジスト由来 の残渣物も発生しやすい。 したがってこれら残渣物が完全に除去されないと、 半 導体製造の歩留まりの低下をきたすなどの問題を生じる。
このように従来、 金属配線パターン形成では、 ホトレジストパターンやエッチ ング後残渣物の除去に 02プラズマアツシング処理を用いていた。 しかしパター ンの超微細化が進む中、 C u配線基板に用いられる低誘電体層は、 より低い誘電 率の材料が使用されるようになり、 現在では誘電率が 3以下の低誘電体層を用い るプロセスが開発されている段階にある。このような低誘電率の材料( 1 ow— k材) は、 アツシング耐性が弱い、 若しくはアツシング耐性がないともいわれ、 l ow _ k 材を用いる場合は、 エッチング後、 〇2プラズマアツシング工程を行わないプロ セスを採用する必要がある。
したがって微細化、 多層化が進んだ半導体素子製造におけるホトリソグラフィ 一において、 従来から慣用されている 02プラズマアツシング処理を行わないプ ロセスにおいても、 o 2プラズマアツシング工程を採用するプロセスと同程度若 しくはそれ以上のホトレジスト剥離性、 エッチング後残渣物の剥離性に優れるホ トレジスト剥離方法の開発が急務となっている。
また C u配線を用いたデュアルダマシン法において、 バリア層 (エッチングス トッパー層) を有する基板を用いて C u層上にバリア層を残存させたままホトレ ジストゃエッチング後残渣物の剥離を行う場合は、 C u層をホトレジスト用剥離 液に直接接触させることなく剥離処理を行うことができるので、 それに応じてこ れら剥離処理をより効率的に行うことが望ましい。
なお、 特開平 1 1— 7 4 1 8 0号公報 (特許文献 1 ) には、 ホトレジストの剥 離に先立ち、 A 1等の金属配線を用いた半導体基板を酸化剤 (過酸化水素) を含 有する洗浄液で洗浄した後、 剥離液を使用してホトレジスト剥離を行う技術が示 されている。 そして上記剥離液として、 同文献 1の 【0 0 0 7】 には、 アルカノ ールァミンを主剤とする剥離液、 フッ素系剥離液とともに、 特開昭 6 3— 1 4 7 1 6 8号公報 (特許文献 2 ) に記載されるようなテトラメチルヒドロキシルアン モニゥム (TMAH) 系剥離液も用いられるとして一行記載 (例示列挙) されて いる。 しかしながら、 特許文献 1で実際に効果の確認を行っている剥離液はモノ エタノールァミン系のものであり、 また特許文献 1、 2のいずれにも、 本願発明 が企図するようなデュアルダマシン法に適したホトレジスト剥離方法に関する記 載や示唆は全くない。
また特開平 1 1一 2 3 3 4 0 5号公報 (特許文献 3 ) には、 A 1等の金属配線 を用いた半導体基板をドライエッチング後、 ホトレジストパターンを酸化剤およ び有機酸からなる洗浄液で洗浄した後、 レジスト剥離液で除去する半導体素子の 製造方法が示されているが、 該公報においても、 実際に効果の確認を行っている 剥離液はモノエタノールァミン系のものであり、 また本願発明が企図するような デュアルダマシン法に適したホトレジスト剥離方法に関する記載、 示唆は全くな い。
特許文献 1 特開平 1 1— 7 4 1 8 0号公報
特許文献 2 特開昭 6 3 - 1 4 7 1 6 8号公報
特許文献 3 特開平 1 1 一 2 3 3 4 0 5号公報 発明の開示
本発明は上記事情に鑑みてなされたもので、 少なくとも銅 (C u ) 配線と低誘 電体層を有する基板の微細パターン形成において、 〇2プラズマアツシング処理 を行わないプロセスにおいても、 エッチング後のホトレジスト膜、 エッチング残 渣物を効果的に剥離することができ、 しかも低誘電体層の誘電率への悪影響を及 ぼさず、 防食性にも優れるホトレジスト剥離方法を提供することを目的とする。 上記課題を解決するために本発明は、
(I) 少なくとも銅 (C u ) 配線と低誘電体層を有する基板上に設けられたホト レジストパターンをマスクとして低誘電体層を選択的にエッチングする工程、
(I I) 前記 (I) 工程を経た基板をオゾン水および/または過酸化水素水に接触 させる工程、 および
(I I I) 前記 (I I) 工程を経た基板を、 少なくとも第 4級アンモニゥム水酸化物 を含有するホトレジスト用剥離液に接触させる工程
を含む、 ホトレジスト剥離方法を提供する。 発明を実施するための最良の形態
以下、 本発明について詳述する。
(I) 工程:
公知のホトリソグラフィー技術を適用することができる。 例えば、 シリコンゥ エーハ等の基板上に銅 (C u ) 配線を形成し、 この上に低誘電体層を形成する。 所望により、 中間層として C u配線上にバリア層 (エッチングストッパー層) を 設けてもよく、 また、 絶縁層等を設け、 多層積層させてもよい。
本発明において、 銅 (Cu) 配線とは、 純銅からなる配線、 銅を主成分として 含む銅合金 (例えば A 1— S i _Cu、 A 1一 Cu等) からなる配線のいずれも 含む。 本発明では金属配線として A 1系配線など、 Cu配線以外の金属配線を形 成してもよい。金属層の形成は CVD蒸着、電解めつき法などにより行われるが、 特に限定されるものでない。
バリア層(エッチングストッパー層) としては、 S i N層、 S i C層、 Ta層、 T a N層などが挙げられるが、 これら例示に限定されるものでない。
低誘電体層としては、 本発明では誘電率 3以下の材料が特に好ましく用いられ る。 なお誘電率とは、 電束密度を D、 電界の強さを Eとすれば、 D= £ Eの関係 で示される比例の定数 (ε) をいう。
上記低誘電体層としては、 「ブラックダイァモンド」 (Applied Materials社製)、 「コーラル」 (Novelus Systems社製)、 「Au r o r a」 (日本 ASM社製) などの カーポンド一プドシリコンォキシド (S i〇C)系材料;「〇CD T—7」、 「〇 CD T一 9」、 「〇CD T— 11」、 「OCD T— 31」、 「OCD T— 3 9」 (いずれも東京応化工業社製)などの MSQ (メチルシルセスキォキサン) 系 材料; 「OCD T_ 12」、 「〇CD T_ 32」 (いずれも東京応化工業社製) などの HSQ (ヒドロキシシルセスキォキサン) 系材料等の低誘電率材料(low - k 材料)が好ましいものとして挙げられるが、これら例示に限定されるものでない。 低誘電体層の形成は、 上記例示した低誘電率材料 (low- k材料) を塗布後、 通 常 350°C以上の高温で焼成して結晶化することにより行われる。
次いでホトレジスト組成物を低誘電体層上に塗布、 乾燥した後、 露光、 現像等 により公知のホトリソグラフィー技術によりホトレジストパターンを形成する。 ホトレジスト組成物としては、 Kr F、 Ar F、 F2エキシマレーザ一、 ある いは電子線用に慣用されるホトレジスト組成物が好適に用いられるが、 特に限定 されるものでない。
露光、現像条件は、目的に応じて用いるホトレジストにより適宜、選択し得る。 露光は、 例えば紫外線、 遠紫外線、 エキシマレーザ、 X線、 電子線などの活性光 線を発光する光源、 例えば、 低圧水銀灯、 高圧水銀灯、 超高圧水銀灯、 キセノン ランプ等により、 所望のマスクパターンを介してホトレジスト層を露光するか、 あるいは電子線を操作しながらホトレジスト層に描画する。 その後、 必要に応じ て露光後加熱処理 (ポストェクスポ一ジャーべ一ク) を行う。
現像方法は特に限定されるものでなく、 例えばホトレジストが塗布された基板 を現像液に一定時間浸漬した後、 水洗して乾燥する浸漬現像、 塗布されたホトレ ジス卜の表面に現像液を滴下し、一定時間静置した後、水洗乾燥するパドル現像、 ホトレジスト表面に現像液をスプレーした後に水洗乾燥するスプレー現像等、 目 的に応じた種々の現像を行うことができる。
次いで、 形成されたホトレジストパターンをマスクとして、 上記低誘電体層を 選択的にドライエッチング等によりエッチングし、 ビアホールあるいはトレンチ (配線用の溝) を形成する。 本発明では、 デュアルダマシン法などが好適に適用 される。
( I I ) 工程:
上記エッチング工程後の基板を、 オゾン水および/または過酸化水素水に接触 させる。 該 (I I) 工程は、 後続の (I I I) 工程に先立ち、 ホトレジストパターン、 エッチング後残渣物等の分解を目的とする。
オゾン水は、 純水中にオゾンガスをパブリング等の手段により溶解させたもの を用いるのが好ましい。 オゾン含有濃度は 1 p p m以上〜飽和濃度が望ましい。 過酸化水素水は濃度 0 . 1〜6 0質量%程度の水溶液が好ましく、 特には 0 . 5 〜3 5質量%程度の水溶液が好ましい。
接触の方法は、 上記現像液処理の場合に示したような浸漬法、 パドル法、 シャ ヮ一法等が挙げられる。 好ましくはオゾン水および Zまたは過酸化水素水に 5〜 6 0分間程度浸漬する。
(I I I) 工程:
前記 (I I) 工程を経た基板を、 少なくとも第 4級アンモニゥム水酸化物を含有 するホトレジスト用剥離液に接触させて、 ホトレジストパターン、 エッチング後 残渣物を除去する。 上記第 4級アンモニゥム水酸化物としては、 下記一般式 (I)
Figure imgf000009_0001
〔式中、 R ,、 R2、 R3、 R4 は、 それぞれ独立に、 炭素原子数 l〜4のアルキル 基またはヒドロキシアルキル基を示す〕
で表される第 4級アンモニゥム水酸化物が好ましい。 具体的には、 テトラメチル アンモニゥムヒドロキシド〔= TMAH〕、テトラェチルアンモニゥムヒドロキシ ド、 テトラプロピルアンモニゥムヒドロキシド、 テトラプチルアンモニゥムヒド ロキシド、 メチルトリプロピルアンモニゥムヒドロキシド、 メチルトリプチルァ ンモニゥムヒドロキシド、トリメチルェチルアンモニゥムヒドロキシド、 (2—ヒ ドロキシェチル) トリメチルアンモニゥムヒドロキシド 〔=コリン〕、 (2—ヒド 口キシェチル) トリェチルアンモニゥムヒドロキシド、 (2—ヒドロキシェチル) トリプロピルアンモニゥムヒドロキシド、 (1—ヒドロキシプロピル)トリメチル アンモニゥムヒドロキシド等が例示される。 中でもテトラメチルアンモニゥムヒ ドロキシド、 テトラプチルアンモニゥムヒドロキシド、 テトラプロピルアンモニ ゥムヒドロキシド、 メチルトリプチルアンモニゥムヒドロキシド、 メチルトリプ 口ピルアンモニゥムヒドロキシド、 コリン等が、 C u、 S i系残渣物の剥離性、 ホトレジスト剥離性の点から好ましい。 第 4級アンモニゥム水酸化物は 1種また は 2種以上を用いることができる。
第 4級アンモニゥム水酸化物の配合量は、 ホトレジスト用剥離液中、 1〜 2 0 質量%程度が好ましく、 特には 2〜 1 0質量%程度である。
本発明に用いられるホトレジスト用剥離液は、 第 4級アンモニゥム水酸物に加 え、 通常、 水、 水溶性有機溶媒が含有される。 水の配合量は 5〜6 0質量%程度 が好ましく、 特には 1 0〜 5 0質量%である。 残部は水溶性有機溶媒である。 水溶性有機溶媒としては、 ジメチルスルホキシド等のスルホキシド類;ジメチ ルスルホン、 ジェチルスルホン、 ビス (2—ヒドロキシェチル) スルホン、 テト ラメチレンスルホン 〔ニスルホラン〕 等のスルホン類; N, N—ジメチルホルム アミド、 N—メチルホルムアミド、 N, N—ジメチルァセトアミド、 N—メチル ァセトアミド、 N, N—ジェチルァセトアミド等のアミド類; N—メチルー 2— ピロリドン、 N—ェチルー 2—ピロリ ドン、 N—プロピル一 2—ピロリ ドン、 N ーヒドロキシメチル一 2—ピロリドン、 N—ヒドロキシェチルー 2 _ピロリドン 等のラクタム類; 1 , 3—ジメチル— 2—イミダゾリジノン、 1 , 3—ジェチル 一 2 —イミダゾリジノン、 1, 3 —ジィソプロピル— 2—イミダゾリジノン等の イミダゾリジノン類;エチレングリコール、 エチレングリコ一ルモノメチルエー テル、 エチレングリコールモノェチルエーテル、 エチレングリコールモノブチル エーテル、 エチレングリコールモノメチルェ一テルアセテート、 エチレングリコ —ルモノエチルェ一テルアセテート、 ジエチレングリコール、 ジエチレングリコ —ルモノメチルエーテル、 ジエチレングリコールモノェチルエーテル、 ジェチレ ングリコールモノブチルエーテル、 プロピレングリコ一ルモノメチルエーテル、 プロピレングリコ一ルモノェチルエーテル、 プロピレングリコ一ルモノプロピル エーテル、 プロピレングリコールモノプチルェ一テル等の多価アルコール類およ びその誘導体などが挙げられる。 中でも、 ジメチルスルホキシド、 ジメチルイミ ダゾリジノン、 N—メチルー 2—ピロリドン、 ジエチレングリコールモノブチル エーテル、 スルホラン、 N, N—ジメチルァセトアミド、 および N, N—ジメチ ルホルムアミド等が好ましく用いられる。 水溶性有機溶媒は 1種または 2種以上 を用いることができる。
上記ホトレジスト用剥離液にはさらに、 所望により水溶性アミンを配合しても よい。 水溶性ァミンとしては、 モノエタノールァミン、 ジエタノールァミン、 ト リエ夕ノールァミン、 2— ( 2—アミノエトキシ) エタノール、 N, N—ジメチ ルエタノールァミン、 N, N—ジェチルエタノールァミン、 N, N—ジブチルェ 夕ノールァミン、 N—メチルエタノールァミン、 N—ェチルエタノールァミン、 N—ブチルエタノールァミン、 N—メチルジェ夕ノールァミン、 モノイソプロパ ノールァミン、 ジイソプロパノ一ルァミン、 トリイソプロパノールァミン等のァ ルカノ一ルァミン類;ジエチレントリァミン、 トリエチレンテトラミン、 プロピ レンジァミン、 N, N—ジェチルエチレンジァミン、 1 , 4一ブタンジァミン、 N—ェチル—エチレンジァミン、 1 , 2 _プロパンジァミン、 1 , 3—プロパン ジァミン、 1, 6—へキサンジァミン等のポリアルキレンポリアミン類; 2—ェ チルーへキシルァミン、 ジォクチルァミン、 トリプチルァミン、 トリプロピルァ ミン、 トリァリルアミン、 ヘプチルァミン、 シクロへキシルァミン等の脂肪族ァ ミン;ベンジルァミン、 ジフエ二ルァミン等の芳香族ァミン類; ピぺラジン、 N —メチルーピペラジン、 メチルーピペラジン、 ヒドロキシェチルピペラジン等の 環状アミン類等が挙げられる。 中でも、 モノエタノールァミン、 2— ( 2—アミ ノエトキシ) エタノール、 N—メチルエタノールァミン等が、 ホトレジストゃェ ツチング残渣物の除去性、 金属配線に対する防食性など点から好ましく用いられ る。 水溶性アミンを配合する場合、 その配合量はホトレジスト用剥離液中、 1 0 〜5 0質量%程度とするのが好ましい。
また、 おもに剥離性除去の点から、 さらにカルボキシル基含有酸性化合物、 フ ッ化水素酸と金属イオンを含まない塩基との塩などを配合してもよい。
カルボキシル基含有酸性化合物としては、 酢酸、 プロピオン酸、 グリコール酸 などが好ましいものとして挙げられる。 力ルポキシル基含有酸性化合物を配合す る場合、 ホトレジスト用剥離液中 2〜2 0質量%程度とするのが好ましい。 フッ化水素酸と金属イオンを含まない塩基との塩としてはフッ化アンモニゥム などが好ましいものとして挙げられる。 フッ化水素酸と金属イオンを含まない塩 基との塩を配合する場合、 ホトレジスト用剥離液中 0 . 1〜1 0質量%程度とす るのが好ましい。
上記ホトレジスト用剥離液には、 さらに、 所望により、 特に中間層としてのバ リア層 (エッチングストッパー層) を設けない基板を用いる場合や、 あるいはバ リア層を設けた基板を用いる場合であってバリア層をエッチング除去した後にホ トレジスト剥離処理を行うような場合は、 C U配線の防食性等の点から、 防食剤 として芳香族ヒドロキシ化合物、 ベンゾトリアゾール系化合物、 およびメルカプ ト基含有化合物の中から選ばれる少なくとも 1種を配合するのが望ましい。 上記芳香族ヒドロキシ化合物としては、 具体的にはフエノール、 クレゾール、 キシレノール、 ピロカテコール 〔=1, 2—ジヒドロキシベンゼン〕、 t e r t— プチルカテコール、 レゾルシノール、 ヒドロキノン、 ピロガロール、 1, 2, 4 一ベンゼントリオ一ル、 サリチルアルコール、 p—ヒドロキシベンジルアルコー ル、 o—ヒドロキシベンジルアルコール、 p—ヒドロキシフエネチルアルコール、 p—ァミノフエノール、 m—ァミノフエノール、 ジァミノフエノール、 アミノレ ゾルシノール、 p—ヒドロキシ安息香酸、 0—ヒドロキシ安息香酸、 2 , 4—ジ ヒドロキシ安息香酸、 2, 5—ジヒドロキシ安息香酸、 3, 4ージヒドロキシ安 息香酸、 3, 5 _ジヒドロキシ安息香酸、 没食子酸等を挙げることができる。 中 でもピロカテコール、 ピロガロール、 没食子酸等が好適に用いられる。 芳香族ヒ ドロキシ化合物は 1種または 2種以上を用いることができる。
上記べンゾトリアゾール系化合物としては、 下記一般式 (II)
Figure imgf000012_0001
〔式中、 R5、 R6 は、 それぞれ独立に水素原子、 置換若しくは非置換の炭素原 子数 1〜 10の炭化水素基、 力ルポキシル基、 アミノ基、 水酸基、 シァノ基、 ホ ルミル基、 スルホニルアルキル基、 またはスルホ基を示し ; Qは水素原子、 水酸 基、 置換若しくは非置換の炭素原子数 1〜10の炭化水素基 (ただし、 その構造 中にアミド結合、 エステル結合を有していてもよい)、 ァリール基、 または下記式
(III)
R7— N、 (III)
R9
(式 (III) 中、 R7 は炭素原子数 1〜6のアルキル基を示し; R8、 R9 は、 そ れぞれ独立に、 水素原子、 水酸基、 または炭素原子数 1〜6のヒドロキシアルキ ル基若しくはアルコキシアルキル基を示す) で表される基を示す〕
で表されるベンゾトリアゾール系化合物が挙げられる。
本発明において、 上記基 Q、 R5、 R6 の各定義中、 炭化水素基としては、 芳 香族炭化水素基または脂肪族炭化水素基のいずれでもよく、 また飽和、 不飽和結 合を有していてもよく、 さらに直鎖、 分岐鎖のいずれでもよい。 置換炭化水素基 としては、例えばヒドロキシアルキル基、アルコキシアルキル基等が例示される。 また、 純 Cu配線が形成された基板の場合、 上記一般式 (II) 中、 Qとしては 特に上記式 (III) で表される基のものが好ましい。 中でも式 (III) 中、 R8 、 R9 として、 それぞれ独立に、 炭素原子数 1〜6のヒドロキシアルキル基若しく はアルコキシアルキル基を選択するのが好ましい。
また上記一般式 (II) 中、 Qとして、 水溶性の基を示すものも好ましく用いら れる。 具体的には水素原子、 炭素原子数 1〜3のアルキル基 (すなわち、 メチル 基、 ェチル基、 プロピル基、 イソプロピル基)、 炭素原子数 1〜3のヒドロキシァ ルキル基、 水酸基等が、 無機材料層 (例えば、 ポリシリコン膜、 アモルファスシ リコン膜、 等) を基板上に有する場合、 その防食性の点で好ましい。
ベンゾトリアゾール系化合物としては、 具体的には、 例えばべンゾトリァゾ一 ル、 5, 6—ジメチルペンゾトリアゾール、 1ーヒドロキシベンゾトリアゾール、 1—メチルベンゾトリァゾ一ル、 1ーァミノべンゾトリァゾール、 1一フエニル ベンゾトリァゾール、 1—ヒドロキシメチルベンゾトリアゾ一ル、 1一べンゾト リアゾールカルボン酸メチル、 5—べンゾトリァゾ一ルカルボン酸、 1—メトキ シ—ベンゾトリァゾ一ル、 1 _ (2, 2—ジヒドロキシェチル) —ベンゾトリア ゾ一ル、 1— (2, 3—ジヒドロキシプロピル) ベンゾトリアゾ一ル、 あるいは 「IRGAMET」 シリーズとしてチバ 'スぺシャリティー ·ケミカルズより市販されて いる、 2, 2' — {[(4—メチル一 1H—ベンゾトリアゾール _ 1—ィル) メチ ル] イミノ} ビスエタノール、 2, 2 ' - {[(5 _メチル一 1 H—ベンゾトリア ゾールー 1 _ィル) メチル] イミノ} ビスエタノール、 2, 2' — {[(4—メチ ル一 1H—ベンゾトリアゾ一ルー 1—ィル) メチル] イミノ} ビスェタン、 また は 2, 2 ' - {[(4一メチル _ 1H—ベンゾトリアゾール _ 1—ィル) メチル] イミノ} ビスプロパン等を挙げることができる。 これらの中でも、 1一 (2, 3 ージヒドロキシプロピル) —ベンゾトリアゾ一ル、 2, 2' — {[(4—メチル— 1 H—ベンゾトリアゾ一ル— 1一ィル) メチル] イミノ} ビスエタノール、 2, 2 ' 一 {[(5—メチルー 1 H—べンゾトリアゾ一ル— 1一ィル) メチル] イミノ} ビスェ夕ノール等が好ましく用いられる。 ベンゾトリアゾール系化合物は 1種ま たは 2種以上を用いることができる。
上記メルカブト基含有化合物としては、 メルカプト基に結合する炭素原子のひ 位、 )3位の少なくとも一方に、 水酸基および Zまたは力ルポキシル基を有する構 造の化合物が好ましい。 このような化合物として、 具体的には 1一チォグリセ口 ール、 3—(2—ァミノフエ二ルチオ)— 2—ヒドロキシプロピルメルカプタン、
3 - (2—ヒドロキシェチルチオ) 一 2—ヒドロキシプロピルメルカブタン、 2 一メルカプトプロピオン酸、 および 3—メルカプトプロピオン酸等が好ましいも のとして挙げられる。 中でも 1一チォグリセロールが特に好ましく用いられる。 メルカプト基含有化合物は 1種または 2種以上を用いることができる。
芳香族ヒドロキシ化合物、 ベンゾトリアゾール系化合物、 メルカプト基含有化 合物を配合する場合、 その配合量は、 用いるホトレジスト用剥離液によっても異 なるが、 上記各群の化合物を併用する場合、 それぞれを 0. 1〜10質量%程度 ずつ配合するのが好ましく、 特には 0. 5〜 5質量%程度ずつ配合するのがより 好ましい。 また総合計配合量の上限は 1 5質量%以下程度とするのが好ましい。 本発明に用いられるホトレジスト用剥離液には、さらに、浸透性向上の点から、 アセチレンアルコールに対してアルキレンォキシドを付加したアセチレンアルコ 一ル 'アルキレンォキシド付加物を配合してもよい。
上記アセチレンアルコールとしては、 下記一般式 (IV)
R11
R10— C≡C— C— OH (IV)
R12
(ただし、 R,。 は水素原子または下記式 (V)
13
― C— OH (V)
π14
で表される基を示し; Ru、 R12、 R13、 R14はそれぞれ独立に水素原子、 炭 素原子数 1〜 6のアルキル基を示す)
で表される化合物化合物が好ましく用いられる。
このアセチレンアルコールは、例えば「サーフィノール」、 「オルフイン」 (以上 いずれも Air Product and Chemicals Inc.製) 等のシリーズとして市販されてお り、 好適に用いられる。 中でもその物性面から 「サーフィノール 104」、 「サー フィノール 82」 あるいはこれらの混合物が最も好適に用いられる。 他に 「オル フィン B」、 「オルフイン P」、 「オルフイン Y」 等も用いることができる。
上記アセチレンアルコールに付加されるアルキレンォキシドとしては、 ェチレ ンォキシド、 プロピレンォキシドあるいはその混合物が好ましく用いられる。 本発明では、 アセチレンアルコール ·アルキレンォキシド付加物として下記一 般式 (VI) 16
R15— C≡C— C— 0~("CH2CH20) ~ H (VI)
R17
(ただし、 R15は水素原子または下記式 (VII)
Figure imgf000015_0001
で表される基を示し; R1 6 、 R1 7 、 R1 8 、 R1 9 はそれぞれ独立に水素原 子、 炭素原子数 1〜6のアルキル基を示す)
で表される化合物が好ましく用いられる。 ここで (n+m) は 1〜30までの整 数を表し、 このエチレンォキシドの付加数によって水への溶解性、 表面張力等の 特性が微妙に変わってくる。
アセチレンアルコール ·アルキレンォキシド付加物は、 界面活性剤としてそれ 自体は公知の物質である。 これらは 「サ一フィノール」 (Air Product and Chemicals Inc.製) のシリーズ、 あるいは 「ァセチレノール」 (川研ファインケミ カル (株) 製) のシリーズ等として市販されており、 好適に用いられる。 中でも エチレンォキシドの付加数による水への溶解性、 表面張力等の特性の変化等を考 慮すると、 「サ一フィノール 440」 (n+m=3.5)、 「サーフィノール 465」 (n+m=10)、 「サーフィノール 485」 (n +m= 30)、「ァセチレノール E L」 (n+m=4)、 「ァセチレノール EH」 (n +m= 10)、あるいはそれらの混 合物が好適に用いられる。 特には 「ァセチレノール EL」 と 「ァセチレノール E H」 の混合物が好ましく用いられる。 中でも、 「ァセチレノール EL」 と 「ァセチ レノール EH」 を 2 : 8〜4 : 6 (質量比) の割合で混合したものが特に好適に 用いられる。
このアセチレンアルコール ·アルキレンォキシド付加物を配合することにより、 剥離液自体の浸透性を向上させ、 濡れ性を向上させることができる。
本発明剥離液中にアセチレンアルコール ·アルキレンォキシド付加物を配合す る場合、 配合量は 0. 05〜5質量%程度が好ましく、 特には 0. 1〜2質量% 程度である。
本発明に用いられるホトレジスト用剥離液は、 ネガ型およびポジ型ホトレジス トを含めてアル力リ水溶液で現像可能なホトレジストに有利に使用できる。 この ようなホトレジストとしては、 (i) ナフトキノンジアジド化合物とノボラック樹 脂を含有するポジ型ホトレジスト、 (i i)露光により酸を発生する化合物、酸によ り分解しアル力リ水溶液に対する溶解性が増大する化合物およびアル力リ可溶性 樹脂を含有するポジ型ホトレジスト、 (iii) 露光により酸を発生する化合物、 酸 により分解しアル力リ水溶液に対する溶解性が増大する基を有するアル力リ可溶 性樹脂を含有するポジ型ホトレジスト、 および (iv) 光により酸を発生する化合 物、 架橋剤およびアル力リ可溶性樹脂を含有するネガ型ホトレジスト等が挙げら れるが、 これらに限定されるものではない。
当該 (III) 工程では、 かかるホトレジスト用剥離液を、 (Π) 工程処理を経た 基板に接触させて、エッチング後残渣物、ホトレジストパターンを剥離除去する。 接触方法は特に限定されるものでないが、 通常、 浸漬法、 パドル法、 スプレー法 により施される。 剥離時間は、 剥離されるに十分な時間であればよく、 特に限定 されるものではない。 本発明では、 剥離液による除去工程前に、 オゾン水および ノまたは過酸化水素水による分解処理を施し、 次いで、 上記第 4級アンモニゥム 水酸化物を少なくとも含有するホトレジスト用剥離液で剥離処理をすることから 02プラズマアツシング処理を施さなくとも、 それと同等若しくはそれ以上の剥 離効果でエッチング後残渣物、 ホトレジストパターンを除去することができ、 か つ、 低誘電体層の防食性に優れる。 したがって、 アツシング耐性がほとんどない といわれる低誘電体層 (1 0W— k層) を形成した基板においても、 該低誘電体層に 誘電率の変動を及ぼす等の悪影響がなく、 かつ腐食のない、 優れたホトレジスト 剥離効果が得られる。
上記剥離工程の後、 慣用的に施されている有機溶媒、 水等を用いたリンス処理 および乾燥処理を施してもよい。 有機溶媒としては低級アルコールが好ましく、 中でもイソプロピルアルコール等が好ましく用いられる。
なお、 本発明に好適に適用されるデュアルダマシン法は、 公知の方法を用いる ことができ、 ビアホールを先に形成した後トレンチを形成する「ビアファースト」 法、 トレンチを形成した後ビアホールを形成する 「トレンチファースト」 法のい ずれも含み得るが、 これらに限定されるものではない。
「ビアファースト法」 では、 ホトレジストパターン (第 1のホトレジス卜パ夕 ーン) をマスクとして低誘電体層をエッチングして、 基板上の金属層に連通 (バ リア層を有する基板を用いた場合は、 バリア層を介して金属層に連通) させて、 ビアホールを形成した後、 本発明に用いられるホトレジスト用剥離液に接触させ て上記第 1のホトレジストパターンを剥離する。 続いて残存する低誘電体層上に 新たなホトレジストパターン (第 2のホトレジストパターン) を形成し、 これを マスクとして低誘電体層を部分的にエッチングして、 上記ビアホールに連通する トレンチを形成する。 この後 (バリア層を有する基板を用いた場合は、 基板上に 露出するバリア層をエッチング除去する前、若しくは該バリア層を除去した後に) 本発明に用いられるホトレジスト用剥離液に接触させて上記第 2のホトレジスト パターンを剥離する。
一方、 「トレンチファースト」 法では、 まずホトレジストパターン (第 1のホト レジストパターン) をマスクとして低誘電体層を所定の厚さ分だけエッチングし て、 トレンチを形成した後、 本発明に用いられるホトレジスト用剥離液に接触さ せて、 上記第 1のホトレジストパターンを剥離する。 続いて残存する低誘電体層 上に新たなホトレジストパターン (第 2のホトレジストパターン) を形成し、 こ れをマスクとして、 トレンチと連通させて低誘電体層をエッチングし、 その下部 が基板上の C u層と連通 (バリア層を有する基板を用いた場合は、 バリア層を介 して基板上の C u層と連通) するビアホールを形成する。 この後 (バリア層を有 する基板を用いた場合は、 基板上に露出するバリア層をエッチング除去する前、 若しくは該バリア層を除去した後に)、本発明に用いられるホトレジスト用剥離液 に接触させて上記第 2のホトレジストパターンを剥離する。
上記いずれかの工程後、 ビアホール、 トレンチ内に電解めつき等により C uを 充填することにより、 多層 C u配線が形成される。 実施例
次に、 実施例により本発明をさらに詳細に説明するが、 本発明はこれらの例に よってなんら限定されるものではない。 なお、 配合量は特記しない限り質量%で 示す。
実施例 1〜 6
C u配線が形成され、その上層に S i〇C層(カーポンド一ブドォキサイド層; l ow— k層) が積層された基板上に、 ポジ型ホトレジストである T D U R— P 7 2 2 (東京応化工業 (株) 製) を塗布し、 1 4 0 °Cにて 9 0秒間加熱しホトレジス ト層を形成した。 これを S — 2 0 3 B (ニコン (株) 製) を用いて選択的に露光 処理し、 次いで 1 4 0 °Cにて 9 0秒間ポストェクスポ一ジャベーク処理を行い、 2 . 3 8質量%テトラアンモニゥムヒドロキシド (TMAH) 水溶液にて現像処 理し、 ホトレジストパターンを形成した。 次いで S i O C層をエッチングした。 上記エッチング処理後の基板を、 純水に対してオゾンガスを 1 5分間バブリン グすることにより得られたオゾン水に 1 5分間接触させ、 続いて下記表 1に示す 組成のホトレジスト用剥離液 (剥離液 A〜F ) に浸漬 (6 0 °C、 3 0分間) 処理 した。
このときの基板表面を S E M (走査型電子顕微鏡) により観察したところ、 ホ トレジストパターン、 エッチング残渣物は完全に除去されていた。 また低誘電体 層の腐食もみられなかった。
実施例 7〜: L 2
上記実施例 1〜6と同様にして得たエッチング処理後の基板を、 60 に加熱 した 30質量%過酸化水素水に 30分間接触させ、 続いて下記表 1に示す組成の ホトレジスト用剥離液 (剥離液 A〜F) に浸漬 (60°C、 30分間) 処理した。
このときの基板表面を SEM (走査型電子顕微鏡) により観察したところ、 ホ トレジストパターン、 エッチング残渣物は完全に除去されていた。 また低誘電体 層の腐食もみられなかった。
比較例 1〜 6
上記実施例 1〜 6と同様にして得たエッチング処理後の基板を、 オゾン水に接 触させる工程を除いた以外は、それぞれ実施例 1〜 6と全く同様にして処理した。
このときの基板表面を S E M (走査型電子顕微鏡)により観察したしたところ、 基板表面にはホトレジストパターン、 エッチング残渣物が完全には除去されずに 残存していた。
表— 1
配 合 成 分 (質量! 0
TPAH 關 P 水 DGA IR42 1-チ才ゲリセ口 剥離液 A
(5) (31) (40) (20) (2) -ル (2)
TBAH 關 P 水 DGA IR42 1-チ才ゲリセ口 剥離液 B
(5) (30) (30) (32) (1) -ル (2)
TPAH 画 P 水 DGA IR42 卜チ才ク 'リセ!] 剥離液 C
(5) (22.5) (40) (30) (1) ール (1.5)
TPAH NMP 水 EA IR42 剥離液 D
(5) (33) (40) (20) (2)
TPAH NMP 水 DGA 卜チ才ク 'リセ口 剥離液 E
(15) (17) (35) (30) -ル (3)
TPAH NMP 水 DGA IR42 1-チ才ク 'リセ口 剥離液 F
(5) (32.5) (20) (40) (1) -ル (1.5) なお、 表 1に示す各成分は以下のとおりである。
TPAH: テトラプロピルアンモニゥムヒドロキシド
TBAH: テトラプチルアンモニゥムヒドロキシド
NMP N—メチル一 2 _ピロリドン
DGA 2 - (2—アミノエ卜キシ) エタノール
MEA モノエタノールァミン
I R42 : 2, 2' _ {[(4—メチルー 1H—ベンゾトリアゾ一ル— 1—ィ ル) メチル] イミノ} ビスエタノール
実施例 13〜: 18
Cu配線が形成され、 その上層に S i N層 (バリア層)、 S i〇C層 (カーボン ドープドォキサイド層; low— k層) が順次積層された基板上に、 ポジ型ホトレジ ストである TDUR— P 722 (東京応化工業 (株) 製) を塗布し、 140°Cに て 90秒間加熱しホトレジスト層を形成した。 これを S— 203 B (ニコン (株) 製) を用いて選択的に露光処理し、 次いで 140°Cにて 90秒間ポストェクスポ —ジャベーク処理を行い、 2. 38質量%テトラアンモニゥムヒドロキシド (T MAH) 水溶液にて現像処理し、 ホトレジストパターンを形成した。 次いで S i OC層をエッチングした。
上記エッチング処理後の基板を、 純水に対してオゾンガスを 15分間バブリン グすることにより得られたオゾン水に 15分間接触させ、 続いて下記表 2に示す 組成のホトレジスト用剥離液 (剥離液 G〜L) に浸漬 (60°C、 30分間)) 処理 した。
このときの基板表面を SEM (走査型電子顕微鏡) により観察したところ、 ホ 卜レジストパターン、 エッチング残渣物は完全に除去されていた。 また低誘電体 層の腐食もみられなかった。
実施例 19〜 24
上記実施例 13〜18と同様にして得たエッチング処理後の基板を、 60°Cに 加熱した 30質量%過酸化水素水に 30分間接触させ、 続いて下記表 2に示す組 成のホトレジスト用剥離液 (剥離液 G〜L) に浸漬 (60t:、 30分間) 処理し た以外は、 実施例 1 3〜 1 8と同様にして処理した。
このときの基板表面を S E M (走査型電子顕微鏡) により観察したところ、 ホ トレジストパターン、 エッチング残渣物は完全に除去されていた。 また低誘電体 層の腐食もみられなかった。
比較例 7〜: L 2
上記実施例 1 3〜 1 8と同様にして得たエッチング処理後の基板を、 オゾン水 および/または過酸化水素水に接触させる工程を経ることなく、 下記表 2に示す 組成のホトレジスト用剥離液 (剥離液 G〜L ) に浸漬 (6 0 °C、 3 0分間) 処理 した。
このときの基板表面を S E M (走査型電子顕微鏡)により観察したしたところ、 基板表面にはホトレジストパターン、 エッチング残渣物が完全には除去されずに 残存していた。
表 2
Figure imgf000021_0001
なお、 表 2に示す各成分は以下のとおりである。
T MAH: テトラメチルアンモニゥムヒドロキシド
Chol ine : ( 2—ヒドロキシェチル) トリメチルアンモニゥムヒドロキシド 〔=コリン〕
TP AH : テトラプロピルアンモニゥムヒドロキシド
TBAH: テ卜ラブチルアンモニゥムヒドロキシド
MTPAH: メチルトリプロピルアンモニゥムヒドロキシド
MTBAH: メチルトリブチルアンモニゥムヒドロキシド
DMSO: ジメチルスルホキシド
NMP : N—メチル—2—ピロリドン
S L F : スルホラン
DGA: 2— (2—アミノエトキシ) エタノール
以上詳述したように本発明によれば、 少なくとも Cu配線と低誘電体層を有す る基板の微細パターン形成において、 〇 2プラズマアツシング処理を行わないプ ロセスにおいても、 エッチング後のホトレジスト膜、 エッチング残渣物を効果的 に剥離することができ、 しかも低誘電体層の誘電率への悪影響を及ぼさず、 低誘 電体層の防食性にも優れるホトレジスト剥離方法が提供される。 産業上の利用可能性
以上のように、 本発明のホトレジスト剥離方法は、 デュアルダマシン法など、 少なくとも Cu配線と低誘電体層を有する基板を用いて微細パターンの形成に適 用され、 特に、 I Cや LS I等の半導体素子等の製造において、 従来から慣用さ れている O 2プラズマアツシング工程を含まないプロセスでのホトレジストの剥 離方法に好適に適用される。

Claims

請 求 の 範 囲
1 . (I) 少なくとも銅 (C u ) 配線と低誘電体層を有する基板上に設けられ たホトレジストパターンをマスクとして低誘電体層を選択的にエッチングするェ 程、
(I I) 前記 (I) 工程を経た基板をオゾン水および または過酸化水素水に接触 させる工程、 および
(I I I) 前記 (I I) 工程を経た基板を、 少なくとも第 4級アンモニゥム水酸化物 を含有するホトレジスト用剥離液に接触させる工程
を含む、 ホトレジスト剥離方法。
2 . 誘電率が 3以下の低誘電体層である、 請求項 1記載のホトレジスト剥離 方法。
3 . 第 4級アンモニゥム水酸化物が、 (a ) 下記一般式 (I)
Rl
R2— N— R4 OH" (り
R3
〔式中、 R ,、 R2、 R3、 R4 は、 それぞれ独立に、 炭素原子数 1〜4のアルキル 基またはヒドロキシアルキル基を示す〕
で表される第 4級アンモニゥム水酸化物である、 請求項 1記載のホトレジス卜剥 離方法。
4 . ホトレジスト用剥離液が、 第 4級アンモニゥム水酸化物を 1〜2 0質 量%、 水を 5〜6 0質量%、 および水溶性有機溶媒を含む、 請求項 1記載のホト レジス卜剥離方法。
5 . 水溶性有機溶媒がジメチルスルホキシド、 ジメチルイミダゾリジノン、 N—メチルー 2 _ピロリドン、 ジエチレングリコールモノブチルエーテル、 スル ホラン、 N, N—ジメチルァセトアミド、 および N, N—ジメチルホルムアミド の中から選ばれる少なくとも 1種である、請求項 4記載のホトレジスト剥離方法。
6 . ホトレジスト用剥離液がさらに水溶性アミンを含む、 請求項 1記載のホ トレジスト剥離方法。
7 . ホトレジスト用剥離液がさらに芳香族ヒドロキシ化合物、 ベンゾトリア ゾ一ル系化合物、 およびメルカプト基含有化合物の中から選ばれる少なくとも 1 種を含む、 請求項 1記載のホトレジスト剥離方法。
8 . デュアルダマシン構造形成プロセスに用いる、 請求項 1記載のホトレジ スト剥離方法。
PCT/JP2003/005336 2002-04-26 2003-04-25 Procede de retrait de photoresist WO2003091806A1 (fr)

Priority Applications (8)

Application Number Priority Date Filing Date Title
KR1020047017197A KR100781925B1 (ko) 2002-04-26 2003-04-25 포토레지스트 박리 방법
EP03720958A EP1550912A4 (en) 2002-04-26 2003-04-25 METHOD FOR REMOVING PHOTORESIST
AU2003235130A AU2003235130A1 (en) 2002-04-26 2003-04-25 Method for removing photoresist
US10/512,586 US20050176259A1 (en) 2002-04-26 2003-04-25 Method for removing photoresist
US11/889,394 US20070298619A1 (en) 2002-04-26 2007-08-13 Method for stripping photoresist
US12/219,120 US20080280452A1 (en) 2002-04-26 2008-07-16 Method for stripping photoresist
US12/458,992 US20090291565A1 (en) 2002-04-26 2009-07-29 Method for stripping photoresist
US12/883,592 US8354215B2 (en) 2002-04-26 2010-09-16 Method for stripping photoresist

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2002125471 2002-04-26
JP2002-125471 2002-04-26
JP2002308993A JP3516446B2 (ja) 2002-04-26 2002-10-23 ホトレジスト剥離方法
JP2002-308993 2002-10-23

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US10512586 A-371-Of-International 2003-04-25
US11/889,394 Continuation US20070298619A1 (en) 2002-04-26 2007-08-13 Method for stripping photoresist

Publications (1)

Publication Number Publication Date
WO2003091806A1 true WO2003091806A1 (fr) 2003-11-06

Family

ID=29272357

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/005336 WO2003091806A1 (fr) 2002-04-26 2003-04-25 Procede de retrait de photoresist

Country Status (8)

Country Link
US (5) US20050176259A1 (ja)
EP (1) EP1550912A4 (ja)
JP (1) JP3516446B2 (ja)
KR (1) KR100781925B1 (ja)
CN (1) CN1650235A (ja)
AU (1) AU2003235130A1 (ja)
TW (1) TW200307186A (ja)
WO (1) WO2003091806A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006034030A1 (en) * 2004-09-17 2006-03-30 Fsi International, Inc. Using ozone to process wafer like objects
WO2007086662A1 (en) * 2006-01-27 2007-08-02 Vamax Engineering Co., Ltd. Method of removing photoresist and apparatus for performing the same

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI238465B (en) * 2002-07-24 2005-08-21 Toshiba Corp Method of forming pattern and substrate processing apparatus
EP1664935B1 (en) * 2003-08-19 2007-10-17 Mallinckrodt Baker, Inc. Stripping and cleaning compositions for microelectronics
US7384900B2 (en) * 2003-08-27 2008-06-10 Lg Display Co., Ltd. Composition and method for removing copper-compatible resist
KR100663624B1 (ko) * 2004-04-29 2007-01-02 엘지.필립스 엘시디 주식회사 액정표시장치 제조방법
JP5057647B2 (ja) 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP4628209B2 (ja) * 2004-11-18 2011-02-09 花王株式会社 剥離剤組成物
JP4678673B2 (ja) * 2005-05-12 2011-04-27 東京応化工業株式会社 ホトレジスト用剥離液
CN100565337C (zh) * 2005-08-22 2009-12-02 昆明物理研究所 一种铂钛金属薄膜图形化方法
KR101285123B1 (ko) * 2005-08-25 2013-07-19 주식회사 동진쎄미켐 투명 전도막 및 레지스트 제거용 박리액 조성물
KR101221560B1 (ko) * 2005-09-02 2013-01-14 주식회사 동진쎄미켐 변성된 포토레지스트 제거를 위한 반도체 소자용 박리액조성물
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US7632796B2 (en) * 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US20070243773A1 (en) * 2005-10-28 2007-10-18 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
KR101152139B1 (ko) * 2005-12-06 2012-06-15 삼성전자주식회사 표시 장치용 세정제 및 이를 사용하는 박막 트랜지스터표시판의 제조 방법
TWI425324B (zh) * 2005-12-23 2014-02-01 Anji Microelectronics Co Ltd 可去除光阻層之組合物
WO2008004579A1 (fr) * 2006-07-05 2008-01-10 Hitachi Chemical Co., Ltd. Liquide de polissage pour cmp et procédé de polissage
KR100849366B1 (ko) * 2006-08-24 2008-07-31 세메스 주식회사 기판을 처리하는 장치 및 방법
JP5018098B2 (ja) * 2007-01-19 2012-09-05 東ソー株式会社 配線工程用レジストの剥離方法
CN101632042B (zh) 2007-03-16 2012-06-13 三菱瓦斯化学株式会社 洗涤用组合物、半导体元件的制造方法
US7655608B2 (en) * 2007-08-03 2010-02-02 Dynaloy, Llc Reduced metal etch rates using stripper solutions containing a copper salt
US8551682B2 (en) * 2007-08-15 2013-10-08 Dynaloy, Llc Metal conservation with stripper solutions containing resorcinol
KR101463618B1 (ko) * 2008-01-14 2014-11-19 동우 화인켐 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 박리 방법
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
EP2166564B1 (en) * 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
WO2010127941A1 (en) 2009-05-07 2010-11-11 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
RU2551841C2 (ru) 2009-05-07 2015-05-27 Басф Се Композиции для удаления резиста и способы изготовления электрических устройств
RU2011149551A (ru) 2009-05-07 2013-06-20 Басф Се Композиции для удаления резиста и способы изготовления электрических устройств
US8334213B2 (en) * 2009-06-05 2012-12-18 Magic Technologies, Inc. Bottom electrode etching process in MRAM cell
KR20110028109A (ko) * 2009-09-11 2011-03-17 동우 화인켐 주식회사 세정액 조성물
CN102044405B (zh) * 2009-10-13 2012-08-08 中芯国际集成电路制造(上海)有限公司 多芯片封装体中芯片的分离方法
EP2507332A4 (en) 2009-11-30 2017-08-02 Basf Se Process for removing bulk material layer from substrate and chemical mechanical polishing agent suitable for this process
US10392531B2 (en) 2009-11-30 2019-08-27 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
WO2011094568A2 (en) 2010-01-29 2011-08-04 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP5702075B2 (ja) * 2010-03-26 2015-04-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅配線半導体用洗浄剤
JP5404459B2 (ja) * 2010-02-08 2014-01-29 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
KR101799591B1 (ko) * 2010-05-19 2017-12-20 동우 화인켐 주식회사 전자재료용 세정액 조성물
EP2614122A4 (en) 2010-09-08 2014-01-15 Basf Se AQUEOUS CLEANSING COMPOSITIONS WITH N-SUBSTITUTED DIAZENIUM DIOXIDE AND / OR N'-HYDROXY DIAZENIUM OXIDE SALTS
WO2012032469A1 (en) 2010-09-08 2012-03-15 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrate materials for electrical, mechanical and optical devices
EP2428541B1 (en) 2010-09-08 2019-03-06 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
WO2012046179A1 (en) 2010-10-07 2012-04-12 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
CN108276915A (zh) 2010-12-10 2018-07-13 巴斯夫欧洲公司 用于化学机械抛光包含氧化硅电介质和多晶硅膜的基底的含水抛光组合物和方法
US9496146B2 (en) 2011-03-11 2016-11-15 Basf Se Method for forming through-base wafer vias
KR101925272B1 (ko) 2011-03-21 2019-02-27 바스프 에스이 질소-무함유 수성 세정 조성물, 이의 제조 및 용도
FR2976290B1 (fr) 2011-06-09 2014-08-15 Jerome Daviot Composition de solutions et conditions d'utilisation permettant le retrait et la dissolution complete de resines photo-lithographiques
CN102254810A (zh) * 2011-07-05 2011-11-23 上海宏力半导体制造有限公司 半导体器件制备过程中光阻的去除方法
CN102427039A (zh) * 2011-11-02 2012-04-25 上海宏力半导体制造有限公司 光阻去除方法
KR101909773B1 (ko) * 2011-11-16 2018-10-18 필드 업그레이딩 리미티드 알칼리 금속 전도성 막을 사용한 석유 공급원료의 업그레이드 장치 및 방법
TWI588253B (zh) 2012-03-16 2017-06-21 巴地斯顏料化工廠 光阻剝除與清潔組合物及其製備方法與用途
JP6168271B2 (ja) 2012-08-08 2017-07-26 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US20150064911A1 (en) * 2013-08-27 2015-03-05 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and storage medium
CN110225667B (zh) * 2013-09-11 2023-01-10 花王株式会社 树脂掩模层用洗涤剂组合物及电路基板的制造方法
CN104658899B (zh) * 2013-11-22 2017-11-10 中芯国际集成电路制造(上海)有限公司 一种蚀刻栅极介电层的方法
US9085542B1 (en) 2014-06-12 2015-07-21 General Electric Company Method for synthesis of N-methyl piperazine diphenolamide and related composition
TWI595332B (zh) * 2014-08-05 2017-08-11 頎邦科技股份有限公司 光阻剝離方法
US20160304815A1 (en) * 2015-04-20 2016-10-20 Intermolecular, Inc. Methods and chemical solutions for cleaning photomasks using quaternary ammonium hydroxides
US10072237B2 (en) * 2015-08-05 2018-09-11 Versum Materials Us, Llc Photoresist cleaning composition used in photolithography and a method for treating substrate therewith
KR101711808B1 (ko) 2015-12-23 2017-03-06 한국원자력연구원 세슘화합물의 제거율이 높은 전해환원공정용 연료파편 제조 방법
WO2019024892A1 (zh) * 2017-08-03 2019-02-07 无锡华瑛微电子技术有限公司 一种光刻胶的去除液及光刻胶的去除方法
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
KR102572755B1 (ko) * 2018-09-13 2023-08-30 동우 화인켐 주식회사 포토레지스트 세정액 조성물
KR102572758B1 (ko) * 2018-09-17 2023-08-30 동우 화인켐 주식회사 포토레지스트 세정액 조성물
CN113614647A (zh) * 2019-03-25 2021-11-05 松下知识产权经营株式会社 抗蚀剂剥离液
CN113448186B (zh) * 2020-03-27 2024-05-14 长鑫存储技术有限公司 晶圆处理装置及晶圆处理方法
US11378886B2 (en) * 2020-09-29 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing resist layer, and method of manufacturing semiconductor
KR102246300B1 (ko) * 2021-03-19 2021-04-30 제이엔에프 주식회사 반도체 및 디스플레이 제조공정용 세정제 조성물
CN113589662B (zh) * 2021-07-30 2022-07-12 浙江奥首材料科技有限公司 一种组合物、剥离液及其在剥离光刻胶或光刻胶残余物中的应用和剥离方法
CN115469516B (zh) * 2022-11-03 2023-03-24 江苏奥首材料科技有限公司 一种用于三五族半导体化合物光刻胶的剥离剂、其制备方法及用途

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1174180A (ja) * 1997-08-29 1999-03-16 Mitsubishi Gas Chem Co Inc 半導体素子の製造方法
GB2354086A (en) * 1999-09-10 2001-03-14 Nec Corp Photoresist remover composition and process using the same
JP2001085521A (ja) * 1999-09-10 2001-03-30 Nec Corp 半導体装置の製造方法
JP2001209191A (ja) * 2000-01-25 2001-08-03 Nec Corp 剥離剤組成物および剥離方法
JP2001223206A (ja) * 1999-12-03 2001-08-17 Mitsubishi Electric Corp 基板処理方法および装置
JP2001290287A (ja) * 2000-04-10 2001-10-19 Mitsubishi Electric Corp フォトレジスト除去方法
JP2002110788A (ja) * 2000-09-27 2002-04-12 Toshiba Corp 半導体装置およびその製造方法
JP2002231696A (ja) * 2001-01-31 2002-08-16 Mitsubishi Electric Corp レジスト除去方法とその装置
JP2003140364A (ja) * 2001-11-02 2003-05-14 Mitsubishi Gas Chem Co Inc 銅配線基板向けレジスト剥離液

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5759973A (en) * 1996-09-06 1998-06-02 Olin Microelectronic Chemicals, Inc. Photoresist stripping and cleaning compositions
JP3300643B2 (ja) 1997-09-09 2002-07-08 株式会社東芝 半導体装置の製造方法
JP4120714B2 (ja) * 1998-02-10 2008-07-16 三菱瓦斯化学株式会社 半導体素子の製造方法
US6225030B1 (en) * 1998-03-03 2001-05-01 Tokyo Ohka Kogyo Co., Ltd. Post-ashing treating method for substrates
US6348239B1 (en) * 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
US6417112B1 (en) * 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
JP2000091269A (ja) 1998-09-10 2000-03-31 Fujitsu Ltd 半導体装置の製造方法
KR100708491B1 (ko) * 1999-08-26 2007-04-16 브레우어 사이언스 인코포레이션 듀얼 다마신 공정을 위한 개선된 충전 조성물을 포함하는 기판구조체, 충전조성물의 도포방법, 충전조성물의 적합성 결정방법, 및 전구체 구조체
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
JP3615979B2 (ja) 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
KR100360985B1 (ko) 2000-04-26 2002-11-18 주식회사 동진쎄미켐 레지스트 스트리퍼 조성물
JP2001338978A (ja) 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6410437B1 (en) 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
CN100338530C (zh) * 2001-11-02 2007-09-19 三菱瓦斯化学株式会社 剥离抗蚀剂的方法
US20030138737A1 (en) * 2001-12-27 2003-07-24 Kazumasa Wakiya Photoresist stripping solution and a method of stripping photoresists using the same

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1174180A (ja) * 1997-08-29 1999-03-16 Mitsubishi Gas Chem Co Inc 半導体素子の製造方法
GB2354086A (en) * 1999-09-10 2001-03-14 Nec Corp Photoresist remover composition and process using the same
JP2001085521A (ja) * 1999-09-10 2001-03-30 Nec Corp 半導体装置の製造方法
JP2001223206A (ja) * 1999-12-03 2001-08-17 Mitsubishi Electric Corp 基板処理方法および装置
JP2001209191A (ja) * 2000-01-25 2001-08-03 Nec Corp 剥離剤組成物および剥離方法
JP2001290287A (ja) * 2000-04-10 2001-10-19 Mitsubishi Electric Corp フォトレジスト除去方法
JP2002110788A (ja) * 2000-09-27 2002-04-12 Toshiba Corp 半導体装置およびその製造方法
JP2002231696A (ja) * 2001-01-31 2002-08-16 Mitsubishi Electric Corp レジスト除去方法とその装置
JP2003140364A (ja) * 2001-11-02 2003-05-14 Mitsubishi Gas Chem Co Inc 銅配線基板向けレジスト剥離液

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1550912A4 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006034030A1 (en) * 2004-09-17 2006-03-30 Fsi International, Inc. Using ozone to process wafer like objects
WO2007086662A1 (en) * 2006-01-27 2007-08-02 Vamax Engineering Co., Ltd. Method of removing photoresist and apparatus for performing the same

Also Published As

Publication number Publication date
CN1650235A (zh) 2005-08-03
US20110000874A1 (en) 2011-01-06
KR100781925B1 (ko) 2007-12-04
AU2003235130A1 (en) 2003-11-10
US20080280452A1 (en) 2008-11-13
US20050176259A1 (en) 2005-08-11
TW200307186A (en) 2003-12-01
KR20050006191A (ko) 2005-01-15
EP1550912A4 (en) 2006-09-06
JP3516446B2 (ja) 2004-04-05
US8354215B2 (en) 2013-01-15
US20090291565A1 (en) 2009-11-26
TWI304525B (ja) 2008-12-21
US20070298619A1 (en) 2007-12-27
JP2004029696A (ja) 2004-01-29
EP1550912A1 (en) 2005-07-06

Similar Documents

Publication Publication Date Title
JP3516446B2 (ja) ホトレジスト剥離方法
US8697345B2 (en) Photoresist stripping solution and a method of stripping photoresists using the same
JP3410403B2 (ja) ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
US8192923B2 (en) Photoresist stripping solution and a method of stripping photoresists using the same
US20090176677A1 (en) Treating liquid for photoresist removal, and method for treating substrate
KR100748903B1 (ko) 세정제, 반도체 기판 세정 방법 및 반도체 기판에의 회로형성 방법
JP3738992B2 (ja) ホトレジスト用剥離液
KR100429920B1 (ko) 포토레지스트용 박리액 및 이것을 사용한 포토레지스트 박리방법
JP4463054B2 (ja) ホトレジスト用剥離液およびこれを用いた基板の処理方法
JP2002357908A (ja) ホトレジスト用剥離液
KR100497587B1 (ko) 포토레지스트용 박리액 및 이것을 사용한 포토레지스트박리방법
JP2002053775A (ja) ホトレジスト用剥離液

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020047017197

Country of ref document: KR

Ref document number: 20038092980

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2003720958

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 10512586

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 1020047017197

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2003720958

Country of ref document: EP