AU2001296947A1 - Stabilized alkaline compositions for cleaning microelectronic substrates - Google Patents

Stabilized alkaline compositions for cleaning microelectronic substrates

Info

Publication number
AU2001296947A1
AU2001296947A1 AU2001296947A AU9694701A AU2001296947A1 AU 2001296947 A1 AU2001296947 A1 AU 2001296947A1 AU 2001296947 A AU2001296947 A AU 2001296947A AU 9694701 A AU9694701 A AU 9694701A AU 2001296947 A1 AU2001296947 A1 AU 2001296947A1
Authority
AU
Australia
Prior art keywords
optionally
weight
water
alkaline compositions
metal ion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2001296947A
Inventor
David C. Skee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/688,559 external-priority patent/US6585825B1/en
Application filed by Individual filed Critical Individual
Publication of AU2001296947A1 publication Critical patent/AU2001296947A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/22Light metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

The invention provides aqueous alkaline compositions useful in the microelectronics industry for stripping or cleaning semiconductor wafer substrates by removing photoresist residues and other unwanted contaminants. The compositions typically contain (a) one or more metal ion-free bases at sufficient amounts to produce a pH of about 10-13 and one or more bath stabilizing agents having at least one pKa in the range of 10-13 to maintain this pH during use; (b) optionally, about 0.01% to about 5% by weight (expressed as % SiO2) of a water-soluble metal ion-free silicate; (c) optionally, about 0.01% to about 10% by weight of one or more chelating agents; (d) optionally, about 0.01% to about 80% by weight of one or more water-soluble organic co-solvents; and (e) optionally, about 0.01% to about 1% by weight of a water-soluble surfactant.
AU2001296947A 2000-10-16 2001-09-28 Stabilized alkaline compositions for cleaning microelectronic substrates Abandoned AU2001296947A1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09688559 2000-10-16
US09/688,559 US6585825B1 (en) 1998-05-18 2000-10-16 Stabilized alkaline compositions for cleaning microelectronic substrates
US09/859,142 US6599370B2 (en) 2000-10-16 2001-05-16 Stabilized alkaline compositions for cleaning microelectronic substrates
US09859142 2001-05-16
PCT/US2001/042406 WO2002033033A1 (en) 2000-10-16 2001-09-28 Stabilized alkaline compositions for cleaning microelectronic substrates

Publications (1)

Publication Number Publication Date
AU2001296947A1 true AU2001296947A1 (en) 2002-04-29

Family

ID=27104249

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2001296947A Abandoned AU2001296947A1 (en) 2000-10-16 2001-09-28 Stabilized alkaline compositions for cleaning microelectronic substrates

Country Status (14)

Country Link
US (1) US6599370B2 (en)
EP (1) EP1326951B1 (en)
JP (1) JP4758055B2 (en)
KR (1) KR20030051721A (en)
CN (1) CN1205325C (en)
AT (1) ATE288468T1 (en)
AU (1) AU2001296947A1 (en)
CA (1) CA2425613A1 (en)
DE (1) DE60108774T2 (en)
HK (1) HK1062310A1 (en)
IL (1) IL155429A0 (en)
MX (1) MXPA03003353A (en)
TW (1) TWI294909B (en)
WO (1) WO2002033033A1 (en)

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6825156B2 (en) * 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US6653243B2 (en) * 2000-05-25 2003-11-25 Micron Technology, Inc. Methods of cleaning surfaces of copper-containing materials, and methods of forming openings to copper-containing substrates
US6855679B2 (en) * 2000-06-19 2005-02-15 Lance L. Renfrow Detergent composition and method of using same
JP2002318455A (en) * 2001-04-23 2002-10-31 Tosoh Corp Stabilization method
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
US6589882B2 (en) * 2001-10-24 2003-07-08 Micron Technology, Inc. Copper post-etch cleaning process
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
AU2003225178A1 (en) * 2002-04-24 2003-11-10 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
JP3516446B2 (en) 2002-04-26 2004-04-05 東京応化工業株式会社 Photoresist stripping method
US7252718B2 (en) * 2002-05-31 2007-08-07 Ekc Technology, Inc. Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
AU2003240827A1 (en) 2002-06-07 2003-12-22 Mallinckrodt Baker Inc. Cleaning compositions for microelectronic substrates
CN102061228B (en) * 2002-06-07 2013-02-13 安万托特性材料股份有限公司 Microelectronic cleaning compositions containing oxidizers and organic solvents
US7393819B2 (en) * 2002-07-08 2008-07-01 Mallinckrodt Baker, Inc. Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
DE10331033B4 (en) * 2002-07-12 2010-04-29 Ekc Technology K.K. R&D Business Park Bldg. D-3F, Kawasaki A manufacturing method of a semiconductor device and a cleaning composition therefor
JP4443864B2 (en) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ Cleaning solution for removing resist or etching residue and method for manufacturing semiconductor device
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6641986B1 (en) * 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
TWI309675B (en) * 2002-10-22 2009-05-11 Ekc Technology Inc Aqueous phosphoric acid compositions for cleaning semiconductor devices
US20060025320A1 (en) * 2002-11-05 2006-02-02 Marc Borner Seminconductor surface treatment and mixture used therein
CN100437922C (en) * 2002-11-08 2008-11-26 和光纯药工业株式会社 Cleaning composition and method of cleaning therewith
US7481949B2 (en) * 2002-11-08 2009-01-27 Wako Pure Chemical Industries, Ltd Polishing composition and rinsing composition
US6803353B2 (en) * 2002-11-12 2004-10-12 Atofina Chemicals, Inc. Copper chemical mechanical polishing solutions using sulfonated amphiprotic agents
US7459005B2 (en) * 2002-11-22 2008-12-02 Akzo Nobel N.V. Chemical composition and method
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
US20040220066A1 (en) * 2003-05-01 2004-11-04 Rohm And Haas Electronic Materials, L.L.C. Stripper
JP3828511B2 (en) * 2003-06-26 2006-10-04 株式会社東芝 Manufacturing method of semiconductor device
ATE376050T1 (en) * 2003-06-27 2007-11-15 Imec Inter Uni Micro Electr SEMICONDUCTOR CLEANING SOLUTION
US7306663B2 (en) * 2003-08-05 2007-12-11 Halox, Division Of Hammond Group, Inc. Corrosion inhibitor
EP1664935B1 (en) * 2003-08-19 2007-10-17 Mallinckrodt Baker, Inc. Stripping and cleaning compositions for microelectronics
US20050049162A1 (en) * 2003-08-29 2005-03-03 Schlosser Ted M. Petroleum-free, ammonia-free cleaner for firearms and ordnance
TWI362415B (en) * 2003-10-27 2012-04-21 Wako Pure Chem Ind Ltd Novel detergent and method for cleaning
JP4620680B2 (en) * 2003-10-29 2011-01-26 マリンクロッド・ベイカー・インコーポレイテッド Alkaline plasma etching / ashing residue remover and photoresist stripping composition containing metal halide corrosion inhibitors
US7432233B2 (en) * 2003-12-18 2008-10-07 Interuniversitair Microelektronica Centrum (Imec) Composition and method for treating a semiconductor substrate
CN1918698B (en) 2004-02-09 2010-04-07 三菱化学株式会社 Cleaning liquid for substrate for semiconductor device and cleaning method
US7498295B2 (en) * 2004-02-12 2009-03-03 Air Liquide Electronics U.S. Lp Alkaline chemistry for post-CMP cleaning comprising tetra alkyl ammonium hydroxide
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US20050227482A1 (en) * 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
DE102004017440A1 (en) * 2004-04-08 2005-11-03 Enthone Inc., West Haven Process for the treatment of laser-structured plastic surfaces
KR100585139B1 (en) * 2004-04-12 2006-05-30 삼성전자주식회사 Reagent for measuring metals in wafer cleaning solution and apparatus and method for monitoring metal contaminants in wafer cleaing solution
JP4369284B2 (en) * 2004-04-19 2009-11-18 東友ファインケム株式会社 Resist stripper
CN1950755B (en) * 2004-05-07 2011-05-11 株式会社东进世美肯 composition for removing photoresist
KR101082018B1 (en) * 2004-05-07 2011-11-10 주식회사 동진쎄미켐 Composition for removing a (photo)resist
CN101010421B (en) * 2004-08-31 2011-08-03 三洋化成工业株式会社 Surfactant
JP4628209B2 (en) * 2004-11-18 2011-02-09 花王株式会社 Release agent composition
WO2006065256A1 (en) * 2004-12-10 2006-06-22 Mallinckrodt Baker, Inc. Non-aqueous, non-corrosive microelectronic cleaning compositions containing polymeric corrosion inhibitors
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
KR101331747B1 (en) * 2005-01-27 2013-11-20 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Compositions for processing of semiconductor substrates
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7727949B2 (en) * 2005-03-04 2010-06-01 Wako Pure Chemical Industries, Ltd. Cleaning agent for thermostatic chambers
US20090288688A1 (en) * 2005-03-11 2009-11-26 Ron Rulkens Non-corrosive chemical rinse system
US20060226122A1 (en) * 2005-04-08 2006-10-12 Wojtczak William A Selective wet etching of metal nitrides
US20070251551A1 (en) * 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
CN101198683B (en) * 2005-04-15 2011-09-14 高级技术材料公司 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
US20060280860A1 (en) * 2005-06-09 2006-12-14 Enthone Inc. Cobalt electroless plating in microelectronic devices
US7316977B2 (en) * 2005-08-24 2008-01-08 Air Products And Chemicals, Inc. Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
KR101444468B1 (en) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Oxidizing aqueous cleaner for the removal of post-etch residues
KR20080059442A (en) * 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Metals compatible photoresist and/or sacrificial antireflective coatiing removal composition
CN100526448C (en) * 2005-11-03 2009-08-12 比亚迪股份有限公司 Agent of cleaning edge rubber
US7367343B2 (en) * 2006-01-23 2008-05-06 Micron Technology, Inc. Method of cleaning a surface of a cobalt-containing material, method of forming an opening to a cobalt-containing material, semiconductor processing method of forming an integrated circuit comprising a copper-containing conductive line, and a cobalt-containing film cleaning solution
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
TWI417683B (en) * 2006-02-15 2013-12-01 Avantor Performance Mat Inc Stabilized, non-aqueous cleaning compositions for microelectronics substrates
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
KR100729235B1 (en) * 2006-06-01 2007-06-15 삼성전자주식회사 Cleaning composition for a probe card and method of cleaning a probe card using the cleaning composition
US8325894B2 (en) * 2006-08-03 2012-12-04 International Business Machines Corporation Method, system, and program product for managing conference calls
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
JP4864617B2 (en) * 2006-09-21 2012-02-01 株式会社東芝 Cleaning liquid and nozzle plate cleaning method
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
EP2082024A4 (en) * 2006-09-25 2010-11-17 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
CN101201557A (en) * 2006-12-15 2008-06-18 安集微电子(上海)有限公司 Detergent for cleaning thick film photoresist
US8699684B2 (en) 2006-12-20 2014-04-15 International Business Machines Corporation Method, system, and program product for contacting conference call participants
TWI509690B (en) 2006-12-21 2015-11-21 Entegris Inc Compositions and methods for the selective removal of silicon nitride
TWI611047B (en) * 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 Liquid cleaner for the removal of post-etch residues
CA2677964A1 (en) * 2007-02-14 2008-08-21 Mallinckrodt Baker, Inc. Peroxide activated oxometalate based formulations for removal of etch residue
TW200842970A (en) * 2007-04-26 2008-11-01 Mallinckrodt Baker Inc Polysilicon planarization solution for planarizing low temperature poly-silicon thin filim panels
US7976723B2 (en) * 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
KR101622862B1 (en) * 2007-05-17 2016-05-19 엔테그리스, 아이엔씨. New antioxidants for post-cmp cleaning formulations
JP5142592B2 (en) * 2007-06-06 2013-02-13 関東化学株式会社 Alkaline aqueous solution composition used for substrate cleaning or etching
TW200916571A (en) * 2007-08-02 2009-04-16 Advanced Tech Materials Non-fluoride containing composition for the removal of residue from a microelectronic device
US20090120457A1 (en) * 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
WO2009064745A1 (en) 2007-11-13 2009-05-22 Sachem, Inc. High negative zeta potential polyhedral silsesquioxane composition and method for damage free semiconductor wet clean
US8404626B2 (en) * 2007-12-21 2013-03-26 Lam Research Corporation Post-deposition cleaning methods and formulations for substrates with cap layers
CN101226346B (en) * 2007-12-27 2010-06-09 周伟 Demoundiing technique of photoresist as well as a first composition, a second composition and demoulding agent water solution used in said technique
JP4278705B1 (en) * 2008-01-16 2009-06-17 メック株式会社 Etching solution
JP2010034178A (en) * 2008-07-28 2010-02-12 Mitsubishi Gas Chemical Co Inc Silicon etchant and etching method
CN102197124B (en) * 2008-10-21 2013-12-18 高级技术材料公司 Copper cleaning and protection formulations
US7700535B1 (en) * 2009-01-12 2010-04-20 Ppt Research Wafer/Ingot cleaning in wire saw cutting comprising an ethoxylated alcohol/polyalkylsiloxane mixture
WO2010091045A2 (en) * 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
SG173833A1 (en) * 2009-02-25 2011-09-29 Avantor Performance Mat Inc Stripping compositions for cleaning ion implanted photoresist from semiconductor device wafers
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
KR101668126B1 (en) * 2009-04-17 2016-10-20 나가세케무텍쿠스가부시키가이샤 Photoresist remover composition and method for removing photoresist
JP5431014B2 (en) * 2009-05-01 2014-03-05 関東化学株式会社 Indium oxalate solubilizer composition
US8765653B2 (en) * 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
EP2312618B1 (en) 2009-10-14 2016-02-10 Rohm and Haas Electronic Materials LLC Method of cleaning and micro-etching semiconductor wafers
US8367555B2 (en) * 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
WO2011072188A2 (en) * 2009-12-11 2011-06-16 Advanced Technology Materials, Inc. Removal of masking material
CN102134529B (en) * 2010-01-21 2012-11-28 奇美实业股份有限公司 Cleaning fluid composition for cleaning solar cell substrate
TWI583786B (en) * 2010-01-29 2017-05-21 恩特葛瑞斯股份有限公司 Cleaning agent for semiconductor provided with metal wiring
KR101829399B1 (en) * 2010-03-04 2018-03-30 삼성전자주식회사 photosensitive-resin remover composition and method of fabricating semiconductor device using the same
WO2011154875A1 (en) 2010-06-09 2011-12-15 Basf Se Aqueous alkaline etching and cleaning composition and method for treating the surface of silicon substrates
JP2013533631A (en) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Aqueous cleaning agent to remove residues after etching
RU2578718C2 (en) * 2010-07-19 2016-03-27 Басф Се Aqueous alkaline cleaning compositions and methods for use thereof
CN101937687A (en) * 2010-07-21 2011-01-05 河北工业大学 Method for cleaning surface of indium phosphide substrate of computer hardware after chemical mechanical polishing (CMP)
JP5591623B2 (en) * 2010-08-13 2014-09-17 AzエレクトロニックマテリアルズIp株式会社 Rinsing liquid for lithography and pattern forming method using the same
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
DE102011050136A1 (en) 2010-09-03 2012-03-08 Schott Solar Ag Process for the wet-chemical etching of a silicon layer
US20120073607A1 (en) * 2010-09-27 2012-03-29 Eastman Chemical Company Polymeric or monomeric compositions comprising at least one mono-amide and/or at least one diamide for removing substances from substrates and methods for using the same
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
US8889609B2 (en) 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
KR102003530B1 (en) * 2011-07-08 2019-10-17 헤레우스 프레셔스 메탈스 게엠베하 운트 코. 카게 Process for the Production of a Layered Body and Layered Bodies Obtainable Therefrom
ES2541222T3 (en) 2011-08-09 2015-07-16 Basf Se Aqueous alkaline compositions and silicon substrates surface treatment procedure
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
CN102411269A (en) * 2011-11-18 2012-04-11 西安东旺精细化学有限公司 Stripping liquid composition of photoresist film
JP6066552B2 (en) * 2011-12-06 2017-01-25 関東化學株式会社 Cleaning composition for electronic devices
CN104145324B (en) 2011-12-28 2017-12-22 恩特格里斯公司 Composition and method for selective etch titanium nitride
WO2013123317A1 (en) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
TW201406932A (en) 2012-05-18 2014-02-16 Advanced Tech Materials Composition and process for stripping photoresist from a surface including titanium nitride
WO2014123126A1 (en) * 2013-02-06 2014-08-14 三菱化学株式会社 Cleaning liquid for substrate for semiconductor devices and method for cleaning substrate for semiconductor devices
JP6203525B2 (en) * 2013-04-19 2017-09-27 関東化學株式会社 Cleaning liquid composition
TW201500542A (en) * 2013-04-22 2015-01-01 Advanced Tech Materials Copper cleaning and protection formulations
US20160122696A1 (en) * 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
CN103676503A (en) * 2013-09-24 2014-03-26 刘超 Photoresist stripping agent composition
CN103513521A (en) * 2013-09-24 2014-01-15 刘超 Negative photoresist stripper composition
US9291910B2 (en) * 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
CN103509657A (en) * 2013-10-17 2014-01-15 太仓康茂电子有限公司 Component surface cleaning method
EP3060642B1 (en) * 2013-10-21 2019-11-06 FujiFilm Electronic Materials USA, Inc. Cleaning formulations for removing residues on surfaces
US9562211B2 (en) 2013-12-06 2017-02-07 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
CN103806009A (en) * 2013-12-16 2014-05-21 许桂顺 Neutral sulfate cleaning agent
WO2015119925A1 (en) * 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US9957469B2 (en) * 2014-07-14 2018-05-01 Versum Materials Us, Llc Copper corrosion inhibition system
BR112017003353A2 (en) 2014-08-19 2018-09-18 Geo Tech Polymers Llc coating removal system
JP6501492B2 (en) 2014-10-31 2019-04-17 関東化學株式会社 Composition for removing photoresist residue and / or polymer residue
JP6486652B2 (en) * 2014-10-31 2019-03-20 東京応化工業株式会社 Lithographic cleaning liquid and substrate cleaning method
CN104570629B (en) * 2015-02-14 2016-04-13 江阴江化微电子材料股份有限公司 -kind of liquid crystal panel copper film photoresistance water system stripper
US9976111B2 (en) * 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
KR102183400B1 (en) * 2015-06-23 2020-11-26 주식회사 이엔에프테크놀로지 Cleaner composition
CN109477035A (en) 2015-10-20 2019-03-15 吉欧科技聚合物有限责任公司 The recycling of fiber surface covering
KR20170111411A (en) * 2016-03-28 2017-10-12 동우 화인켐 주식회사 Resist stripper composition, and method for manufacturing a plat panel for a display device and plat panel for a display device, and display device
US10073352B2 (en) 2016-04-12 2018-09-11 Versum Materials Us, Llc Aqueous solution and process for removing substances from substrates
WO2018136466A1 (en) * 2017-01-17 2018-07-26 Entegris, Inc. Post-etch residue removal for advanced node beol processing
KR101789251B1 (en) * 2017-03-17 2017-10-26 영창케미칼 주식회사 Composition for post chemical mechanical polishing cleaning
CN107026120B (en) * 2017-03-30 2019-07-23 深圳市华星光电半导体显示技术有限公司 A kind of production method of array substrate
CN107338126A (en) * 2017-06-23 2017-11-10 昆山欣谷微电子材料有限公司 A kind of water base microelectronics is peeled off and cleaning liquid composition
US10934485B2 (en) * 2017-08-25 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon over silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
KR20200131805A (en) * 2018-03-14 2020-11-24 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 Cleaning liquid for removing dry etching residue and manufacturing method of semiconductor substrate using the same
IL277275B2 (en) 2018-03-28 2023-11-01 Fujifilm Electronic Mat Usa Inc Cleaning compositions
US11742196B2 (en) * 2018-05-24 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for metallic deionization
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
US11180697B2 (en) * 2018-11-19 2021-11-23 Versum Materials Us, Llc Etching solution having silicon oxide corrosion inhibitor and method of using the same
WO2020129737A1 (en) * 2018-12-18 2020-06-25 株式会社トクヤマ Silicon etching liquid
JP6692029B1 (en) * 2019-03-25 2020-05-13 パナソニックIpマネジメント株式会社 Resist stripper
CN113201743B (en) * 2021-04-08 2022-06-21 浙江工业大学 Rust remover suitable for electronic devices and preparation method thereof
EP4282945A3 (en) * 2022-05-27 2024-03-13 Samsung Electronics Co., Ltd. Cleaning composition, method of cleaning metal-containing film and method of manufacturing semiconductor device
CN115074734B (en) * 2022-08-22 2022-11-08 深圳市板明科技股份有限公司 Copper-reducing additive for aluminum substrate circuit board and preparation method and use method thereof
CN116144362B (en) * 2023-03-13 2023-11-21 大连奥首科技有限公司 Alcohol-free monocrystalline silicon rapid texturing additive, texturing solution containing alcohol-free monocrystalline silicon rapid texturing additive, preparation method and application of alcohol-free monocrystalline silicon rapid texturing additive

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4443295A (en) 1983-06-13 1984-04-17 Fairchild Camera & Instrument Corp. Method of etching refractory metal film on semiconductor structures utilizing triethylamine and H2 O2
CA2059841A1 (en) * 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
US5753601A (en) * 1991-01-25 1998-05-19 Ashland Inc Organic stripping composition
US5139607A (en) * 1991-04-23 1992-08-18 Act, Inc. Alkaline stripping compositions
US5308745A (en) * 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
JP2911792B2 (en) * 1995-09-29 1999-06-23 東京応化工業株式会社 Stripper composition for resist
US6121127A (en) 1996-06-14 2000-09-19 Toyoda Gosei Co., Ltd. Methods and devices related to electrodes for p-type group III nitride compound semiconductors
US6117783A (en) * 1996-07-25 2000-09-12 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US5817610A (en) * 1996-09-06 1998-10-06 Olin Microelectronic Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6268323B1 (en) * 1997-05-05 2001-07-31 Arch Specialty Chemicals, Inc. Non-corrosive stripping and cleaning composition
US6130195A (en) 1997-11-03 2000-10-10 Kyzen Corporation Cleaning compositions and methods for cleaning using cyclic ethers and alkoxy methyl butanols
WO1999060448A1 (en) * 1998-05-18 1999-11-25 Mallinckrodt Inc. Silicate-containing alkaline compositions for cleaning microelectronic substrates

Also Published As

Publication number Publication date
IL155429A0 (en) 2003-11-23
DE60108774T2 (en) 2006-03-16
CN1205325C (en) 2005-06-08
US20020077259A1 (en) 2002-06-20
JP2004511917A (en) 2004-04-15
CN1469918A (en) 2004-01-21
JP4758055B2 (en) 2011-08-24
CA2425613A1 (en) 2002-04-25
TWI294909B (en) 2008-03-21
WO2002033033A1 (en) 2002-04-25
EP1326951A1 (en) 2003-07-16
KR20030051721A (en) 2003-06-25
MXPA03003353A (en) 2004-12-02
US6599370B2 (en) 2003-07-29
ATE288468T1 (en) 2005-02-15
EP1326951B1 (en) 2005-02-02
DE60108774D1 (en) 2005-03-10
HK1062310A1 (en) 2004-10-29

Similar Documents

Publication Publication Date Title
AU2001296947A1 (en) Stabilized alkaline compositions for cleaning microelectronic substrates
MY121446A (en) Silicate-containing alkaline compositions for cleaning microelectronic substrates
WO2002004233A8 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
IL173664A (en) Stripping and cleaning compositions for microelectronics
MY117049A (en) Composition for stripping photoresist and organic materials from substrate surfaces
TW200730621A (en) Oxidizing aqueous cleaner for the removal of post-etch residues
AU2003286584A8 (en) Aqueous phosphoric acid compositions for cleaning semiconductor devices
EP1451642A4 (en) Chemical rinse composition
MY127401A (en) Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
TW200630482A (en) Aqueous based residue removers comprising fluoride
ATE367460T1 (en) SEMICONDUCTOR STRIP COMPOSITION CONTAINING 1,3-DICARBONYL COMPOUNDS
WO2002013242A3 (en) Composition for cleaning chemical mechanical planarization apparatus
WO2004076605B1 (en) Dilute sulfuric peroxide at point-of-use
ATE405621T1 (en) SUPERCRITICAL CARBON DIOXIDE FORMULATION FOR THE REMOVAL OF ANY ASHED ALUMINUM RESIDUE AFTER ETCHING
IL199999A0 (en) Peroxide activated oxometalate based formulations for removal of etch residue
CN113166684A (en) post-CMP cleaning composition
DE60238244D1 (en) AQUEOUS CLEANING AGENT WITH COPPER-SPECIFIC CORROSION PROTECTION AGENT FOR CLEANING INORGANIC RESIDUE OF SEMICONDUCTOR SUBSTRATES
MY124511A (en) Stabilized alkaline compositions for cleaning microelectronic substrates.
TH56882B (en) Stabilized alkaline mixtures for cleaning micro-electric substrates