CN107338126A - A kind of water base microelectronics is peeled off and cleaning liquid composition - Google Patents

A kind of water base microelectronics is peeled off and cleaning liquid composition Download PDF

Info

Publication number
CN107338126A
CN107338126A CN201710488371.2A CN201710488371A CN107338126A CN 107338126 A CN107338126 A CN 107338126A CN 201710488371 A CN201710488371 A CN 201710488371A CN 107338126 A CN107338126 A CN 107338126A
Authority
CN
China
Prior art keywords
cleaning liquid
liquid composition
acid
water
water base
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710488371.2A
Other languages
Chinese (zh)
Inventor
刘江华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KUNSHAN SIGO MICROELECTRONICS MATERIALS Co Ltd
Original Assignee
KUNSHAN SIGO MICROELECTRONICS MATERIALS Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KUNSHAN SIGO MICROELECTRONICS MATERIALS Co Ltd filed Critical KUNSHAN SIGO MICROELECTRONICS MATERIALS Co Ltd
Priority to CN201710488371.2A priority Critical patent/CN107338126A/en
Publication of CN107338126A publication Critical patent/CN107338126A/en
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/835Mixtures of non-ionic with cationic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2065Polyhydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/22Carbohydrates or derivatives thereof
    • C11D3/221Mono, di- or trisaccharides or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/364Organic compounds containing phosphorus containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Molecular Biology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

The present invention relates to a kind of water base microelectronics stripping and cleaning liquid composition, including water, organic quaternary ammonium compound, hydrogen peroxide, stabilizer, corrosion inhibitor, chelating agent, the specifically used method of this composition is also provided simultaneously, composition of the present invention does not contain azanol, fluoride, reducing agent, organic solvent, abrasive grains, after effectively removing dry etching(post‑etch)After ashing(post‑ash)Metal wire(metal line), through hole(via)And pad(pad)Deng resist residue and post-etch residues on wafer.Attack will not be produced to substrate simultaneously or causes to corrode.And water base microelectronics of the present invention is peeled off and cleaning liquid composition operation temperature is relatively low, energy consumption is less, can directly be rinsed with water, and due to that will not cause to corrode to metal especially aluminium, safety and environmental protection, effectively reduces cleaning cost.

Description

A kind of water base microelectronics is peeled off and cleaning liquid composition
Technical field
The present invention relates to chemicals field, is specifically that one kind is used to peeling off and removing microelectronic substation photoresist, photoetching The water-base cleaning liquid composition and application method of glue residue and post-etch residues.
Background technology
In Al or Al(Cu)The back segment of base metallization(back-end of line)In microelectronic component preparation process, One essential step is exactly to deposit photoresist film on the wafer substrate, is then used as mask using photoresist(Mask)Shape Into circuit pattern, after overbaking, development, then resulting pattern is shifted via reactive plasma etching gas To underlying substrate material(Electrolyte or metal level).The etching substrate of etching gas meeting selectivity is not photo-etched the area of glue protection Domain, and mainly have metal wire via formed structure is etched(metal line), pad(pad)And through hole(via).Etching Gas is usually the gas containing halogen, in plasma etch process, due to plasma gas, etching base material and The interaction of photoresist, in the side wall or surrounding formation etch residue of etching substrate while photoresist can be will also result in and covered The crosslinking of membrane material, and then be more difficult to remove.
After etching process completion, the residue after photoresist mask and etching must remove from chip, so as to Carry out the operation of next step.By chemical stripping solution or Oxygen plasma ashing method can be passed through(ash)Remove most of light Photoresist.Residue after above-mentioned etching usually contains the metallic residue of high slightly solubility, and common chemical stripping solvent is difficult to clean off. Meanwhile in podzolic process, above-mentioned metallic residue can be further oxidized, and it is more difficult to clean off.Therefore one kind is needed Cleaning fluid can remove and the residue in various substrates is produced in etching process, and the cleaning fluid can not corrode these again simultaneously Base material such as aluminium, aluminium/silicon/copper, titanium, titanium nitride, titanium/tungsten, tungsten, silica, polysilicon etc..The cleaning fluid can also remove simultaneously The resist residue not being ashed.
Current, typical Al bases back segment cleaning fluid mainly includes following several:Azanol based cleaning liquid, fluorine class cleaning Liquid, half water base amine cleaning fluid(Without azanol)And aqueous cleaning agent.
EKC265, EKC270 and EKC270T and ACT915, ACT927, ACT930 and ACT940 are typical azanol classes Cleaning fluid, and most Al base back segment cleaning fluids currently.The composition of azanol based cleaning liquid mainly includes machine amine, hydroxyl Amine, water and corrosion inhibiter and stabilizer catechol etc..Exemplary patents corresponding to azanol based cleaning liquid have US5279771, US5911835, US6187730, US5988186, US5419779, EP0656405A2, US6951710 etc..Azanol based cleaning liquid The advantages of be to be capable of the very effective inorganic residues and organic remains for removing various indissolubles.But azanol class cleaning agent Operation temperature generally will be 65oMore than C, and azanol is highly unstable, the danger for having blast under higher operating temperatures.Together When higher operation temperature can cause the volatilization of fast decoupled and moisture that system forms so that the tank liquor of azanol based cleaning liquid Life-span(life time)Generally only 1000 minutes or so, it is necessary to constantly fluid infusion maintain bath life.In addition, chip passes through Azanol cleaning fluid processing after, it is necessary to IPA or NMP organic solvents be used as among rinse, then could deionized water rinsing, to keep away Exempt from that crystal column surface metal is caused to corrode, so as to also increase wafer manufacturing cost.
The cleaning fluid of typical fluorinated mainly has ELM C30, ACT@NE series, EKC6800 series and ideal clean 960(SP)Deng.The composition of fluorine-containing based cleaning liquid mainly includes fluoride, organic stripping solvent, water, metal erosion suppression Agent and cushioning liquid etc..The Exemplary patents of fluorine-containing based cleaning liquid include US5279771, US5630904, WO2012171324, US20020037820, US2003022800A, US20130237469A, US2003148910A1 etc..The cleaning of current fluorinated Liquid easily causes channel characteristics size after on the one hand still having the corrosion that can not control base material well, or even cleaning Change.On the other hand it is exactly incompatible, the higher corruption to quartz substrate of operation temperature of fluorine-containing based cleaning liquid and quartz substrate Erosion is more serious, and the wet clean equipment of some current Semiconductor enterprises is made up of quartz.Thus limit fluorine-containing based cleaning liquid Widely use.
Azanol based cleaning liquid and fluorine-containing based cleaning liquid are that current Al bases back segment cleans most commonly used two kinds of cleaning fluids.Except this Outside, also half water base amine cleaning agent(Without azanol)And water-base cleaning liquid.Typical half water base amine cleaning fluid has ALEG310, ALEG380, ST26S and ACT970 etc..The Exemplary patents of half water base amine cleaning fluid have US2003130146, WO2006023061A etc..Its composition consists predominantly of machine amine, organic stripping solvent, water and metal corrosion inhibitor etc..Half water The operating temperature range of base amine cleaning agent is wider, generally in 50-90oC.Compared with azanol class cleaning agent, such cleaning agent pair The particularly rich titanium metalloid residue removal effect of inorganic metallic residue is poor, currently also without widely use.
The water-base cleaning liquid of low-temperature environment-friendly is following developing direction of semiconductor cleaning agent, and Baker REZI 38 are a Compatible Al(Cu)The water-base cleaning liquid of substrate, corresponding patent are US2010035786, its form be non-metallic ion alkali, silicon Hydrochlorate corrosion inhibitor, oxometallate, chelating agent and water.The inhibition mechanism of silicates corrosion inhibitor is that silicate passes through Hydrolyze and the multimolecule films of chemical bonding are formed on the surface of substrate, cleaning is difficult to the film for being bonded surface chemistry after completing Remove, the discoloration and pollution of some substrates can be caused, in addition, silicates corrosion inhibitor ability in strong alkaline aqueous solution It is stabilized, in water-washing process after the washing, because system pH is gradually gradually lowered to 7 or so from 11 even more highs, meeting Cause silicates corrosion inhibitor to be hydrolyzed into small particle, pollute wafer.
The content of the invention
The technical problem to be solved in the present invention be for azanol source in traditional based cleaning liquid containing azanol is single, explosive, It is expensive, and the fluorine-containing based cleaning liquid of tradition is incompatible with quartzy equipment in wet-cleaning, and some current aqueous-base cleanings Secondary pollution problems after the washing be present in liquid, there is provided one kind have to resist residue cleaning performance it is good, to metal with Non-metallic metal does not corrode, and secondary pollution will not be caused in cleaning process can peel off and remove microelectronic substation photoetching Glue, resist residue, the water-base cleaning liquid of post-etch residues.
The present invention adopts the following technical scheme that.
A kind of water base microelectronics is peeled off and cleaning liquid composition, including water, organic quaternary ammonium compound, hydrogen peroxide, stably Agent, corrosion inhibitor, chelating agent, it is characterised in that each component mass percent such as meets following condition:Water 60-98%, You Jiji Ammonium compounds 0.1-10%, hydrogen peroxidase 10 .1-25%, stabilizer 0.01-10%, corrosion inhibitor 0.01-15%, chelating agent 0.01- 10%.The each component mass percent is preferably as follows the preferred 70-95% of scope water, the preferred 0.5-7% of quaternary organic ammonium compounds, mistake The preferred 0.5-15% of hydrogen oxide, the preferred 0.1-8% of stabilizer, the preferred 0.1-10% of corrosion inhibitor, the preferred 0.1-8% of chelating agent.Institute It is deionized water to state water.The quaternary organic ammonium compounds are selected from TMAH, ethoxy trimethylammonium hydroxide four Ethyl ammonium hydroxide, TPAOH, TBAH, cetyltrimethylammonium hydroxide and benzyl trimethyl One or more in ammonium hydroxide, wherein it is preferred that TMAH, one kind in ethoxy trimethylammonium hydroxide or Its mixture.The hydrogen peroxide is 30-35% super-clean high-pure electronic grade hydrogen peroxide, and metal ion content is less than 1ppb.Institute State stabilizer and be selected from heteropoly acid and its salt, the heteropoly acid and its salt are selected from phosphomolybdic acid, phosphotungstic acid, phosphorus vanadic acid, ammonium molybdate, wolframic acid One or more in ammonium, silico-tungstic acid, silicomolybdic acid, ammonium metatungstate, borotungstic acid, borotungstic acid ammonium.The corrosion inhibitor preferably is selected from Glucose, mannose, arabinose, erythrose, fructose, galactolipin, ribose, deoxyribose, xylose, mannitol, xylitol, One or more in D-sorbite.The chelating agent is amion acetic acid, iminodiacetic acid, ethylenediamine tetra-acetic acid, trans ring Hexamethylene tetraacetic acid, ATMP, hexapotassium, diethylene triamine pentamethylene phosphonic, hydroxy ethylidene two One or more in phosphonic acids.
The present invention also provides the specifically used method of this composition.
A kind of water base microelectronics is peeled off and cleaning liquid composition application method, it is characterised in that first by extra fine quality percentage Component is sequentially added in container, and is mixed together in a reservoir untill all components are all dissolved as settled solution, then After soaking the suitable time at 25 DEG C to 50 DEG C from slot type cleaning or SCM type cleaning, direct deionization rinsing is taken out, Then dried up afterwards with high pure nitrogen.The water base microelectronics peel off and cleaning liquid composition can matching surface activating agent make With the preferred fluorine carbon nonionic surfactant of surfactant, the dosage of the surfactant is preferably 0.001-8%, more Preferably 0.01-5%.
A kind of water base microelectronics of the present invention is peeled off and cleaning liquid composition does not contain azanol, fluoride, reducing agent, had Solvent, abrasive grains.Wherein, the mechanism of the stabilizer stable peroxide hydrogen is as follows:
Wherein X=P, Si, G, As ...;M=Mo, W, V.Its heteropoly acid can form the miscellaneous more complex compounds of peroxidating, drop with hydrogen peroxide Low hydrogen peroxide decomposes in system concentration, reduction.
The surfactant used joined together by water base microelectronics stripping of the present invention and cleaning liquid composition can select As E.I.Du Pont Company provide Zonyl UR, Zonyl FSO-100, Zonyl FSN-100 and 3M companies provide FC4430, FC4432, and Shuangzi nonionic surfactant, such as 2,4,7,9- tetramethyl -5- decine -4,7- glycol and 4,7- dimethyl - 5- decine -4,7- glycol.
A kind of water base microelectronics of the present invention is peeled off after removing dry etching with cleaning liquid composition(post-etch) After ashing(post-ash)Metal wire(metal line), through hole(via)And pad(pad)It is remaining Deng photoresist on wafer Thing and post-etch residues.The substrate containing materials such as aluminium, aluminium/copper, titanium, titanium nitride, tungsten, tantalum, silica will not be produced simultaneously Raw attack causes to corrode.
The positive effect of the present invention is:
(1)The water base microelectronics of the present invention for being used to removing photoetching glue residue during micro-electronic manufacturing is peeled off and cleaning Liquid composition, operation temperature is relatively low, and energy consumption is less, can directly be rinsed with water, and due to will not be made to metal especially aluminium Into corrosion, safety and environmental protection, cleaning cost is effectively reduced.
(2)Water base microelectronics of the present invention is peeled off and employs effective stabilizer in cleaning liquid composition formula, Enhance the stability and service life of hydrogen peroxide.
(3)The corrosion inhibitor component that water base microelectronics of the present invention is peeled off and cleaning liquid composition formula uses, Very effective metallic substrates can be protected not corroded, while be easy to wash, the secondary pollution of wafer will not be caused.
Embodiment
Advantages of the present invention is expanded on further below by specific embodiment, but protection scope of the present invention is not only limited to In following embodiments.
The component and content of the embodiment of table 1 and comparative example cleaning fluid
In order to further investigate the cleaning situation of the cleaning fluid, present invention employs following technological means:I.e. by semiconductor crystal wafer Such as metal wire, through hole and metal gasket(Including ashing and non-cineration technics)3cm*3cm small pieces are respectively cut into, are then immersed in 5-30 minutes are soaked in 100mL cleaning fluids at 25 DEG C to 50 scopes DEG C, High Purity Nitrogen air-blowing is then used after deionized water rinses It is dry.Cleaning test can also be carried out using single-chip microcomputer.Corrosion feelings of the cleaning performance and cleaning fluid of photoetching glue residue to chip Condition is as shown in table 2:
Show from table 2:The cleaning liquid energy of the present invention effectively removes wafer, such as metal wire, Metal;Through hole, Via;Metal gasket, Pad photoresist and photoetching glue residue, while it is larger not corrode metal and non metallic substrate, action pane on wafer.From right If preferably it can not only be removed in ratio 1 and embodiment 2 as can be seen that not containing hydrogen peroxide in formula in side wall Polymer, corrosion substrate that can also be apparent.In the basic conditions, hydrogen peroxide, which can effectively strengthen, removes wafer side wall richness Titanium, Silicon-rich and fluorine-containing polymer.As can be seen that not containing corrosion inhibitor or mistake from comparative example 2,3 and embodiment 2 The stabilizer of hydrogen oxide, it can all cause the corrosion of wafer substrate.
To sum up, positive effect of the invention is:The very effective removal wafer of aqueous-base cleaning liquid energy of the present invention On photoresist and resist residue, while do not corrode wafer substrate.The water-base cleaning liquid operation temperature is low, action pane Greatly, safety and environmental protection, had a good application prospect in fields such as semiconductor crystal wafer cleanings.
It should be appreciated that wt% of the present invention refers to weight/mass percentage composition.
The specific embodiment of the present invention is described in detail above, but it is intended only as example, it is of the invention and unlimited It is formed on particular embodiments described above.To those skilled in the art, it is any to the equivalent modifications that carry out of the present invention and Substitute also all among scope of the invention.Therefore, the impartial conversion made without departing from the spirit and scope of the invention and Modification, all should be contained within the scope of the invention.

Claims (10)

1. a kind of water base microelectronics is peeled off and cleaning liquid composition, including water, organic quaternary ammonium compound, hydrogen peroxide, stabilizer, Corrosion inhibitor, chelating agent, it is characterised in that each component mass percent such as meets following condition:Water 60-98%, organic quaternary ammonium Compound 0.1-10%, hydrogen peroxidase 10 .1-25%, stabilizer 0.01-10%, corrosion inhibitor 0.01-15%, chelating agent 0.01- 10%。
2. a kind of water base microelectronics stripping and cleaning liquid composition according to claim 1, it is characterised in that each component Mass percent is preferably as follows the preferred 70-95% of scope water, the preferred 0.5-7% of quaternary organic ammonium compounds, the preferred 0.5- of hydrogen peroxide 15%th, the preferred 0.1-8% of stabilizer, the preferred 0.1-10% of corrosion inhibitor, the preferred 0.1-8% of chelating agent.
3. a kind of water base microelectronics stripping and cleaning liquid composition according to claim 1, it is characterised in that the water is to go Ionized water.
4. a kind of water base microelectronics stripping and cleaning liquid composition according to claim 1, it is characterised in that organic season Ammonium compounds is selected from TMAH, ethoxy trimethylammonium hydroxide, tetraethyl ammonium hydroxide, tetrapropyl hydroxide One or more in ammonium, TBAH, cetyltrimethylammonium hydroxide and benzyltrimethylammonium hydroxide, its In preferably TMAH, one kind in ethoxy trimethylammonium hydroxide or its mixture.
5. a kind of water base microelectronics stripping and cleaning liquid composition according to claim 1, it is characterised in that the peroxidating Hydrogen is 30-35% super-clean high-pure electronic grade hydrogen peroxide, and metal ion content is less than 1ppb.
6. a kind of water base microelectronics stripping and cleaning liquid composition according to claim 1, it is characterised in that the stabilizer Selected from heteropoly acid and its salt, the heteropoly acid and its salt are selected from phosphomolybdic acid, phosphotungstic acid, phosphorus vanadic acid, ammonium molybdate, ammonium tungstate, silicon tungsten One or more in acid, silicomolybdic acid, ammonium metatungstate, borotungstic acid, borotungstic acid ammonium.
7. a kind of water base microelectronics stripping and cleaning liquid composition according to claim 1, it is characterised in that the corrosion suppression Preparation preferably is selected from glucose, mannose, arabinose, erythrose, fructose, galactolipin, ribose, deoxyribose, xylose, mannose One or more in alcohol, xylitol, D-sorbite.
8. the chelating agent is amion acetic acid, iminodiacetic acid, ethylenediamine tetra-acetic acid, trans cvclohexvl ethylenediamine tetraacetic acid (EDTA), amino One kind or several in three methylenephosphonic acids, hexapotassium, diethylene triamine pentamethylene phosphonic, 1-hydroxy ethylidene-1,1-diphosphonic acid Kind.
9. a kind of water base microelectronics is peeled off and cleaning liquid composition application method, it is characterised in that first by extra fine quality percentage group Part is sequentially added in container, and is mixed together in a reservoir untill all components are all dissolved as settled solution, Ran Houxuan After slot type cleans or SCM type cleaning soaks the suitable time at 25 DEG C to 50 DEG C, direct deionization rinsing is taken out, so Dried up afterwards with high pure nitrogen.
10. a kind of water base microelectronics stripping and cleaning liquid composition according to claim 9, it is characterised in that described water base micro- Electron detachment and cleaning liquid composition can matching surface activating agent use, the preferred fluorine carbon non-ionic surface active of surfactant Agent, the dosage of the surfactant is preferably 0.001-8%, more preferably 0.01-5%.
CN201710488371.2A 2017-06-23 2017-06-23 A kind of water base microelectronics is peeled off and cleaning liquid composition Pending CN107338126A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710488371.2A CN107338126A (en) 2017-06-23 2017-06-23 A kind of water base microelectronics is peeled off and cleaning liquid composition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710488371.2A CN107338126A (en) 2017-06-23 2017-06-23 A kind of water base microelectronics is peeled off and cleaning liquid composition

Publications (1)

Publication Number Publication Date
CN107338126A true CN107338126A (en) 2017-11-10

Family

ID=60221570

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710488371.2A Pending CN107338126A (en) 2017-06-23 2017-06-23 A kind of water base microelectronics is peeled off and cleaning liquid composition

Country Status (1)

Country Link
CN (1) CN107338126A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108962723A (en) * 2018-07-13 2018-12-07 安徽建筑大学 A kind of water base microelectronics removing cleaning combination agent
CN111484908A (en) * 2019-01-29 2020-08-04 山东浪潮华光光电子股份有限公司 Photoetching plate cleaning agent and use method thereof
CN111979546A (en) * 2020-08-19 2020-11-24 江苏科林泰电子有限公司 Effective stripping cleaning agent for metal magnesium and silver
CN114276814A (en) * 2021-12-15 2022-04-05 湖北兴福电子材料有限公司 Cleaning fluid for silicon wafer etching
CN114854507A (en) * 2022-03-31 2022-08-05 浙江奥首材料科技有限公司 Acidic ionic liquid, cleaning agent containing acidic ionic liquid and semiconductor substrate cleaning method
CN117747414A (en) * 2024-02-19 2024-03-22 中国科学院长春光学精密机械与物理研究所 Method for cleaning semiconductor wafer substrate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1469918A (en) * 2000-10-16 2004-01-21 ���ֿ����ر��˹�˾ Stabilized alkaline compositions for cleaning microelectronic substrates
CN101611130A (en) * 2007-02-14 2009-12-23 马林克罗特贝克公司 Be used to remove the preparation of etch residue based on peroxide activated oxometallate
CN102061228A (en) * 2002-06-07 2011-05-18 安万托特性材料股份有限公司 Microelectronic cleaning compositions containing oxidizers and organic solvents
CN104508072A (en) * 2012-02-15 2015-04-08 安格斯公司 Post-CMP removal using compositions and method of use
CN105527802A (en) * 2014-09-29 2016-04-27 安集微电子科技(上海)有限公司 Photoresist cleaning fluid
CN105807577A (en) * 2014-12-29 2016-07-27 安集微电子科技(上海)有限公司 Cleaning solution for photoresist residues

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1469918A (en) * 2000-10-16 2004-01-21 ���ֿ����ر��˹�˾ Stabilized alkaline compositions for cleaning microelectronic substrates
CN102061228A (en) * 2002-06-07 2011-05-18 安万托特性材料股份有限公司 Microelectronic cleaning compositions containing oxidizers and organic solvents
CN101611130A (en) * 2007-02-14 2009-12-23 马林克罗特贝克公司 Be used to remove the preparation of etch residue based on peroxide activated oxometallate
CN104508072A (en) * 2012-02-15 2015-04-08 安格斯公司 Post-CMP removal using compositions and method of use
CN105527802A (en) * 2014-09-29 2016-04-27 安集微电子科技(上海)有限公司 Photoresist cleaning fluid
CN105807577A (en) * 2014-12-29 2016-07-27 安集微电子科技(上海)有限公司 Cleaning solution for photoresist residues

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108962723A (en) * 2018-07-13 2018-12-07 安徽建筑大学 A kind of water base microelectronics removing cleaning combination agent
CN108962723B (en) * 2018-07-13 2021-07-13 安徽建筑大学 Water-based microelectronic stripping and cleaning composition
CN111484908A (en) * 2019-01-29 2020-08-04 山东浪潮华光光电子股份有限公司 Photoetching plate cleaning agent and use method thereof
CN111979546A (en) * 2020-08-19 2020-11-24 江苏科林泰电子有限公司 Effective stripping cleaning agent for metal magnesium and silver
CN114276814A (en) * 2021-12-15 2022-04-05 湖北兴福电子材料有限公司 Cleaning fluid for silicon wafer etching
CN114854507A (en) * 2022-03-31 2022-08-05 浙江奥首材料科技有限公司 Acidic ionic liquid, cleaning agent containing acidic ionic liquid and semiconductor substrate cleaning method
CN117747414A (en) * 2024-02-19 2024-03-22 中国科学院长春光学精密机械与物理研究所 Method for cleaning semiconductor wafer substrate
CN117747414B (en) * 2024-02-19 2024-04-23 中国科学院长春光学精密机械与物理研究所 Method for cleaning semiconductor wafer substrate

Similar Documents

Publication Publication Date Title
CN107338126A (en) A kind of water base microelectronics is peeled off and cleaning liquid composition
KR102285003B1 (en) COMPOSITION FOR TiN HARD MASK REMOVAL AND ETCH RESIDUE CLEANING
CN107121901A (en) A kind of rich water base cleaning liquid composition
US6686322B1 (en) Cleaning agent and cleaning process using the same
TWI416282B (en) Composition for removing a photoresist residue and polymer residue, and residue removal process using same
US20090120457A1 (en) Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
EP1035446A2 (en) Resist stripping composition and process for stripping resist
CN108473918B (en) Composition for post-CMP cleaning
CN101614970B (en) Photoresist cleaning agent composition
EP1389496A1 (en) Method for cleaning surface of substrate
CN101957563B (en) Fluorine-containing plasma etching residue cleaning solution
JP2002113431A (en) Cleaning method
JP2005529363A (en) Microelectronic cleaning and ARC removal composition
CN101204706A (en) Cleaning method of quartz material parts
JP2019502802A (en) Cleaning composition after chemical mechanical polishing
CN113667552A (en) Cleaning solution for copper damascene process
KR20060050482A (en) Cleaning composition and method
CA2740027A1 (en) Aqueous acidic formulations for copper oxide etch residue removal and prevention of copper electrodeposition
JP2008191624A (en) Residue removing composition for board using titanium
KR102377573B1 (en) Composition for post chemical-mechanical-polishing cleaning
JP2002020787A (en) Detergent for copper wiring semiconductor substrate
CN103809394A (en) Cleaning fluid for removing light-resistance etching residues
CN114273320B (en) Semiconductor wafer dry etching post-cleaning process
KR20030033786A (en) Solution composition for removing a remaining photoresist resins
JP2006191002A (en) Remover composition

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20171110

WD01 Invention patent application deemed withdrawn after publication