CN111373519A - 高压蒸气退火处理设备 - Google Patents
高压蒸气退火处理设备 Download PDFInfo
- Publication number
- CN111373519A CN111373519A CN201880072145.9A CN201880072145A CN111373519A CN 111373519 A CN111373519 A CN 111373519A CN 201880072145 A CN201880072145 A CN 201880072145A CN 111373519 A CN111373519 A CN 111373519A
- Authority
- CN
- China
- Prior art keywords
- chamber body
- cassette
- batch processing
- bottom wall
- disposed
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6732—Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6735—Closed carriers
- H01L21/67389—Closed carriers characterised by atmosphere control
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68792—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
本文提供用于退火半导体基板的设备,例如批处理腔室。批处理腔室包含:腔室主体,封闭内部容积;匣,可移动地设置于内部容积内;以及栓塞,耦合至该匣的底部壁。腔室主体具有穿过腔室主体的底部壁的孔洞,并且与一个或更多个加热器交界,该加热器可操作以维持腔室主体处于大于290℃的温度。该匣经配置以升高以在该匣上装载多个基板以及降低以密封内部容积。该栓塞经配置以在内部容积内上下移动。该栓塞包含面向下的密封,该密封经配置以与腔室主体的底部壁的顶部表面接合并且关闭穿过腔室主体的底部壁的孔洞。
Description
技术领域
本公开的实施例一般涉及集成电路的制造,且具体地涉及用于退火一个或更多个半导体基板的设备。
背景技术
半导体器件(例如,存储器装置、逻辑设备、微处理器等)的形成涉及在半导体基板上沉积一个或更多个薄膜。使用该薄膜以产生需要的电路以制造半导体器件。退火为一种热处理工艺,用于在所沉积薄膜上达成多种效应以改良其电属性。例如,可使用退火以激活掺杂剂、致密所沉积的薄膜、或改变所生成的薄膜的状态。
自几十年前采用以来,半导体器件几何形状在大小上急遽减小。增加的装置密度导致结构特征具有减小的空间尺寸。例如,在高的深宽比(深度对宽度的比例)的空隙及沟槽中,现代半导体器件的结构特征窄至一点,而使用材料填充空隙变得极具挑战性,特别是不能可靠地控制填充空隙的材料属性。适于改良成块沉积材料的属性的传统退火处理无法展现改良沉积于高的深宽比特征中的材料的属性的能力。
因此,具有针对用于退火半导体基板的改良的方法及设备的需求。
发明内容
本公开的实施例一般涉及用于退火一个或更多个半导体基板的设备。在一个实施例中,公开了一种批处理腔室。该批处理腔室包含:腔室主体,该腔室主体封闭内部容积;匣,可移动地设置在该内部容积内;以及栓塞,该栓塞耦合至该匣的底部壁。该腔室主体具有穿过该腔室主体的底部壁的开口。轴件设置为穿过在该腔室主体中形成的该开口且该轴件耦合至该匣。该腔室主体与一个或更多个加热器交界,该加热器可操作以维持腔室主体处于大于290℃的温度。该匣经配置以升高至第一位置以在该匣上装载多个基板,以及降低进入该第一位置下方的第二位置以用于处理。该栓塞包含面向下的密封,该密封经配置以在该匣位于该第二位置中时与该腔室主体的该底部壁的顶部表面接合。该密封环绕该开口和该轴件,且密封抵靠该腔室主体的该底部壁。
在另一个实施例中,批处理腔室包含:腔室主体,该腔室主体封闭内部容积;匣,可移动地设置在该内部容积内;以及中空圆柱壳,设置在该内部容积内。该腔室主体与一个或更多个加热器交界,该加热器可操作以维持腔室主体处于大于290℃的温度。该匣在第一位置和该第一位置下方的第二位置之间可移动。在第一位置中,该匣设置于该壳上方。在第二位置中,该匣被该壳环绕。在该壳的内表面和该匣之间设置一个或更多个加热器。
而在另一个实施例中,批处理腔室包含:腔室主体,该腔室主体封闭内部容积;匣,可移动地设置在该内部容积内;栓塞,该栓塞耦合至该匣的底部壁;中空圆柱壳,设置在该内部容积内;盖,设置于该匣上;以及门,经配置以可密封地关闭穿过该腔室主体的侧壁形成的装载端口。该腔室主体包含一个或更多个加热器,该等加热器可操作以维持腔室主体处于大于290℃的温度。该匣具有穿过该匣的底部壁形成的一个或更多个气体入口开口。该匣在第一位置和该第一位置下方的第二位置之间可移动。在第一位置中,该匣设置于该壳上方。在第二位置中,该匣被该壳侧向地环绕。该栓塞耦合至轴件且包含环绕开口的面向下的密封并且经配置以在该匣位于该第二位置中时与该腔室主体的该底部壁的顶部表面接合。该栓塞也包含设置于该栓塞中的冷却通道。该壳具有设置于该壳的内表面上的一个或更多个加热器。该盖具有大于该壳的外径的直径。
附图说明
为了可以详细理解本公开上述特征中的方式,可通过参考实施例而具有本公开的更具体的描述(简短总结如上),其中一些图示于所附附图中。然而,注意所附附图仅图示示例性实施例,因为本公开可允许其他等效实施例。
图1A为批处理腔室的示意截面视图,具有位于升高位置的匣以装载多个基板进入该匣。
图1B为批处理腔室的示意截面视图,具有位于降低位置的匣以处理多个基板。
图1C为该匣的示意底部视图。
图1D为置于该批处理腔室内的圆柱壳的部分示意截面视图。
图1E为处于开启配置的狭缝阀门的示意截面视图。
图1F为处于关闭配置的狭缝阀门的示意截面视图。
图2为连接至该批处理腔室的入口的温度控制输入流体通路的示意视图。
图3为连接至该批处理腔室的出口的温度控制输出流体通路的示意视图。
为了便于理解,尽可能使用相同附图标记,以标示附图中共同的相同组件。构想到一个实施例的组件及特征可有利地并入其他实施例,而无须进一步叙述。
具体实施方式
本公开的实施例一般涉及用于退火一个或更多个基板(例如,半导体基板)的方法及设备。在一个示例中,设备为批处理腔室,该批处理腔室操作以处理位于匣上的多个半导体基板,该匣设置于批处理腔室内。在该匣位于降低(例如,处理)位置中时,批处理腔室在高至70bar的压力下和高至550℃的温度下退火基板。在本文所述的一个示例中,该匣的底部壁包含面向下密封,该面向下密封在该匣位于降低位置中时关闭批处理腔室。因此,在高于大气压力的处理压力下,跨该匣和底部接口的压力差异迫使密封被压缩,而优势地产生相较于典型使用于轴件密封应用的动态密封更强健的密封。相似地,批处理腔室的狭缝阀门自处理腔室的内部容积密封,且相似地,在处理腔室的内部容积处于升高压力时狭缝阀门的密封被迫压缩。在其他实施例中,批处理腔室并入中空圆柱壳。该壳用以保持一个或更多个加热器所产生的热,该加热器设置于该壳的边界内且位于该壳和该匣之间。该壳也实质减低传送至处理腔室的侧壁的热量。
图1为批处理腔室100的示意截面视图,具有设置于第一位置(例如,升高位置或装载位置)的匣130以装载多个基板135进入匣130。批处理腔室100具有封闭内部容积150的腔室主体110以及设置于腔室主体110上的盖111。腔室主体110具有环形,虽然在其他实施例中,主体110可以是矩形或任何封闭形状。
可在一个或更多个区段中制成腔室主体110。在图1中所展示的实施例中,腔室主体110包含盖111,包含底部壁115、侧壁117。底部壁115具有内表面151和外表面153。侧壁117具有内表面155和外表面157。盖111和腔室主体110由静止密封118保持在一起。冷却通道119被设置为与静止密封118相邻。在其他实施例中(未展示),腔室主体110可包含被定位在底部115和盖111之间的上卷和下卷。腔室主体110可由展现高抗腐蚀性的镍基钢合金制成,例如但不限于哈氏因康和蒙乃尔合金中的一个或更多个。
一个或更多个筒加热器112设置于腔室主体110内,例如,底部115或侧壁117的凹陷内。加热器112经配置以主动地加热腔室主体110且维持腔室主体110处于大于290℃的温度。每一加热器112可以是电阻线圈、灯具、陶瓷加热器、石墨基碳纤维合成物(CFC)加热器、不锈钢加热器、或铝加热器。加热器112由功率源105供电。温度传感器114耦合至腔室主体110并且经配置以监视腔室主体110的温度。通过控制器180经由从温度传感器114所接收的反馈来控制至加热器112的功率。可沿着腔室主体110的侧壁117的内表面155在内部容积150中设置由(至少相对于屏蔽)具有低的传热系数的材料(例如但不限于石英)制成的可选的绝缘衬垫116。在该示例中,衬垫116设置于壳120和侧壁117之间,优势地减低壳120和侧壁117之间传送的热量,因而帮助调节侧壁117的温度。
匣130可移动地设置于内部容积150内。匣130可由石英、陶瓷、或其他非热传导性材料制成。匣130将多个基板135容纳于其中以便于将多个基板135传送进入和离开批处理腔室100。此外,匣130便于基板135在批处理腔室100内的第一位置和批处理腔室100内的第二位置之间的传送,使得基板135在升高的压力和升高的温度下退火。
匣130包含顶部壁132、底部壁134、以及侧壁136。匣130的侧壁136具有在侧壁136的向内表面上形成的多个基板存储槽138。每一基板存储槽138垂直地沿着匣130的侧壁136的内表面均匀地相间隔。每一基板存储槽138经配置以保持基板存储槽138上的多个基板135中的一个。在一个示例中,匣130可具有多至25个基板存储槽138以保持基板135。在其他示例中,构想到多于或少于25个基板存储槽138。底部壁134具有穿过底部壁134形成的一个或更多个孔隙133,以便于流体流进匣130。
图1C为匣130的示意底部视图。在一个实施例中,如图1C中所展示,匣130的底部壁134包含自中央部分330径向延伸至环形周边部分131的一个或更多个轮辐104,限定了相邻轮辐104之间的孔隙133。
回到图1A和图1B,栓塞172耦合至匣130的底部壁134。栓塞172包含一个或更多个密封175。密封可设置于栓塞172的下表面上。在开口154上方垂直放置栓塞172,穿过腔室主体110的底部壁115形成开口154。栓塞172在批处理腔室100的操作期间与匣130垂直上下致动。在一些方面中,如图1和图2中所展示,开口154包含在内表面151中形成的阶梯形上剖面且经配置以在其中容纳栓塞172。在操作期间,栓塞172被垂直向下致动且将开口154的阶梯形剖面的底部表面与密封175接合,因而形成栓塞172和底部壁115之间的密封以防止流体流过批处理腔室100的开口154。
在栓塞接合内表面151时,批处理腔室100内的升高的压力(大于批处理腔室100外部的压力)迫使密封175与内表面151接合。通过迫使密封175接合,产生更强且更液密的密封。在一个示例中,密封175由高温聚合物制成,例如但不限于氟橡胶。在一些实施例中,密封175为O环。在一些实施例中,可排除栓塞172。在这种示例中,匣130的底部壁134密封抵靠底部115。构想到在该示例中,密封175可置于匣134的底部壁134的下表面上,或可选地,可排除密封175。
栓塞172也包含设置于栓塞172中与密封175相邻的冷却通道176。冷却通道176流体地连接至冷却流体源177。冷却流体(例如但不限于惰性、介电、及高效传热流体)可在冷却通道176内循环。冷却通道176经配置以冷却栓塞172和密封175以在操作期间维持密封175低于最大完整性温度(例如,约325℃),由此延长密封175的使用寿命。栓塞172耦合至轴件174,轴件174在内部容积150内上下移动栓塞172和匣130。栓塞172设置于轴件174的上端处,且可以是轴件174的分开部件或可与轴件174整体形成。轴件174的下端耦合至致动器170以便于轴件174的动作。通过控制器180来控制轴件174和致动器170。
批处理腔室100也包含真空唇形密封160。真空唇形密封160被定位为与底部壁115的外表面153相邻,介于外表面153和致动器170之间。真空唇形密封160包含密封165以便于真空唇形密封160绕着轴件174的密封。密封165可由高温聚合物制成,例如但不限于氟橡胶。真空唇形密封160用作对密封175的备用或多余的密封。在密封175失效的情况中,自内部容积150逃逸的加压的流体或空气被引导通过出口169,出口169流体地连接至泵(未展示)。在低压操作期间,因为轴件174在批处理腔室100的内部容积150内上下移动匣130,真空唇形密封160防止加压的流体或空气从内部容积150逸散或大气空气进入内部容积150。
在一些实施例中,如图1A和图1B中所展示,批处理腔室100也包含热中断178。热中断被定位为与栓塞172的上表面和匣130的底部壁134的下表面相邻。热中断178可附着至底部壁134,或至栓塞172的上表面。热中断178由陶瓷制成,然而,也可构想其他材料。热中断178经配置以通过减缓匣130及轴件174之间的传热来限制热从匣130至腔室外部的流动。
图1D为被定位在批处理腔室内的圆柱壳的部分示意截面视图。圆柱壳120被定位在匣130(在位于降低位置时)和可选的绝缘衬垫116之间。
壳120包含具有加热器127的壁123,加热器127耦合至壳120的内表面106。壳120经配置以加热位于壁123内的处理区域125并且经配置以屏蔽壁123外侧的外部区域129免于处理区域125中的热。除非另外说明,壳120便于处理区域125和外部区域129之间的热分隔。壁123可由高反射性片金属或镍基钢合金(例如,哈氏合金)制成。可使用绝缘层(未展示)可选地涂布壁123的外表面107以进一步便于处理区域125和外部区域129之间的热分隔。
壁123的内表面106具有耦合至内表面106的多个钩126,例如陶瓷钩。多个钩126在其上支撑一个或更多个加热器127。加热器127被布置成螺旋形或轴向对齐配置,并且经配置以在退火操作期间加热处理区域125和置于处理区域125中的多个基板135。在一个示例中,一个或更多个加热器127经配置以将处理区域125加热至高至550℃的温度。加热器可例如为电阻性加热器,由镍铬合金形成。壳120内的加热器127电性地连接至功率源105。在一个示例中,内表面106为热反射性并且经配置以将由加热器127产生的热反射回到处理区域125。在一些方面中,内表面1可在其上包含反射性涂布以进一步增强热反射。
压力传感器122被定位在处理区域125中在底部115的内表面151上。压力传感器122经配置以测量退火处理期间处理区域125的压力。温度传感器124被定位在处理区域125中在底部115的内表面151上与压力传感器122相邻,并且温度传感器124经配置以测量退火处理期间处理区域125的温度。
匣130的盖140包含盖140的下表面上的环形凹陷142。环形凹陷142经配置以设置于壳120的壁123上方,并且形成壁123和盖140之间的空隙128。在一个示例中,盖140具有大于壳120的外径的直径以容纳环形凹陷142。在处理流体被导入处理区域125时,空隙128允许任何残余空气被处理流体置换进入内部容积150(图1B中所展示)。
图1D也图示热中断178的示例。在图示的示例中,热中断178被塑形成封装杯。封装杯最小化与匣130的底部壁134的接触。如图1D中所展示,热中断178具有环绕中空中央部分109的薄的圆柱壁108。中空中央部分109和薄的圆柱壁减低匣130和栓塞172之间的传热。在另一个示例中,构想到热中断178可省略中空中央部分109。在该示例中,热中断178可由热绝缘材料形成。
回到图1A和1B,且参考图1E和1F,穿过腔室主体110的侧壁117形成装载端口158。图1E为处于开启配置的狭缝阀门195的示意截面视图。图1F为处于关闭配置的狭缝阀门195的示意截面视图。在处理期间,多个基板135可通过装载端口158被装载进入批处理腔室100或从批处理腔室100卸除。使用狭缝阀门195以通过选择性地开启和关闭装载端口158来提供通过装载端口158的对内部容积150的进入。狭缝阀门195经配置以接合环绕装载端口158的侧壁117的内表面155。密封199通过对装载端口158密封而便于狭缝阀门195的关闭。内部容积150内的升高的压力进一步迫使狭缝阀门195和密封199与内表面155接合。密封199可由高温聚合物制成,例如但不限于氟橡胶。在一些实施例中,密封199可以是O环。
狭缝阀门195包含被定位在细长槽105b中的旋转插销105a。插销105a将狭缝阀门195耦合至条198a。条198a耦合至水平致动器194a和垂直致动器190。水平致动器194a经配置以将狭缝阀门195的一端在内部容积150内朝向和远离装载端口158线性地移动。在致动水平致动器194a之后,狭缝阀门195绕着插销105c枢转,同时插销105a在细长槽105b内移动。为了提供针对狭缝阀门195的旋转的净空,第二水平致动器194b可便于狭缝阀门195经由条198b远离内表面155的移动。狭缝阀门195可枢转成非垂直定向(例如相对于内表面155约45度)以提供基板的进入和离开。为了提供额外净空,水平致动器194a、194b可耦合至支架196,支架196通过链结192耦合至垂直致动器190,以便于支架196的致动。支架196的垂直致动通过在装载端口158内垂直移动栅198a、198b来提供装载端口158内的额外净空。狭缝阀门195和各个致动器的动作由控制器180来控制。
为了便于批处理腔室100内的空气流动,穿过腔室主体110的底部壁115形成入口端口152且将入口管道259(图2中所展示)流体地连接至内部容积150。也穿过底部壁115形成出口端口156且将内部容积150连接至出口管道361(图3中所展示)。入口端口152流体地耦合至气体供应源以提供一个或更多个气体(例如,试剂、前体、冲洗气体、或载气)。
图2示意地图示温度控制入口流体通路200。温度控制入口流体通路200经由入口管道259流体地可连接至入口端口152。入口流体通路200包含气体面板250、源管道257以及耦合至入口管道259的入口绝缘阀255。一个或更多个加热器252、254、及258与入口流体电路200的不同部分交界以便于遍及入口流体通路200的温度控制。一个或更多个温度传感器251、253和256与入口流体通路200的不同部分交界以获得温度测量并且将温度测量信息提供至控制器180,因而便于反馈控制。
如图2中所展示,源管道257与加热器252交界且热连通。入口绝缘阀255与加热器254交界且热连通。入口管道259与加热器258交界且热连通。温度传感器251与源管道257交界且经配置以测量源管道257的温度。温度传感器253与入口绝缘阀255交界且经配置以测量入口绝缘阀255的温度。温度传感器256与入口管道259交界且经配置以测量入口管道259的温度。温度读取装置205接收和显示来自温度传感器251、253和256的温度测量。温度读取装置205将温度信息发送至控制器180以便于入口流体电路200的温度控制。
图3示意地图示温度控制出口流体通路300。出口流体通路300经由出口管道361流体地可连接至出口端口156。出口流体通路300包含出口绝缘阀365、排气管道263、凝结器360以及泵378。一个或更多个加热器362、364和366与出口流体通路300的不同部分交界以便于出口流体电路300的热控制。一个或更多个温度传感器367、368和369与出口流体电路300的不同部分交界以获得温度测量并且将温度测量信息提供至控制器180。如图3中所展示,出口管道361与加热器362交界且热连通。出口绝缘阀265与加热器364交界且热连通。排气管道363与加热器366交界且热连通。温度传感器367与出口管道361交界且经配置以测量出口管道361的温度。温度传感器368与出口绝缘阀365交界且经配置以测量出口绝缘阀365的温度。温度传感器369与排气管道363交界且经配置以测量排气管道363的温度。温度读取装置305接收和显示来自温度传感器367、368和369的温度测量。温度读取装置305将温度信息发送至控制器180以便于出口流体电路300的温度控制。
凝结器360流体地耦合至内部容积150且经配置以凝结通过出口管道361离开内部容积150的处理流体。可选地,离开凝结器360的凝结处理流体可经由绝缘阀375途经热交换器370。热交换器370经配置以进一步冷却凝结处理流体,使得处理流体可被更容易管理。凝结器360通过凝结器管道372流体地连接至绝缘阀375。热交换器370通过热交换器管道374耦合至绝缘阀375。泵378通过泵管道376流体地连接至热交换器370,以便于将液化的处理流体从热交换器370抽吸至容器(未展示)以供回收、重新使用、或丢弃。
参考图2和图3,加热器252、254、258、362、364和366经配置以维持流经流体通路200和300的处理流体处于预先决定的温度。在一个示例中,预先决定的温度大于处理流体的凝结点。可选地,可使用热绝缘层来覆盖加热器252、254、258、362、364和366以防止热损失进入外部环境,由此改良流体入口通路200和流体出口通路300的温度控制效率。加热器252、254、258、362、364和366可以是灯具、电阻性加热组件、用于流动传热流体的流体管道、或其他合适的加热装置。在如图2和图3中所展示的实施例中,加热器252、254、258、362、364和366为绕着流体通路200和300的组件缠绕的电阻性加热器。加热器252、254、258、362、364和366分别耦合至功率源105。在一些实施例中,加热器252、254、258、362、364和366中的每一个可独立地被控制。温度传感器251、253、256、367、368和369中的每一个可以是非接触传感器(例如红外光传感器)或接触传感器(例如热电耦)。
入口绝缘阀255和出口绝缘阀365为截流阀。在入口绝缘阀255开启时,出口绝缘阀365关闭,使得流经源管道257的处理流体进入入口管道259和内部容积150内的处理区域125。另一方面,在出口绝缘阀365开启时,入口绝缘阀255关闭,使得气体产物从处理区域125移除并且流经出口管道361和排气管道363进入凝结器360。
构想到根据针对批处理腔室100中的基板所需退火的处理需求来选择处理流体。处理流体可包括含氧和/或含氮气体,例如氧、蒸气、水、过氧化氢和/或氨。替代含氧和/或含氮气体或除了含氧和/或含氮气体之外,处理流体可包含含硅气体,例如但不限于一个或更多个有机硅化合物、一个或更多个原硅酸四乙酯(tetraalkyl orthosilicate)、一个或更多个二硅氧烷、或上述的任何组合。在一些实施例中,处理流体可以是高至70bar的压力下和约550℃的温度下的蒸气。
回到图1A和1B,批处理腔室也包含控制器180。控制器180控制致动器170、194a、194b和190,以及批处理腔室100的功率源105的操作。控制器180也连接至冷却流体源177、入口流体通路200(图2)以及出口流体通路300(图3)。控制器180通信地连接至压力传感器122以及温度传感器114和124。控制器180包含中央处理单元(CPU)182、存储器184和支持电路186。CPU 182可以是可以在工业设定中使用的任何形式的通用计算机处理器。存储器184可以是随机存取存储器、只读存储器、软盘、或硬盘驱动、或其他形式的数字存储。支持电路186通常耦合至CPU 182且可包含高速缓存、时钟电路、输入/输出系统、功率供应等等。
批处理腔室100经配置以用于在高至70bar的压力下和约550℃的温度下退火多个基板135。在操作期间,加热器112通电以预先加热批处理腔室100并且维持内部容积150处于大于290℃的温度,以避免后续导入批处理腔室100的过热处理流体的凝结。
控制器驱动一个或更多个致动器190、194a、194b以开启装载端口158。在开启装载端口158的同时或之后,轴件174被致动器170致动以在批处理腔室100的内部容积150内升高匣130。轴件174递增地将每一基板存储槽138与装载端口158对齐,使得可在各个基板存储槽138上分别装载来自机器人末端效应器(未展示)的多个基板135。一旦多个基板135被装载进入匣130,关闭装载端口158。
轴件174被致动器170致动以在批处理腔室100的内部容积150内降低匣130。在匣130安置进入降低位置时,栓塞172接合内表面151以密封开口154。同时,匣130的盖140设置于壳120的壁123上,由此限定处理区域125。
被定位在壳120的内部内的加热器127被功率源105通电以维持处理区域125和多个基板135处于约550℃的温度。通过气体面板250将处于过热状态的处理流体经由入口流体通路200的入口管道259导入处理区域125。出口流体通路300在流体通过入口管道259的导入期间为非操作性。在流体被导入处理区域125时,流体所应用的压力递增地增加。在一个示例中,流体为导入处理区域125直到在处理区域125内建立约70bar的压力的蒸气。一旦在处理区域内建立所需压力,暂停流体的流动。
多个基板135在高压和高温下暴露于处理流体(例如,蒸气)以退火多个基板135。在多个基板135的处理期间,处理区域125、入口管道259和出口管道361维持于一温度和压力,使得处理流体保持在气相。例如,处理区域125、入口管道259和出口管道361的温度维持于大于处理流体在应用的压力下的凝结点的温度。处理区域125、入口管道259和出口管道361的压力维持于小于处理气体在应用的温度下的凝结压力。控制器180使用来自温度传感器114和124、温度读取装置205和305以及压力传感器122的信息,以控制关于批处理腔室100的处理流体的流动、应用的压力和应用的热。
一旦完成退火处理,激活出口流体通路300以便于从处理区域125移除处理流体。处理流体通过出口流体通路300的出口管道361离开处理区域。入口流体通路200在处理气体从处理区域125的移除期间为非操作性。在从处理区域125移除处理流体之后关闭加热器127,以减缓处理区域125内的凝结的形成。轴件174接着被致动器170致动以在批处理腔室100的内部容积150内升高匣130。接着,经由致动狭缝阀门195来开启装载端口158,且从批处理腔室100分别移除基板135。
在一个方面中,在将多个基板135装载至匣130/从匣130卸除多个基板135时,批处理腔室100在小于0.5atm的压力下操作。在该低压操作期间,在轴件174在内部容积150内上下移动匣130时真空唇形密封160为有效的。真空唇形密封160通过绕着轴件174密封来防止额外加压流体或空气逸散进入内部容积150。为了便于逸散减缓,真空唇形密封耦合至真空泵(未展示)以通过出口169撤出流体或空气。在一个示例中,若密封175失效,真空唇形密封160便于通过出口169移除任何加压的处理流体,使得批处理腔室100的真空整体性可在低压操作期间维持。
本文所述的批处理腔室提供众多的利益。批处理腔室经配置以在高压下以及真空下操作。批处理腔室并入具有设置于内表面上的众多加热器的中空圆柱壳,以在处理(例如,退火)期间将多个基板加热之高至550℃。同时,壳用作热屏蔽以防止壳内部的热传递至腔室壁,而允许腔室壁的温度保持在约290℃至约325℃的范围中。将腔室壁的温度维持在约290℃至约325℃的范围中使得能够使用密封以关闭对腔室的端口,而密封不会温度下降。不使用该密封,将需要金属密封。然而,金属密封需要永久安装且具有有限的重新使用能力。此外,金属密封具有产生不需要的颗粒污染的趋势。
此外,密封的定位允许使用压力以形成更强健的密封。构想到所公开的耦合的栓塞和狭缝阀门皆获益于该密封定位。
最后,本文所公开的入口流体通路和出口流体通路提供以下优点:控制和维持处理流体的温度高于流经其中的处理流体的凝结点。耦合至入口流体通路和出口流体通路的不同部分的多个加热器和温度传感器帮助控制器来控制和维持热供应至入口流体通路、出口流体通路和腔室。由本文所公开的方面所提供的附加的温度控制防止处理流体的凝结并且维持处理流体处于气相,由此改良基板的总体处理。
虽然前述旨在本公开的特定实施例,应理解该实施例仅说明本公开的原理和应用。因此,应理解可对说明的实施例进行许多修改以实现其他实施例,而不背离本发明的精神和范围,如所附权利要求所限定。
Claims (15)
1.一种批处理腔室,包括:
腔室主体,所述腔室主体封闭内部容积,所述腔室主体具有穿过所述腔室主体的底部壁形成的开口;
匣,所述匣被可移动地设置在所述内部容积内,所述匣经配置以升高至第一位置以在所述匣中装载多个基板,以及降低进入所述第一位置下方的第二位置以用于处理;
轴件,所述轴件被设置为穿过在所述腔室主体中形成的所述开口并且所述轴件耦合至所述匣;
栓塞,所述栓塞耦合至所述匣的底部壁,所述栓塞包括面向下的密封,所述密封经配置以在所述匣位于所述第二位置中时与所述腔室主体的所述底部壁的顶部表面接合,所述密封环绕所述开口和所述轴件,并且在所述匣位于所述第二位置中时可密封抵靠所述腔室主体的所述底部壁;以及
加热器,所述加热器设置于所述腔室主体的侧壁中并且可操作以维持所述腔室主体处于大于290℃的温度。
2.如权利要求1所述的批处理腔室,进一步包括中空圆柱壳,所述中空圆柱壳被设置在所述内部容积内并且具有设置于所述壳的内表面上的一个或更多个加热器。
3.如权利要求1所述的批处理腔室,进一步包括狭缝阀门,所述狭缝阀门经配置以可密封地关闭穿过所述腔室主体形成的装载端口,所述狭缝阀门包括接合所述腔室主体的内表面的密封。
4.如权利要求3所述的批处理腔室,进一步包括设置于所述匣上的盖,所述盖具有大于所述壳的外径的直径。
5.如权利要求3所述的批处理腔室,其中所述狭缝阀门进一步包括密封,所述密封经配置以与所述腔室主体的内表面接合。
6.如权利要求1所述的批处理腔室,其中所述腔室主体的所述底部壁包括阶梯形开口以容纳所述栓塞。
7.如权利要求1所述的批处理腔室,进一步包括设置于所述匣的所述底部壁和所述栓塞之间的热中断。
8.如权利要求1所述的批处理腔室,进一步包括设置于所述栓塞内的冷却通道。
9.如权利要求1所述的批处理腔室,其中所述匣的所述底部壁包括开口,所述开口经配置以允许流体流经所述开口。
10.一种批处理腔室,包括:
腔室主体,所述腔室主体封闭内部容积;
匣,所述匣被可移动地设置在所述内部容积内,所述匣在第一位置和所述第一位置下方的第二位置之间可移动;
中空圆柱壳,所述中空圆柱壳被设置在内部容积内并且在所述匣位于所述第二位置中时环绕所述匣;
加热器,所述加热器设置于所述腔室主体的侧壁中并且可操作以维持所述腔室主体处于大于290℃的温度;以及
附加的加热器,当所述匣位于所述第二位置中时,所述附加的加热器被设置在所述壳的内表面和所述匣之间。
11.如权利要求10所述的批处理腔室,进一步包括:
栓塞,所述栓塞耦合至所述匣的底部壁并且经配置以在所述内部容积内上下移动,所述栓塞包括:
面向下的密封,所述面向下的密封经配置以与所述腔室主体的底部壁的顶部表面接合。
12.如权利要求10所述的批处理腔室,进一步包括狭缝阀门,所述狭缝阀门经配置以可密封地关闭穿过所述腔室主体形成的装载端口,所述狭缝阀门包括接合所述腔室主体的内表面的密封。
13.如权利要求10所述的批处理腔室,进一步包括:
冷却通道,所述冷却通道设置于所述栓塞内;以及
热中断,所述热中断设置于所述匣的所述底部壁和所述栓塞之间,所述热中断包括封装杯。
14.一种批处理腔室,包括:
腔室主体,所述腔室主体封闭内部容积,所述腔室主体具有穿过所述腔室主体的底部壁形成的开口;
匣,所述匣被可移动地设置在所述内部容积内,所述匣经配置以升高至第一位置以在所述匣中装载多个基板,以及降低进入所述第一位置下方的第二位置以用于处理;
栓塞,所述栓塞耦合至所述匣的底部壁,所述栓塞包括面向下的密封,所述密封经配置以当所述匣位于所述第二位置中时与所述腔室主体的所述底部壁的顶部表面接合,所述密封环绕所述开口,并且当所述匣位于所述第二位置中时可密封抵靠所述腔室主体的所述底部壁;
加热器,所述加热器被设置于所述腔室主体的侧壁中并且可操作以维持所述腔室主体处于大于290℃的温度;以及
附加的加热器,当所述匣位于所述第二位置中时,所述附加的加热器被设置于所述壳的内表面和所述匣之间。
15.如权利要求14所述的批处理腔室,进一步包括轴件,所述轴件被设置为穿过在所述腔室主体中形成的所述开口并且耦合至所述匣。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762586935P | 2017-11-16 | 2017-11-16 | |
US62/586,935 | 2017-11-16 | ||
PCT/US2018/055401 WO2019099125A1 (en) | 2017-11-16 | 2018-10-11 | High pressure steam anneal processing apparatus |
Publications (2)
Publication Number | Publication Date |
---|---|
CN111373519A true CN111373519A (zh) | 2020-07-03 |
CN111373519B CN111373519B (zh) | 2021-11-23 |
Family
ID=66433522
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880072145.9A Active CN111373519B (zh) | 2017-11-16 | 2018-10-11 | 高压蒸气退火处理设备 |
Country Status (7)
Country | Link |
---|---|
US (1) | US10854483B2 (zh) |
JP (1) | JP7330181B2 (zh) |
KR (1) | KR102622303B1 (zh) |
CN (1) | CN111373519B (zh) |
SG (1) | SG11202003438QA (zh) |
TW (2) | TWI700748B (zh) |
WO (1) | WO2019099125A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN117542767A (zh) * | 2024-01-10 | 2024-02-09 | 合肥费舍罗热工装备有限公司 | 一种半导体立式熔接炉 |
Families Citing this family (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
JP6947914B2 (ja) | 2017-08-18 | 2021-10-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧高温下のアニールチャンバ |
CN111095524B (zh) | 2017-09-12 | 2023-10-03 | 应用材料公司 | 用于使用保护阻挡物层制造半导体结构的设备和方法 |
JP7112490B2 (ja) | 2017-11-11 | 2022-08-03 | マイクロマテリアルズ エルエルシー | 高圧処理チャンバのためのガス供給システム |
JP2021503714A (ja) | 2017-11-17 | 2021-02-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧処理システムのためのコンデンサシステム |
EP3762962A4 (en) | 2018-03-09 | 2021-12-08 | Applied Materials, Inc. | HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
US10675581B2 (en) | 2018-08-06 | 2020-06-09 | Applied Materials, Inc. | Gas abatement apparatus |
WO2020092002A1 (en) | 2018-10-30 | 2020-05-07 | Applied Materials, Inc. | Methods for etching a structure for semiconductor applications |
KR20210077779A (ko) | 2018-11-16 | 2021-06-25 | 어플라이드 머티어리얼스, 인코포레이티드 | 강화된 확산 프로세스를 사용한 막 증착 |
WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
US11415230B2 (en) * | 2020-03-31 | 2022-08-16 | Applied Material, Inc. | Slit valve pneumatic control |
US12002668B2 (en) * | 2021-06-25 | 2024-06-04 | Applied Materials, Inc. | Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool |
JP7465855B2 (ja) * | 2021-09-27 | 2024-04-11 | 芝浦メカトロニクス株式会社 | 加熱処理装置、搬入搬出治具、および有機膜の形成方法 |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6164963A (en) * | 1997-03-07 | 2000-12-26 | Weaver; Robert A. | Semiconductor furnace processing vessel base |
US6352593B1 (en) * | 1997-08-11 | 2002-03-05 | Torrex Equipment Corp. | Mini-batch process chamber |
TW563184B (en) * | 2000-09-27 | 2003-11-21 | Hitachi Int Electric Inc | Method and apparatus for processing substrates and method for manufacturing a semiconductor device |
US20070243317A1 (en) * | 2002-07-15 | 2007-10-18 | Du Bois Dale R | Thermal Processing System and Configurable Vertical Chamber |
CN101338414A (zh) * | 2007-06-29 | 2009-01-07 | 应用材料股份有限公司 | 具有可拆式基座的热批处理反应器 |
CN104620354A (zh) * | 2012-06-27 | 2015-05-13 | 新意技术股份有限公司 | 基板加热装置及处理腔室 |
CN105575848A (zh) * | 2014-10-17 | 2016-05-11 | 中微半导体设备(上海)有限公司 | 真空锁系统及基片处理方法 |
Family Cites Families (297)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4524587A (en) | 1967-01-10 | 1985-06-25 | Kantor Frederick W | Rotary thermodynamic apparatus and method |
JPS4820850B1 (zh) * | 1970-11-21 | 1973-06-25 | ||
JPS634616A (ja) | 1986-06-25 | 1988-01-09 | Hitachi Tokyo Electron Co Ltd | 蒸気処理装置 |
JPH0748489B2 (ja) | 1987-07-27 | 1995-05-24 | 富士通株式会社 | プラズマ処理装置 |
US4879259A (en) | 1987-09-28 | 1989-11-07 | The Board Of Trustees Of The Leland Stanford Junion University | Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure |
US5092728A (en) * | 1987-10-15 | 1992-03-03 | Epsilon Technology, Inc. | Substrate loading apparatus for a CVD process |
US5114513A (en) | 1988-10-27 | 1992-05-19 | Omron Tateisi Electronics Co. | Optical device and manufacturing method thereof |
JP2730695B2 (ja) | 1989-04-10 | 1998-03-25 | 忠弘 大見 | タングステン膜の成膜装置 |
US5126117A (en) | 1990-05-22 | 1992-06-30 | Custom Engineered Materials, Inc. | Device for preventing accidental releases of hazardous gases |
US5175123A (en) | 1990-11-13 | 1992-12-29 | Motorola, Inc. | High-pressure polysilicon encapsulated localized oxidation of silicon |
US5050540A (en) | 1991-01-29 | 1991-09-24 | Arne Lindberg | Method of gas blanketing a boiler |
JPH05129296A (ja) | 1991-11-05 | 1993-05-25 | Fujitsu Ltd | 導電膜の平坦化方法 |
JP3230836B2 (ja) * | 1992-04-09 | 2001-11-19 | 東京エレクトロン株式会社 | 熱処理装置 |
US5319212A (en) | 1992-10-07 | 1994-06-07 | Genus, Inc. | Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors |
JPH06283496A (ja) | 1993-03-26 | 1994-10-07 | Dainippon Screen Mfg Co Ltd | 洗浄処理後の基板の乾燥処理装置 |
US5607002A (en) | 1993-04-28 | 1997-03-04 | Advanced Delivery & Chemical Systems, Inc. | Chemical refill system for high purity chemicals |
US5578132A (en) | 1993-07-07 | 1996-11-26 | Tokyo Electron Kabushiki Kaisha | Apparatus for heat treating semiconductors at normal pressure and low pressure |
US5880041A (en) | 1994-05-27 | 1999-03-09 | Motorola Inc. | Method for forming a dielectric layer using high pressure |
US5808245A (en) | 1995-01-03 | 1998-09-15 | Donaldson Company, Inc. | Vertical mount catalytic converter muffler |
US5620524A (en) | 1995-02-27 | 1997-04-15 | Fan; Chiko | Apparatus for fluid delivery in chemical vapor deposition systems |
US5858051A (en) | 1995-05-08 | 1999-01-12 | Toshiba Machine Co., Ltd. | Method of manufacturing optical waveguide |
JP2872637B2 (ja) | 1995-07-10 | 1999-03-17 | アプライド マテリアルズ インコーポレイテッド | マイクロ波プラズマベースアプリケータ |
US5895274A (en) | 1996-01-22 | 1999-04-20 | Micron Technology, Inc. | High-pressure anneal process for integrated circuits |
KR980012044A (ko) | 1996-03-01 | 1998-04-30 | 히가시 데츠로 | 기판건조장치 및 기판건조방법 |
US5998305A (en) | 1996-03-29 | 1999-12-07 | Praxair Technology, Inc. | Removal of carbon from substrate surfaces |
US5738915A (en) | 1996-09-19 | 1998-04-14 | Lambda Technologies, Inc. | Curing polymer layers on semiconductor substrates using variable frequency microwave energy |
US6444037B1 (en) | 1996-11-13 | 2002-09-03 | Applied Materials, Inc. | Chamber liner for high temperature processing chamber |
US6082950A (en) | 1996-11-18 | 2000-07-04 | Applied Materials, Inc. | Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding |
US5730885A (en) * | 1996-12-03 | 1998-03-24 | Union Carbide Chemicals & Plastics Technology Corporation | Screen packs for reducing gels in polypropylene copolymers |
US6136664A (en) | 1997-08-07 | 2000-10-24 | International Business Machines Corporation | Filling of high aspect ratio trench isolation |
US20030049372A1 (en) | 1997-08-11 | 2003-03-13 | Cook Robert C. | High rate deposition at low pressures in a small batch reactor |
US5963817A (en) | 1997-10-16 | 1999-10-05 | International Business Machines Corporation | Bulk and strained silicon on insulator using local selective oxidation |
JP3199006B2 (ja) | 1997-11-18 | 2001-08-13 | 日本電気株式会社 | 層間絶縁膜の形成方法および絶縁膜形成装置 |
US6442980B2 (en) | 1997-11-26 | 2002-09-03 | Chart Inc. | Carbon dioxide dry cleaning system |
US6846739B1 (en) | 1998-02-27 | 2005-01-25 | Micron Technology, Inc. | MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer |
US6164412A (en) | 1998-04-03 | 2000-12-26 | Arvin Industries, Inc. | Muffler |
US6719516B2 (en) | 1998-09-28 | 2004-04-13 | Applied Materials, Inc. | Single wafer load lock with internal wafer transport |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
TW517092B (en) * | 1999-03-17 | 2003-01-11 | Kobe Steel Ltd | High-temperature and high-pressure treatment device |
US6468490B1 (en) | 2000-06-29 | 2002-10-22 | Applied Materials, Inc. | Abatement of fluorine gas from effluent |
US6612317B2 (en) | 2000-04-18 | 2003-09-02 | S.C. Fluids, Inc | Supercritical fluid delivery and recovery system for semiconductor wafer processing |
US6334266B1 (en) | 1999-09-20 | 2002-01-01 | S.C. Fluids, Inc. | Supercritical fluid drying system and method of use |
ATE418158T1 (de) | 1999-08-17 | 2009-01-15 | Applied Materials Inc | Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung |
US6299753B1 (en) | 1999-09-01 | 2001-10-09 | Applied Materials, Inc. | Double pressure vessel chemical dispenser unit |
JP2001110729A (ja) | 1999-10-06 | 2001-04-20 | Mitsubishi Heavy Ind Ltd | 半導体素子の連続製造装置 |
US20030148631A1 (en) | 1999-11-08 | 2003-08-07 | Taiwan Semiconductor Manufacturing Company | Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile |
US6500603B1 (en) | 1999-11-11 | 2002-12-31 | Mitsui Chemicals, Inc. | Method for manufacturing polymer optical waveguide |
US6150286A (en) | 2000-01-03 | 2000-11-21 | Advanced Micro Devices, Inc. | Method of making an ultra thin silicon nitride film |
US6541367B1 (en) | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
JP2001250787A (ja) | 2000-03-06 | 2001-09-14 | Hitachi Kokusai Electric Inc | 基板処理装置および基板処理方法 |
US20040025908A1 (en) | 2000-04-18 | 2004-02-12 | Stephen Douglas | Supercritical fluid delivery system for semiconductor wafer processing |
JP3497450B2 (ja) * | 2000-07-06 | 2004-02-16 | 東京エレクトロン株式会社 | バッチ式熱処理装置及びその制御方法 |
US7166524B2 (en) | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US6852167B2 (en) | 2001-03-01 | 2005-02-08 | Micron Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
JP4335469B2 (ja) | 2001-03-22 | 2009-09-30 | 株式会社荏原製作所 | 真空排気装置のガス循環量調整方法及び装置 |
US6797336B2 (en) | 2001-03-22 | 2004-09-28 | Ambp Tech Corporation | Multi-component substances and processes for preparation thereof |
JP4015818B2 (ja) * | 2001-03-28 | 2007-11-28 | 株式会社日立国際電気 | 半導体製造装置 |
TW544797B (en) | 2001-04-17 | 2003-08-01 | Kobe Steel Ltd | High-pressure processing apparatus |
JP2002319571A (ja) | 2001-04-20 | 2002-10-31 | Kawasaki Microelectronics Kk | エッチング槽の前処理方法及び半導体装置の製造方法 |
US7080651B2 (en) | 2001-05-17 | 2006-07-25 | Dainippon Screen Mfg. Co., Ltd. | High pressure processing apparatus and method |
EP1271636A1 (en) | 2001-06-22 | 2003-01-02 | Infineon Technologies AG | Thermal oxidation process control by controlling oxidation agent partial pressure |
JP2003051474A (ja) | 2001-08-03 | 2003-02-21 | Kobe Steel Ltd | 高圧処理装置 |
US6531412B2 (en) | 2001-08-10 | 2003-03-11 | International Business Machines Corporation | Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications |
US6781801B2 (en) | 2001-08-10 | 2004-08-24 | Seagate Technology Llc | Tunneling magnetoresistive sensor with spin polarized current injection |
US6619304B2 (en) | 2001-09-13 | 2003-09-16 | Micell Technologies, Inc. | Pressure chamber assembly including non-mechanical drive means |
US20030098069A1 (en) | 2001-11-26 | 2003-05-29 | Sund Wesley E. | High purity fluid delivery system |
KR100450564B1 (ko) | 2001-12-20 | 2004-09-30 | 동부전자 주식회사 | 반도체 소자의 금속 배선 후처리 방법 |
US6848458B1 (en) | 2002-02-05 | 2005-02-01 | Novellus Systems, Inc. | Apparatus and methods for processing semiconductor substrates using supercritical fluids |
US6632325B2 (en) | 2002-02-07 | 2003-10-14 | Applied Materials, Inc. | Article for use in a semiconductor processing chamber and method of fabricating same |
US7589029B2 (en) | 2002-05-02 | 2009-09-15 | Micron Technology, Inc. | Atomic layer deposition and conversion |
US7638727B2 (en) | 2002-05-08 | 2009-12-29 | Btu International Inc. | Plasma-assisted heat treatment |
US7521089B2 (en) | 2002-06-13 | 2009-04-21 | Tokyo Electron Limited | Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers |
WO2004008008A2 (en) | 2002-07-15 | 2004-01-22 | Aviza Technology, Inc. | Control of a gaseous environment in a wafer loading chamber |
US7335609B2 (en) | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
US20070212850A1 (en) | 2002-09-19 | 2007-09-13 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
JP2004127958A (ja) | 2002-09-30 | 2004-04-22 | Kyoshin Engineering:Kk | 高圧アニール水蒸気処理を行なう装置及び方法 |
US20040060519A1 (en) | 2002-10-01 | 2004-04-01 | Seh America Inc. | Quartz to quartz seal using expanded PTFE gasket material |
US6889508B2 (en) | 2002-10-02 | 2005-05-10 | The Boc Group, Inc. | High pressure CO2 purification and supply system |
US7270761B2 (en) | 2002-10-18 | 2007-09-18 | Appleid Materials, Inc | Fluorine free integrated process for etching aluminum including chamber dry clean |
US20040112409A1 (en) | 2002-12-16 | 2004-06-17 | Supercritical Sysems, Inc. | Fluoride in supercritical fluid for photoresist and residue removal |
KR101058882B1 (ko) | 2003-02-04 | 2011-08-23 | 어플라이드 머티어리얼스, 인코포레이티드 | 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링 |
CN1701417B (zh) * | 2003-02-21 | 2012-04-25 | 株式会社日立国际电气 | 基板处理装置和用于制造半导体器件的方法 |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
US6939794B2 (en) | 2003-06-17 | 2005-09-06 | Micron Technology, Inc. | Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device |
WO2005007283A2 (en) | 2003-07-08 | 2005-01-27 | Sundew Technologies, Llc | Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement |
JP4173781B2 (ja) | 2003-08-13 | 2008-10-29 | 株式会社神戸製鋼所 | 高圧処理方法 |
WO2005057663A2 (en) | 2003-12-10 | 2005-06-23 | Koninklijke Philips Electronics N.V. | Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices |
US7158221B2 (en) | 2003-12-23 | 2007-01-02 | Applied Materials, Inc. | Method and apparatus for performing limited area spectral analysis |
US20050136684A1 (en) | 2003-12-23 | 2005-06-23 | Applied Materials, Inc. | Gap-fill techniques |
US20050250347A1 (en) | 2003-12-31 | 2005-11-10 | Bailey Christopher M | Method and apparatus for maintaining by-product volatility in deposition process |
US7030468B2 (en) | 2004-01-16 | 2006-04-18 | International Business Machines Corporation | Low k and ultra low k SiCOH dielectric films and methods to form the same |
US7128570B2 (en) * | 2004-01-21 | 2006-10-31 | Asm International N.V. | Method and apparatus for purging seals in a thermal reactor |
US20050187647A1 (en) | 2004-02-19 | 2005-08-25 | Kuo-Hua Wang | Intelligent full automation controlled flow for a semiconductor furnace tool |
JP4393268B2 (ja) | 2004-05-20 | 2010-01-06 | 株式会社神戸製鋼所 | 微細構造体の乾燥方法 |
US20050269291A1 (en) | 2004-06-04 | 2005-12-08 | Tokyo Electron Limited | Method of operating a processing system for treating a substrate |
US7521378B2 (en) | 2004-07-01 | 2009-04-21 | Micron Technology, Inc. | Low temperature process for polysilazane oxidation/densification |
US7491658B2 (en) | 2004-10-13 | 2009-02-17 | International Business Machines Corporation | Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality |
US7427571B2 (en) | 2004-10-15 | 2008-09-23 | Asm International, N.V. | Reactor design for reduced particulate generation |
US20060156979A1 (en) | 2004-11-22 | 2006-07-20 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
KR100697280B1 (ko) | 2005-02-07 | 2007-03-20 | 삼성전자주식회사 | 반도체 제조 설비의 압력 조절 방법 |
JP4475136B2 (ja) * | 2005-02-18 | 2010-06-09 | 東京エレクトロン株式会社 | 処理システム、前処理装置及び記憶媒体 |
US9576824B2 (en) | 2005-02-22 | 2017-02-21 | Spts Technologies Limited | Etching chamber with subchamber |
US7211525B1 (en) | 2005-03-16 | 2007-05-01 | Novellus Systems, Inc. | Hydrogen treatment enhanced gap fill |
WO2006101315A1 (en) | 2005-03-21 | 2006-09-28 | Pkl Co., Ltd. | Device and method for cleaning photomask |
US20060226117A1 (en) | 2005-03-29 | 2006-10-12 | Bertram Ronald T | Phase change based heating element system and method |
US7351057B2 (en) * | 2005-04-27 | 2008-04-01 | Asm International N.V. | Door plate for furnace |
US20120060868A1 (en) | 2005-06-07 | 2012-03-15 | Donald Gray | Microscale fluid delivery system |
CN101198903B (zh) | 2005-06-10 | 2011-09-07 | 奥贝达克特公司 | 利用中间印模的图案复制 |
JP4747693B2 (ja) | 2005-06-28 | 2011-08-17 | 住友電気工業株式会社 | 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法 |
US7361231B2 (en) | 2005-07-01 | 2008-04-22 | Ekc Technology, Inc. | System and method for mid-pressure dense phase gas and ultrasonic cleaning |
US8148271B2 (en) | 2005-08-05 | 2012-04-03 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method |
US7534080B2 (en) | 2005-08-26 | 2009-05-19 | Ascentool, Inc. | Vacuum processing and transfer system |
US7531404B2 (en) | 2005-08-30 | 2009-05-12 | Intel Corporation | Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer |
US8926731B2 (en) | 2005-09-13 | 2015-01-06 | Rasirc | Methods and devices for producing high purity steam |
KR100696178B1 (ko) | 2005-09-13 | 2007-03-20 | 한국전자통신연구원 | 광 도파로 마스터 및 그 제조 방법 |
US20080257260A9 (en) * | 2005-09-30 | 2008-10-23 | Applied Materials, Inc. | Batch wafer handling system |
CN101268012B (zh) | 2005-10-07 | 2012-12-26 | 株式会社尼康 | 微小构造体及其制造方法 |
US7387968B2 (en) | 2005-11-08 | 2008-06-17 | Tokyo Electron Limited | Batch photoresist dry strip and ash system and process |
US8306026B2 (en) | 2005-12-15 | 2012-11-06 | Toshiba America Research, Inc. | Last hop topology sensitive multicasting key management |
US20070187386A1 (en) | 2006-02-10 | 2007-08-16 | Poongsan Microtec Corporation | Methods and apparatuses for high pressure gas annealing |
US7578258B2 (en) | 2006-03-03 | 2009-08-25 | Lam Research Corporation | Methods and apparatus for selective pre-coating of a plasma processing chamber |
JP2007242791A (ja) | 2006-03-07 | 2007-09-20 | Hitachi Kokusai Electric Inc | 基板処理装置 |
US8062408B2 (en) | 2006-05-08 | 2011-11-22 | The Board Of Trustees Of The University Of Illinois | Integrated vacuum absorption steam cycle gas separation |
US7825038B2 (en) | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US7650965B2 (en) | 2006-06-09 | 2010-01-26 | Emcon Technologies Llc | Exhaust system |
US7371998B2 (en) * | 2006-07-05 | 2008-05-13 | Semitool, Inc. | Thermal wafer processor |
JP2008073611A (ja) | 2006-09-21 | 2008-04-03 | Dainippon Screen Mfg Co Ltd | 高圧処理装置 |
JP4814038B2 (ja) | 2006-09-25 | 2011-11-09 | 株式会社日立国際電気 | 基板処理装置および反応容器の着脱方法 |
US7790587B2 (en) | 2006-11-07 | 2010-09-07 | Intel Corporation | Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby |
US20080169183A1 (en) | 2007-01-16 | 2008-07-17 | Varian Semiconductor Equipment Associates, Inc. | Plasma Source with Liner for Reducing Metal Contamination |
JP2008192642A (ja) | 2007-01-31 | 2008-08-21 | Tokyo Electron Ltd | 基板処理装置 |
US20080233404A1 (en) | 2007-03-22 | 2008-09-25 | 3M Innovative Properties Company | Microreplication tools and patterns using laser induced thermal embossing |
JP5135856B2 (ja) | 2007-03-31 | 2013-02-06 | 東京エレクトロン株式会社 | トラップ装置、排気系及びこれを用いた処理システム |
DE102007017641A1 (de) | 2007-04-13 | 2008-10-16 | Infineon Technologies Ag | Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder |
CN101681398B (zh) | 2007-05-25 | 2016-08-10 | 应用材料公司 | 组装及操作电子器件制造系统的方法和设备 |
WO2008156687A1 (en) | 2007-06-15 | 2008-12-24 | Applied Materials, Inc. | Methods and systems for designing and validating operation of abatement systems |
KR101442238B1 (ko) | 2007-07-26 | 2014-09-23 | 주식회사 풍산마이크로텍 | 고압 산소 열처리를 통한 반도체 소자의 제조방법 |
JP4470970B2 (ja) * | 2007-07-31 | 2010-06-02 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7951728B2 (en) | 2007-09-24 | 2011-05-31 | Applied Materials, Inc. | Method of improving oxide growth rate of selective oxidation processes |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7803722B2 (en) | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
CN101835521A (zh) | 2007-10-26 | 2010-09-15 | 应用材料公司 | 利用改进燃料线路的用于智能减废的方法与设备 |
JP5299605B2 (ja) | 2007-11-19 | 2013-09-25 | 日揮触媒化成株式会社 | 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜 |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
KR20090064279A (ko) | 2007-12-14 | 2009-06-18 | 노벨러스 시스템즈, 인코포레이티드 | 손상 없는 갭 충진을 위한 보호 층 |
US7776740B2 (en) | 2008-01-22 | 2010-08-17 | Tokyo Electron Limited | Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device |
JP4815464B2 (ja) | 2008-03-31 | 2011-11-16 | 株式会社日立製作所 | 微細構造転写スタンパ及び微細構造転写装置 |
US7655532B1 (en) | 2008-07-25 | 2010-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | STI film property using SOD post-treatment |
JP2010056541A (ja) | 2008-07-31 | 2010-03-11 | Semiconductor Energy Lab Co Ltd | 半導体装置およびその作製方法 |
US20100089315A1 (en) | 2008-09-22 | 2010-04-15 | Applied Materials, Inc. | Shutter disk for physical vapor deposition chamber |
US8153533B2 (en) | 2008-09-24 | 2012-04-10 | Lam Research | Methods and systems for preventing feature collapse during microelectronic topography fabrication |
KR20100035000A (ko) | 2008-09-25 | 2010-04-02 | 삼성전자주식회사 | 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자 |
US7891228B2 (en) | 2008-11-18 | 2011-02-22 | Mks Instruments, Inc. | Dual-mode mass flow verification and mass flow delivery system and method |
US8557712B1 (en) | 2008-12-15 | 2013-10-15 | Novellus Systems, Inc. | PECVD flowable dielectric gap fill |
JP2010205854A (ja) | 2009-03-02 | 2010-09-16 | Fujitsu Semiconductor Ltd | 半導体装置の製造方法 |
US20100304027A1 (en) | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
JP4415062B1 (ja) | 2009-06-22 | 2010-02-17 | 富士フイルム株式会社 | 薄膜トランジスタ及び薄膜トランジスタの製造方法 |
KR20110000960A (ko) | 2009-06-29 | 2011-01-06 | 삼성전자주식회사 | 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법 |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
JP2011066100A (ja) | 2009-09-16 | 2011-03-31 | Bridgestone Corp | 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法 |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
KR101995704B1 (ko) | 2009-11-20 | 2019-07-03 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치의 제작 방법 |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
US8647992B2 (en) | 2010-01-06 | 2014-02-11 | Applied Materials, Inc. | Flowable dielectric using oxide liner |
JP2013516788A (ja) | 2010-01-07 | 2013-05-13 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvd用のインサイチュオゾン硬化 |
EP2526339A4 (en) | 2010-01-21 | 2015-03-11 | Powerdyne Inc | PRODUCTION OF STEAM FROM A CARBON SUBSTANCE |
US8293658B2 (en) | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
JP2013521650A (ja) | 2010-03-05 | 2013-06-10 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvdによる共形層 |
KR101163711B1 (ko) | 2010-06-15 | 2012-07-09 | 서울대학교산학협력단 | 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법 |
CN101871043B (zh) | 2010-06-25 | 2012-07-18 | 东莞市康汇聚线材科技有限公司 | 一种退火炉蒸汽发生器及其控制方法 |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
JP2012049446A (ja) | 2010-08-30 | 2012-03-08 | Toshiba Corp | 超臨界乾燥方法及び超臨界乾燥システム |
EP2426720A1 (en) | 2010-09-03 | 2012-03-07 | Applied Materials, Inc. | Staggered thin film transistor and method of forming the same |
TW201216331A (en) | 2010-10-05 | 2012-04-16 | Applied Materials Inc | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration |
JP5806827B2 (ja) * | 2011-03-18 | 2015-11-10 | 東京エレクトロン株式会社 | ゲートバルブ装置及び基板処理装置並びにその基板処理方法 |
KR20140027917A (ko) | 2011-03-25 | 2014-03-07 | 이서영 | 광도파로 및 그 제조방법 |
JP5450494B2 (ja) | 2011-03-25 | 2014-03-26 | 株式会社東芝 | 半導体基板の超臨界乾燥方法 |
US20120252210A1 (en) | 2011-03-30 | 2012-10-04 | Tokyo Electron Limited | Method for modifying metal cap layers in semiconductor devices |
WO2012133583A1 (ja) | 2011-03-30 | 2012-10-04 | 大日本印刷株式会社 | 超臨界乾燥装置及び超臨界乾燥方法 |
US9299581B2 (en) | 2011-05-12 | 2016-03-29 | Applied Materials, Inc. | Methods of dry stripping boron-carbon films |
WO2012165377A1 (ja) | 2011-05-30 | 2012-12-06 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置および記憶媒体 |
JP6085423B2 (ja) | 2011-05-30 | 2017-02-22 | 株式会社東芝 | 基板処理方法、基板処理装置および記憶媒体 |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
GB201110117D0 (en) | 2011-06-16 | 2011-07-27 | Fujifilm Mfg Europe Bv | method and device for manufacturing a barrie layer on a flexible substrate |
CN103620758B (zh) | 2011-06-28 | 2017-02-15 | 动力微系统公司 | 半导体储料器系统和方法 |
KR101568748B1 (ko) | 2011-11-01 | 2015-11-12 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체 |
JP5712902B2 (ja) | 2011-11-10 | 2015-05-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
JP2013122493A (ja) | 2011-12-09 | 2013-06-20 | Furukawa Electric Co Ltd:The | 光分岐素子および光分岐回路 |
JP2013154315A (ja) | 2012-01-31 | 2013-08-15 | Ricoh Co Ltd | 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置 |
US8993458B2 (en) | 2012-02-13 | 2015-03-31 | Applied Materials, Inc. | Methods and apparatus for selective oxidation of a substrate |
US8871656B2 (en) | 2012-03-05 | 2014-10-28 | Applied Materials, Inc. | Flowable films using alternative silicon precursors |
US20130288485A1 (en) | 2012-04-30 | 2013-10-31 | Applied Materials, Inc. | Densification for flowable films |
US20130337171A1 (en) | 2012-06-13 | 2013-12-19 | Qualcomm Mems Technologies, Inc. | N2 purged o-ring for chamber in chamber ald system |
KR20140003776A (ko) | 2012-06-28 | 2014-01-10 | 주식회사 메카로닉스 | 고 저항 산화아연 박막의 제조방법 |
US20150309073A1 (en) | 2012-07-13 | 2015-10-29 | Northwestern University | Multifunctional graphene coated scanning tips |
JP2014019912A (ja) | 2012-07-19 | 2014-02-03 | Tokyo Electron Ltd | タングステン膜の成膜方法 |
US8846448B2 (en) | 2012-08-10 | 2014-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Warpage control in a package-on-package structure |
KR101680152B1 (ko) | 2012-08-24 | 2016-11-28 | 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 | 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법 |
KR102002782B1 (ko) | 2012-09-10 | 2019-07-23 | 삼성전자주식회사 | 팽창성 부재를 사용하는 반도체 장치의 제조 방법 |
JP2014060256A (ja) | 2012-09-18 | 2014-04-03 | Tokyo Electron Ltd | 処理システム |
US9157730B2 (en) | 2012-10-26 | 2015-10-13 | Applied Materials, Inc. | PECVD process |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
WO2014085511A2 (en) | 2012-11-27 | 2014-06-05 | The Regents Of The University Of California | Polymerized metal-organic material for printable photonic devices |
JP2014141739A (ja) | 2012-12-27 | 2014-08-07 | Tokyo Electron Ltd | 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス |
US20140216498A1 (en) | 2013-02-06 | 2014-08-07 | Kwangduk Douglas Lee | Methods of dry stripping boron-carbon films |
CN104995333B (zh) | 2013-02-19 | 2017-09-22 | 应用材料公司 | 使用可流动式cvd膜的hdd图案化 |
KR101443792B1 (ko) | 2013-02-20 | 2014-09-26 | 국제엘렉트릭코리아 주식회사 | 건식 기상 식각 장치 |
KR20140106977A (ko) | 2013-02-27 | 2014-09-04 | 삼성전자주식회사 | 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법 |
US9354508B2 (en) | 2013-03-12 | 2016-05-31 | Applied Materials, Inc. | Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US9680095B2 (en) | 2013-03-13 | 2017-06-13 | Macronix International Co., Ltd. | Resistive RAM and fabrication method |
TWI614102B (zh) | 2013-03-15 | 2018-02-11 | 應用材料股份有限公司 | 基板沉積系統、機械手臂運輸設備及用於電子裝置製造之方法 |
TWI624897B (zh) | 2013-03-15 | 2018-05-21 | 應用材料股份有限公司 | 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法 |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US10224258B2 (en) | 2013-03-22 | 2019-03-05 | Applied Materials, Inc. | Method of curing thermoplastics with microwave energy |
US9538586B2 (en) | 2013-04-26 | 2017-01-03 | Applied Materials, Inc. | Method and apparatus for microwave treatment of dielectric films |
KR101287035B1 (ko) | 2013-05-07 | 2013-07-17 | 호용종합건설주식회사 | 관 갱생 건증기 공급용 보일러 시스템 |
CN105247664B (zh) | 2013-05-31 | 2018-04-10 | 株式会社日立国际电气 | 衬底处理装置、半导体器件的制造方法及炉口盖体 |
JP6196481B2 (ja) | 2013-06-24 | 2017-09-13 | 株式会社荏原製作所 | 排ガス処理装置 |
KR101542803B1 (ko) | 2013-07-09 | 2015-08-07 | 주식회사 네오세미텍 | 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법 |
US9178103B2 (en) | 2013-08-09 | 2015-11-03 | Tsmc Solar Ltd. | Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities |
US9748105B2 (en) | 2013-08-16 | 2017-08-29 | Applied Materials, Inc. | Tungsten deposition with tungsten hexafluoride (WF6) etchback |
US9548200B2 (en) | 2013-08-21 | 2017-01-17 | Applied Materials, Inc. | Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications |
JP6226648B2 (ja) | 2013-09-04 | 2017-11-08 | 昭和電工株式会社 | SiCエピタキシャルウェハの製造方法 |
US9396986B2 (en) | 2013-10-04 | 2016-07-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanism of forming a trench structure |
JP6129712B2 (ja) | 2013-10-24 | 2017-05-17 | 信越化学工業株式会社 | 過熱水蒸気処理装置 |
US9406547B2 (en) | 2013-12-24 | 2016-08-02 | Intel Corporation | Techniques for trench isolation using flowable dielectric materials |
CN103745978B (zh) | 2014-01-03 | 2016-08-17 | 京东方科技集团股份有限公司 | 显示装置、阵列基板及其制作方法 |
US9257527B2 (en) | 2014-02-14 | 2016-02-09 | International Business Machines Corporation | Nanowire transistor structures with merged source/drain regions using auxiliary pillars |
US9818603B2 (en) | 2014-03-06 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
KR101571715B1 (ko) | 2014-04-23 | 2015-11-25 | 주식회사 풍산 | 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법 |
CN104047676A (zh) | 2014-06-14 | 2014-09-17 | 马根昌 | 改良式对冲消声器 |
CN106463358B (zh) | 2014-06-16 | 2020-04-24 | 英特尔公司 | 金属互连件的接缝愈合 |
CN104089491B (zh) | 2014-07-03 | 2015-11-04 | 肇庆宏旺金属实业有限公司 | 退火炉的余热回收利用系统 |
US9257314B1 (en) | 2014-07-31 | 2016-02-09 | Poongsan Corporation | Methods and apparatuses for deuterium recovery |
JPWO2016038664A1 (ja) | 2014-09-08 | 2017-04-27 | 三菱電機株式会社 | 半導体アニール装置 |
US9773865B2 (en) | 2014-09-22 | 2017-09-26 | International Business Machines Corporation | Self-forming spacers using oxidation |
US9362107B2 (en) | 2014-09-30 | 2016-06-07 | Applied Materials, Inc. | Flowable low-k dielectric gapfill treatment |
US20160118391A1 (en) | 2014-10-22 | 2016-04-28 | SanDisk Technologies, Inc. | Deuterium anneal of semiconductor channels in a three-dimensional memory structure |
KR102079501B1 (ko) | 2014-10-24 | 2020-02-20 | 버슘머트리얼즈 유에스, 엘엘씨 | 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법 |
US9543141B2 (en) | 2014-12-09 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for curing flowable layer |
TW201639063A (zh) | 2015-01-22 | 2016-11-01 | 應用材料股份有限公司 | 批量加熱和冷卻腔室或負載鎖定裝置 |
EP3460827B1 (en) | 2015-02-06 | 2022-05-25 | Versum Materials US, LLC | Compositions and methods using same for carbon doped silicon containing films |
US9859039B2 (en) | 2015-02-13 | 2018-01-02 | Alexander Otto | Multifilament superconducting wire with high resistance sleeves |
US10103046B2 (en) | 2015-04-20 | 2018-10-16 | Applied Materials, Inc. | Buffer chamber wafer heating mechanism and supporting robot |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US9685303B2 (en) | 2015-05-08 | 2017-06-20 | Varian Semiconductor Equipment Associates, Inc. | Apparatus for heating and processing a substrate |
US10443934B2 (en) | 2015-05-08 | 2019-10-15 | Varian Semiconductor Equipment Associates, Inc. | Substrate handling and heating system |
TWI723993B (zh) | 2015-05-11 | 2021-04-11 | 美商應用材料股份有限公司 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
KR101681190B1 (ko) | 2015-05-15 | 2016-12-02 | 세메스 주식회사 | 기판 건조 장치 및 방법 |
WO2016191621A1 (en) | 2015-05-27 | 2016-12-01 | Applied Materials, Inc. | Methods and apparatus for a microwave batch curing process |
US10597779B2 (en) | 2015-06-05 | 2020-03-24 | Applied Materials, Inc. | Susceptor position and rational apparatus and methods of use |
US20160379854A1 (en) | 2015-06-29 | 2016-12-29 | Varian Semiconductor Equipment Associates, Inc. | Vacuum Compatible LED Substrate Heater |
US9728430B2 (en) | 2015-06-29 | 2017-08-08 | Varian Semiconductor Equipment Associates, Inc. | Electrostatic chuck with LED heating |
US9646850B2 (en) | 2015-07-06 | 2017-05-09 | Globalfoundries Inc. | High-pressure anneal |
US9484406B1 (en) | 2015-09-03 | 2016-11-01 | Applied Materials, Inc. | Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications |
US9716142B2 (en) | 2015-10-12 | 2017-07-25 | International Business Machines Corporation | Stacked nanowires |
US9754840B2 (en) | 2015-11-16 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Horizontal gate-all-around device having wrapped-around source and drain |
KR101744201B1 (ko) | 2015-12-28 | 2017-06-12 | 주식회사 유진테크 | 기판 처리 장치 |
US9633838B2 (en) | 2015-12-28 | 2017-04-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Vapor deposition of silicon-containing films using penta-substituted disilanes |
JP6856651B2 (ja) | 2016-01-05 | 2021-04-07 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法 |
US9570551B1 (en) | 2016-02-05 | 2017-02-14 | International Business Machines Corporation | Replacement III-V or germanium nanowires by unilateral confined epitaxial growth |
JP6240695B2 (ja) | 2016-03-02 | 2017-11-29 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US11326253B2 (en) | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
TWI680535B (zh) | 2016-06-14 | 2019-12-21 | 美商應用材料股份有限公司 | 金屬及含金屬化合物之氧化體積膨脹 |
US9933314B2 (en) | 2016-06-30 | 2018-04-03 | Varian Semiconductor Equipment Associates, Inc. | Semiconductor workpiece temperature measurement system |
US9876019B1 (en) | 2016-07-13 | 2018-01-23 | Globalfoundries Singapore Pte. Ltd. | Integrated circuits with programmable memory and methods for producing the same |
EP3520136A4 (en) | 2016-09-30 | 2020-05-06 | Applied Materials, Inc. | METHODS OF FORMING SELF-ALIGNED INTERCONNECT HOLES |
US10249525B2 (en) | 2016-10-03 | 2019-04-02 | Applied Materials, Inc. | Dynamic leveling process heater lift |
US10224224B2 (en) | 2017-03-10 | 2019-03-05 | Micromaterials, LLC | High pressure wafer processing systems and related methods |
US10460933B2 (en) | 2017-03-31 | 2019-10-29 | Applied Materials, Inc. | Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film |
WO2018194807A1 (en) | 2017-04-21 | 2018-10-25 | Applied Materials, Inc. | Improved electrode assembly |
CN116504679A (zh) | 2017-05-01 | 2023-07-28 | 应用材料公司 | 具有真空隔离和预处理环境的高压退火腔室 |
CN110603634A (zh) | 2017-05-03 | 2019-12-20 | 应用材料公司 | 在高温陶瓷加热器上的集成衬底温度测量 |
US10861681B2 (en) | 2017-05-19 | 2020-12-08 | Applied Materials, Inc. | Apparatus for collection and subsequent reaction of liquid and solid effluent into gaseous effluent |
US10847360B2 (en) | 2017-05-25 | 2020-11-24 | Applied Materials, Inc. | High pressure treatment of silicon nitride film |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
KR20190137967A (ko) | 2017-06-02 | 2019-12-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 상에 증착된 막들의 품질 개선 |
US10179941B1 (en) | 2017-07-14 | 2019-01-15 | Applied Materials, Inc. | Gas delivery system for high pressure processing chamber |
US10096516B1 (en) | 2017-08-18 | 2018-10-09 | Applied Materials, Inc. | Method of forming a barrier layer for through via applications |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
JP6947914B2 (ja) | 2017-08-18 | 2021-10-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧高温下のアニールチャンバ |
US10643867B2 (en) | 2017-11-03 | 2020-05-05 | Applied Materials, Inc. | Annealing system and method |
JP7112490B2 (ja) | 2017-11-11 | 2022-08-03 | マイクロマテリアルズ エルエルシー | 高圧処理チャンバのためのガス供給システム |
JP2021503714A (ja) | 2017-11-17 | 2021-02-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧処理システムのためのコンデンサシステム |
KR102649241B1 (ko) | 2018-01-24 | 2024-03-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 어닐링을 사용한 심 힐링 |
CN111656510A (zh) | 2018-02-22 | 2020-09-11 | 应用材料公司 | 处理掩模基板以实现更佳的膜质量的方法 |
US11114333B2 (en) | 2018-02-22 | 2021-09-07 | Micromaterials, LLC | Method for depositing and reflow of a high quality etch resistant gapfill dielectric film |
EP3762962A4 (en) | 2018-03-09 | 2021-12-08 | Applied Materials, Inc. | HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
WO2019204124A1 (en) | 2018-04-20 | 2019-10-24 | Applied Materials, Inc. | Ceramic wafer heater with integrated pressurized helium cooling |
US11499666B2 (en) | 2018-05-25 | 2022-11-15 | Applied Materials, Inc. | Precision dynamic leveling mechanism with long motion capability |
US11434569B2 (en) | 2018-05-25 | 2022-09-06 | Applied Materials, Inc. | Ground path systems for providing a shorter and symmetrical ground path |
US10704141B2 (en) | 2018-06-01 | 2020-07-07 | Applied Materials, Inc. | In-situ CVD and ALD coating of chamber to control metal contamination |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
US20200035513A1 (en) | 2018-07-25 | 2020-01-30 | Applied Materials, Inc. | Processing apparatus |
-
2018
- 2018-10-11 KR KR1020207016728A patent/KR102622303B1/ko active IP Right Grant
- 2018-10-11 SG SG11202003438QA patent/SG11202003438QA/en unknown
- 2018-10-11 JP JP2020526392A patent/JP7330181B2/ja active Active
- 2018-10-11 US US16/157,808 patent/US10854483B2/en active Active
- 2018-10-11 CN CN201880072145.9A patent/CN111373519B/zh active Active
- 2018-10-11 WO PCT/US2018/055401 patent/WO2019099125A1/en active Application Filing
- 2018-10-15 TW TW108138212A patent/TWI700748B/zh active
- 2018-10-15 TW TW107136151A patent/TWI678737B/zh not_active IP Right Cessation
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6164963A (en) * | 1997-03-07 | 2000-12-26 | Weaver; Robert A. | Semiconductor furnace processing vessel base |
US6352593B1 (en) * | 1997-08-11 | 2002-03-05 | Torrex Equipment Corp. | Mini-batch process chamber |
TW563184B (en) * | 2000-09-27 | 2003-11-21 | Hitachi Int Electric Inc | Method and apparatus for processing substrates and method for manufacturing a semiconductor device |
US20070243317A1 (en) * | 2002-07-15 | 2007-10-18 | Du Bois Dale R | Thermal Processing System and Configurable Vertical Chamber |
CN101338414A (zh) * | 2007-06-29 | 2009-01-07 | 应用材料股份有限公司 | 具有可拆式基座的热批处理反应器 |
CN104620354A (zh) * | 2012-06-27 | 2015-05-13 | 新意技术股份有限公司 | 基板加热装置及处理腔室 |
CN105575848A (zh) * | 2014-10-17 | 2016-05-11 | 中微半导体设备(上海)有限公司 | 真空锁系统及基片处理方法 |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN117542767A (zh) * | 2024-01-10 | 2024-02-09 | 合肥费舍罗热工装备有限公司 | 一种半导体立式熔接炉 |
CN117542767B (zh) * | 2024-01-10 | 2024-03-26 | 合肥费舍罗热工装备有限公司 | 一种半导体立式熔接炉 |
Also Published As
Publication number | Publication date |
---|---|
CN111373519B (zh) | 2021-11-23 |
WO2019099125A1 (en) | 2019-05-23 |
TW201923903A (zh) | 2019-06-16 |
TW202006826A (zh) | 2020-02-01 |
JP7330181B2 (ja) | 2023-08-21 |
KR20200075009A (ko) | 2020-06-25 |
TWI700748B (zh) | 2020-08-01 |
TWI678737B (zh) | 2019-12-01 |
SG11202003438QA (en) | 2020-05-28 |
US10854483B2 (en) | 2020-12-01 |
JP2021503716A (ja) | 2021-02-12 |
US20190148186A1 (en) | 2019-05-16 |
KR102622303B1 (ko) | 2024-01-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN111373519B (zh) | 高压蒸气退火处理设备 | |
US11469113B2 (en) | High pressure and high temperature anneal chamber | |
US11694912B2 (en) | High pressure and high temperature anneal chamber | |
TWI544168B (zh) | A gate valve device, a substrate processing device, and a substrate processing method | |
JP2008192642A (ja) | 基板処理装置 | |
KR102076643B1 (ko) | 기판 처리 장치 및 반도체 장치의 제조 방법 | |
US20010040230A1 (en) | Compact gate valve | |
TWI611495B (zh) | 基板處理裝置、半導體裝置之製造方法及程式 | |
JP2007073746A (ja) | 基板処理装置 | |
KR20230028471A (ko) | 성막 방법 및 성막 장치 | |
JP2013201292A (ja) | 基板処理装置 | |
JP2006097080A (ja) | 基板処理装置 | |
JP2014216489A (ja) | 排気ガス冷却装置、基板処理装置、基板処理方法、半導体装置の製造方法および基板の製造方法 | |
JP2005191346A (ja) | 基板処理装置 | |
JP2004146508A (ja) | 基板処理装置 | |
JP2013201288A (ja) | 基板処理装置、基板処理方法および半導体装置の製造方法 | |
JP2006100354A (ja) | 基板処理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |