KR20200075009A - 고압 스팀 어닐링 프로세싱 장치 - Google Patents
고압 스팀 어닐링 프로세싱 장치 Download PDFInfo
- Publication number
- KR20200075009A KR20200075009A KR1020207016728A KR20207016728A KR20200075009A KR 20200075009 A KR20200075009 A KR 20200075009A KR 1020207016728 A KR1020207016728 A KR 1020207016728A KR 20207016728 A KR20207016728 A KR 20207016728A KR 20200075009 A KR20200075009 A KR 20200075009A
- Authority
- KR
- South Korea
- Prior art keywords
- cassette
- chamber body
- batch processing
- bottom wall
- chamber
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 139
- 238000000137 annealing Methods 0.000 title abstract description 15
- 239000000758 substrate Substances 0.000 claims abstract description 44
- 239000012530 fluid Substances 0.000 claims description 76
- 238000011068 loading method Methods 0.000 claims description 22
- 238000000034 method Methods 0.000 claims description 13
- 238000001816 cooling Methods 0.000 claims description 8
- 238000007789 sealing Methods 0.000 claims description 6
- 238000007664 blowing Methods 0.000 claims 1
- 239000004065 semiconductor Substances 0.000 abstract description 11
- 238000002955 isolation Methods 0.000 description 17
- 239000007789 gas Substances 0.000 description 13
- 238000009529 body temperature measurement Methods 0.000 description 8
- 238000003860 storage Methods 0.000 description 8
- 230000008569 process Effects 0.000 description 7
- 239000003570 air Substances 0.000 description 6
- 238000004891 communication Methods 0.000 description 6
- 238000009833 condensation Methods 0.000 description 5
- 230000005494 condensation Effects 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 239000000919 ceramic Substances 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 229920006169 Perfluoroelastomer Polymers 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- -1 but not limited to Polymers 0.000 description 3
- 239000012809 cooling fluid Substances 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 229910000851 Alloy steel Inorganic materials 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 229910000856 hastalloy Inorganic materials 0.000 description 2
- 239000013529 heat transfer fluid Substances 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229920000049 Carbon (fiber) Polymers 0.000 description 1
- 229910000792 Monel Inorganic materials 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 239000004917 carbon fiber Substances 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 230000002542 deteriorative effect Effects 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 239000012636 effector Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 229910001026 inconel Inorganic materials 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052605 nesosilicate Inorganic materials 0.000 description 1
- 229910000623 nickel–chromium alloy Inorganic materials 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- 150000003961 organosilicon compounds Chemical class 0.000 description 1
- 150000004762 orthosilicates Chemical class 0.000 description 1
- 238000013021 overheating Methods 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000001902 propagating effect Effects 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 238000004064 recycling Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6732—Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6735—Closed carriers
- H01L21/67389—Closed carriers characterised by atmosphere control
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68792—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
반도체 기판들을 어닐링하기 위한 장치들, 이를테면 배치 프로세싱 챔버가 본원에서 제공된다. 배치 프로세싱 챔버는, 내부 볼륨을 밀폐하는 챔버 바디, 내부 볼륨 내에 이동가능하게 배치된 카세트, 및 카세트의 최하부 벽에 커플링된 플러그를 포함한다. 챔버 바디는 챔버 바디의 최하부 벽을 통하는 홀을 갖고, 그리고 챔버 바디를 290 ℃ 초과의 온도로 유지하도록 동작가능한 하나 이상의 가열기들과 인터페이스된다. 카세트는 카세트 상에 복수의 기판들을 로딩하기 위해 상승되고, 내부 볼륨을 밀봉하기 위해 하강되도록 구성된다. 플러그는 내부 볼륨 내에서 상방 및 하방으로 이동하도록 구성된다. 플러그는 하향 밀봉부를 포함하며, 그 하향 밀봉부는 챔버 바디의 최하부 벽의 최상부 표면과 맞물리고, 챔버 바디의 최하부 벽을 통하는 홀을 폐쇄하도록 구성된다.
Description
[0001]
본 개시내용의 실시예들은 일반적으로, 집적 회로들의 제작에 관한 것으로, 특히, 하나 이상의 반도체 기판들을 어닐링하기 위한 장치에 관한 것이다.
[0002]
반도체 디바이스, 이를테면 메모리 디바이스들, 로직 디바이스들, 마이크로프로세서들 등의 형성은 반도체 기판 위의 하나 이상의 막들의 증착을 수반한다. 막들은 반도체 디바이스를 제조하는 데 요구되는 회로망을 생성하기 위해 사용된다. 어닐링은 증착된 막들 상에 다양한 효과들을 달성하여 이들의 전기적 특성들을 개선하기 위해 사용되는 열 처리 프로세스이다. 예컨대, 어닐링은 도펀트들을 활성화하거나, 증착된 막들을 고밀화하거나, 또는 성장된 막들의 상태들을 변화시키기 위해 사용될 수 있다.
[0003]
반도체 디바이스 기하형상들은 수십 년 전에 도입된 이후로 사이즈가 크게 감소되었다. 디바이스 밀도들의 증가는 구조적 피처(feature)들이 감소된 공간 치수들을 갖게 하였다. 예컨대, 고 종횡비(깊이 대 폭의 비율) 갭들 및 트렌치(trench)들에서, 현대 반도체 디바이스들의 구조적 피처들은, 특히 갭을 충전(fill)하는 재료의 특성들이 신뢰성 있게 제어될 수 없다는 점에서, 재료로 갭을 충전하는 것이 매우 난제시 되는 지점까지 좁아졌다. 벌크 증착된 재료들의 특성들을 개선하는 데 적합한 종래의 어닐링 프로세스들은 고 종횡비 피처들에 증착된 재료들의 특성들을 개선하는 능력을 입증하지 못했다.
[0004]
따라서, 반도체 기판들을 어닐링하기 위한 개선된 방법 및 장치가 필요하다.
[0005]
본 개시내용의 실시예들은 일반적으로, 하나 이상의 반도체 기판들을 어닐링하기 위한 장치에 관한 것이다. 일 실시예에서, 배치(batch) 프로세싱 챔버가 개시된다. 배치 프로세싱 챔버는, 내부 볼륨을 밀폐하는 챔버 바디, 내부 볼륨 내에 이동가능하게 배치된 카세트, 및 카세트의 최하부 벽에 커플링된 플러그(plug)를 포함한다. 챔버 바디는 챔버 바디의 최하부 벽을 통하는 개구를 갖는다. 샤프트가 챔버 바디에 형성된 개구를 통해 배치되고, 카세트에 커플링된다. 챔버 바디는 챔버 바디를 290 ℃ 초과의 온도로 유지하도록 동작가능한 하나 이상의 가열기들과 인터페이스(interface)된다. 카세트는 카세트 상에 복수의 기판들을 로딩하기 위해 제1 포지션으로 상승되고, 프로세싱을 위해 제1 포지션 아래의 제2 포지션으로 하강되도록 구성된다. 플러그는, 카세트가 제2 포지션에 있을 때, 챔버 바디의 최하부 벽의 최상부 표면과 맞물리도록 구성된 하향 밀봉부를 포함한다. 밀봉부는 개구 및 샤프트를 에워싸고, 챔버 바디의 최하부 벽에 대해 밀봉한다.
[0006]
다른 실시예에서, 배치 프로세싱 챔버는, 내부 볼륨을 밀폐하는 챔버 바디, 내부 볼륨 내에 이동가능하게 배치된 카세트, 및 내부 볼륨 내에 배치된 중공 원통형 셸(shell)을 포함한다. 챔버 바디는 챔버 바디를 290 ℃ 초과의 온도로 유지하도록 동작가능한 하나 이상의 가열기들과 인터페이스된다. 카세트는 제1 포지션과 그 제1 포지션 아래의 제2 포지션 사이에서 이동가능하다. 제1 포지션에서, 카세트는 셸 위에 배치된다. 제2 포지션에서, 카세트는 셸에 의해 에워싸인다. 하나 이상의 가열기들이 셸의 내측 표면과 카세트 사이에 배치된다.
[0007]
또 다른 실시예에서, 배치 프로세싱 챔버는, 내부 볼륨을 밀폐하는 챔버 바디, 내부 볼륨 내에 이동가능하게 배치된 카세트, 카세트의 최하부 벽에 커플링된 플러그, 내부 볼륨 내에 배치된 중공 원통형 셸, 카세트 상에 배치된 덮개, 및 챔버 바디의 측벽을 통해 형성된 로딩 포트를 밀봉가능하게 폐쇄하도록 구성된 도어를 포함한다. 챔버 바디는 챔버 바디를 290 ℃ 초과의 온도로 유지하도록 동작가능한 하나 이상의 가열기들을 포함한다. 카세트는 카세트의 최하부 벽을 통해 형성된 하나 이상의 가스 진입 개구들을 갖는다. 카세트는 제1 포지션과 그 제1 포지션 아래의 제2 포지션 사이에서 이동가능하다. 제1 포지션에서, 카세트는 셸 위에 배치된다. 제2 포지션에서, 카세트는 셸에 의해 측면이 에워싸인다. 플러그는 샤프트에 커플링되고, 하향 밀봉부를 포함하며, 그 하향 밀봉부는 개구를 둘러싸고, 그리고 카세트가 제2 포지션에 있을 때, 챔버 바디의 최하부 벽의 최상부 표면과 맞물리도록 구성된다. 플러그는 또한, 내부에 배치된 냉각 채널을 포함한다. 셸은 셸의 내측 표면 상에 배치된 하나 이상의 가열기들을 갖는다. 덮개는 셸의 외경보다 더 큰 직경을 갖는다.
[0008]
본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1a는 카세트 내에 복수의 기판들을 로딩하기 위한 상승 포지션에 카세트가 있는 배치 프로세싱 챔버의 개략적인 단면도이다.
[0010] 도 1b는 복수의 기판들을 프로세싱하기 위한 하강 포지션에 카세트가 있는 배치 프로세싱 챔버의 개략적인 단면도이다.
[0011] 도 1c는 카세트의 개략적인 저면도이다.
[0012] 도 1d는 배치 프로세싱 챔버 내에 포지셔닝된 원통형 셸의 개략적인 부분 단면도이다.
[0013] 도 1e는 개방 구성에서의 슬릿 밸브 도어의 개략적인 단면도이다.
[0014] 도 1f는 폐쇄 구성에서의 슬릿 밸브 도어의 개략적인 단면도이다.
[0015] 도 2는 배치 프로세싱 챔버의 유입구에 연결된 온도-제어식 유입구 유체 회로의 개략도이다.
[0016] 도 3은 배치 프로세싱 챔버의 유출구에 연결된 온도-제어식 유출구 유체 회로의 개략도이다.
[0017] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있는 것으로 고려된다.
[0009] 도 1a는 카세트 내에 복수의 기판들을 로딩하기 위한 상승 포지션에 카세트가 있는 배치 프로세싱 챔버의 개략적인 단면도이다.
[0010] 도 1b는 복수의 기판들을 프로세싱하기 위한 하강 포지션에 카세트가 있는 배치 프로세싱 챔버의 개략적인 단면도이다.
[0011] 도 1c는 카세트의 개략적인 저면도이다.
[0012] 도 1d는 배치 프로세싱 챔버 내에 포지셔닝된 원통형 셸의 개략적인 부분 단면도이다.
[0013] 도 1e는 개방 구성에서의 슬릿 밸브 도어의 개략적인 단면도이다.
[0014] 도 1f는 폐쇄 구성에서의 슬릿 밸브 도어의 개략적인 단면도이다.
[0015] 도 2는 배치 프로세싱 챔버의 유입구에 연결된 온도-제어식 유입구 유체 회로의 개략도이다.
[0016] 도 3은 배치 프로세싱 챔버의 유출구에 연결된 온도-제어식 유출구 유체 회로의 개략도이다.
[0017] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있는 것으로 고려된다.
[0018]
본 개시내용의 실시예들은 일반적으로, 반도체 기판들과 같은 하나 이상의 기판을 어닐링하기 위한 방법들 및 장치들에 관한 것이다. 일 예에서, 장치는 배치 프로세싱 챔버이며, 그 배치 프로세싱 챔버는 배치 프로세싱 챔버 내에 배치된 카세트 상에 위치된 복수의 반도체 기판들을 프로세싱하도록 동작한다. 배치 프로세싱 챔버는, 카세트가 하강, 예컨대 프로세싱 포지션에 있을 때, 최대 70 bar의 압력 하에서 그리고 최대 550 ℃의 온도로 기판들을 어닐링한다. 본원에서 설명되는 일 예에서, 카세트의 최하부 벽은 하향 밀봉부를 포함하며, 그 하향 밀봉부는, 카세트가 하강 포지션에 있을 때, 배치 프로세싱 챔버를 폐쇄한다. 따라서, 대기압 초과의 프로세싱 압력들에서, 카세트와 최하부 인터페이스에 걸친 압력차는 밀봉부를 압축되게 강제하며, 이는 유리하게, 샤프트 밀봉 애플리케이션들에서 전형적으로 사용되는 동적 밀봉부들과 비교하여 더 견고한 밀봉을 생성한다. 유사하게, 배치 프로세싱 챔버의 슬릿 밸브 도어는 프로세싱 챔버의 내부 볼륨으로부터 밀봉하고, 그리고 마찬가지로, 슬릿 밸브 도어의 밀봉부들은, 프로세싱 챔버의 내부 볼륨이 상승된 압력에 있을 때, 압축되도록 강제된다. 다른 실시예들에서, 배치 프로세싱 챔버는 중공 원통형 셸을 포함한다. 셸은, 셸의 경계들 내에 배치되어 셸과 카세트 사이에 위치된 하나 이상의 가열기들에 의해 생성되는 열을 유지하도록 기능한다. 셸은 또한, 프로세싱 챔버의 측벽들에 전달되는 열의 양을 크게 감소시킨다.
[0019]
도 1a는 카세트(130) 내에 복수의 기판들(135)을 로딩하기 위한 제1 포지션, 예컨대 상승 포지션 또는 로딩 포지션에 카세트(130)가 배치되어 있는 배치 프로세싱 챔버(100)의 개략적인 단면도이다. 배치 프로세싱 챔버(100)는 내부 볼륨(150)을 밀폐하는 챔버 바디(110), 및 챔버 바디(110) 상에 배치된 덮개(111)를 갖는다. 챔버 바디(110)는 환상 형상을 갖지만, 다른 실시예들에서, 바디(110)는 직사각형 또는 임의의 폐쇄된 형상일 수 있다.
[0020]
챔버 바디(110)는 하나 이상의 섹션들로 제작될 수 있다. 도 1에 도시된 실시예에서, 챔버 바디(110)는 덮개(111), 최하부 벽(115), 측벽(117)을 포함한다. 최하부 벽(115)은 내측 표면(151) 및 외측 표면(153)을 갖는다. 측벽(117)은 내측 표면(155) 및 외측 표면(157)을 갖는다. 덮개(111)와 챔버 바디(110)는 정적 밀봉부(118)에 의해 함께 홀딩된다. 냉각 채널(119)이 정적 밀봉부(118)에 인접하게 배치된다. 다른 실시예들(미도시)에서, 챔버 바디(110)는 최하부(115)와 덮개(111) 사이에 포지셔닝된 상부 스풀(spool) 및 하부 스풀을 포함할 수 있다. 챔버 바디(110)는 부식에 대한 높은 내성을 나타내는 니켈-계 강 합금들, 이를테면 하나 이상의 Hastelloy®, Iconel®, 및 Monel® 합금들(그러나 이에 제한되지는 않음)로 제작될 수 있다.
[0021]
하나 이상의 카트리지 가열기들(112)이 챔버 바디(110) 내에, 예컨대, 측벽(117) 또는 최하부(115)의 리세스(recess) 내에 배치된다. 가열기들(112)은 챔버 바디(110)를 능동적으로 가열하고, 챔버 바디(110)를 290 ℃ 초과의 온도로 유지하도록 구성된다. 가열기들(112) 각각은 저항성 코일, 램프, 세라믹 가열기, 흑연-계 CFC(carbon fiber composite) 가열기, 스테인리스 강 가열기, 또는 알루미늄 가열기일 수 있다. 가열기들(112)은 전력 소스(105)에 의해 전력을 공급받는다. 온도 센서(114)가 챔버 바디(110)에 커플링되고, 그리고 챔버 바디(110)의 온도를 모니터링하도록 구성된다. 가열기들(112)로의 전력은 온도 센서(114)로부터 수신되는 피드백을 통해 제어기(180)에 의해 제어된다. 석영과 같은(그러나 이에 제한되지는 않음), (적어도 차폐부에 대해) 낮은 열 전달 계수를 갖는 재료로 제조된 선택적인 단열 라이너(insulating liner)(116)가 챔버 바디(110)의 측벽(117)의 내측 표면(155)을 따라 내부 볼륨(150)에 배치될 수 있다. 그러한 예에서, 라이너(116)는 셸(120)과 측벽(117) 사이에 배치되어, 유리하게, 셸(120)과 측벽(117) 사이에 전달되는 열의 양을 감소시켜서, 측벽(117)의 온도를 조절하는 것을 보조한다.
[0022]
카세트(130)는 내부 볼륨(150) 내에 이동가능하게 배치된다. 카세트(130)는 석영, 세라믹, 또는 다른 열 비-전도성 재료로 제작될 수 있다. 카세트(130)는 배치 프로세싱 챔버(100) 내로 그리고 밖으로의 복수의 기판들(135)의 이송을 가능하게 하도록 카세트(130)에 복수의 기판들(135)을 하우징한다. 더욱이, 카세트(130)는 배치 프로세싱 챔버(100) 내의 제1 포지션과 배치 프로세싱 챔버(100) 내의 제2 포지션 사이에서의 기판들(135)의 이송을 가능하게 하여, 기판들(135)이 상승된 압력 및 상승된 온도로 어닐링되도록 한다.
[0023]
카세트(130)는 최상부 벽(132), 최하부 벽(134), 및 측벽(136)을 포함한다. 카세트(130)의 측벽(136)은 측벽(136)의 내향 표면 상에 형성된 복수의 기판 저장 슬롯들(138)을 갖는다. 각각의 기판 저장 슬롯(138)은 카세트(130)의 측벽(136)의 내측 표면을 따라 수직으로 균등하게 이격된다. 각각의 기판 저장 슬롯(138)은 복수의 기판들(135) 중 하나를 상부에 홀딩하도록 구성된다. 일 예에서, 카세트(130)는 기판들(135)을 홀딩하기 위한 무려 25개의 기판 저장 슬롯들(138)을 가질 수 있다. 다른 예들에서, 25개보다 더 많거나 또는 더 적은 기판 저장 슬롯들(138)이 고려된다. 최하부 벽(134)은 최하부 벽(134)을 통해 형성된 하나 이상의 애퍼처들(133)을 가지며, 하나 이상의 애퍼처들(133)은 카세트(130) 내로의 유체 유동을 가능하게 한다.
[0024]
도 1c는 카세트(130)의 개략적인 저면도이다. 일 실시예에서, 도 1c에 도시된 바와 같이, 카세트(130)의 최하부 벽(134)은 하나 이상의 스포크(spoke)들(104)을 포함하며, 하나 이상의 스포크들(104)은 중앙 부분(330)으로부터 환상-형상 주변 부분(131)까지 반경방향으로 연장되어, 인접한 스포크들(104) 사이에 애퍼처들(133)을 정의한다.
[0025]
도 1a 및 도 1b로 돌아가면, 플러그(172)가 카세트(130)의 최하부 벽(134)에 커플링된다. 플러그(172)는 하나 이상의 밀봉부들(175)을 포함한다. 밀봉부들은 플러그(172)의 하부 표면 상에 배치될 수 있다. 플러그(172)는 챔버 바디(110)의 최하부 벽(115)을 통해 형성된 개구(154)의 수직 위에 포지셔닝된다. 플러그(172)는 배치 프로세싱 챔버(100)의 동작 동안 카세트(130)와 함께 수직으로 상방 및 하방으로 작동한다. 일부 양상들에서, 도 1a 및 도 1b에 도시된 바와 같이, 개구(154)는, 내측 표면(151)에 형성되어 플러그(172)를 내부에 수용하도록 구성된 계단형 상부 프로파일을 포함한다. 동작 동안, 플러그(172)는 수직 하방으로 작동되어, 개구(154)의 계단형 프로파일의 최하부 표면을 밀봉부(175)와 맞물리게 함으로써, 플러그(172)와 최하부 벽(115) 사이에 밀봉을 형성하여, 배치 프로세싱 챔버(100)의 개구(154)를 통하는 유체 유동을 방지한다.
[0026]
플러그가 내측 표면(151)과 맞물릴 때, 배치 프로세싱 챔버(100) 외부의 압력보다 더 높은, 배치 프로세싱 챔버(100) 내의 상승된 압력은 밀봉부(175)를 내측 표면(151)과 맞물리게 강제한다. 밀봉부(175)를 맞물리게 강제함으로써, 더 강하고 더 유체-밀폐적인 밀봉이 생성된다. 일 예에서, 밀봉부(175)는 퍼플루오로엘라스토머와 같은(그러나 이에 제한되지는 않음) 고온 폴리머로 제조된다. 일부 실시예들에서, 밀봉부(175)는 O-링이다. 일부 실시예들에서, 플러그(172)는 제외될 수 있다. 그러한 예에서, 카세트(130)의 최하부 벽(134)이 최하부(115)에 대해 밀봉한다. 그러한 예에서, 밀봉부들(175)이 카세트(130)의 최하부 벽(134)의 하부 표면 상에 포지셔닝될 수 있거나, 또는 선택적으로, 밀봉부들(175)이 제외될 수 있는 것이 고려된다.
[0027]
플러그(172)는 또한, 밀봉부(175)에 인접하게 플러그(172)에 배치된 냉각 채널(176)을 포함한다. 냉각 채널(176)은 냉각 유체 소스(177)에 유동적으로 연결된다. 불활성 유전체 및 고성능 열 전달 유체와 같은(그러나 이에 제한되지는 않음) 냉각 유체가 냉각 채널(176) 내에서 순환될 수 있다. 냉각 채널(176)은 동작 동안 밀봉부(175)를 최대 무결성 온도(예컨대, 약 325 ℃) 미만으로 유지하기 위해 플러그(172) 및 밀봉부(175)를 냉각시키도록 구성됨으로써, 밀봉부(175)의 유효 수명을 연장시킨다. 플러그(172)는 샤프트(174)에 커플링되며, 샤프트(174)는 내부 볼륨(150) 내에서 플러그(172) 및 카세트(130)를 상방 및 하방으로 이동시킨다. 플러그(172)는 샤프트(174)의 상부 단부에 배치되고, 그리고 샤프트(174)의 별개의 컴포넌트일 수 있거나, 또는 샤프트(174)와 일체로 형성될 수 있다. 샤프트(174)의 하부 단부는 샤프트(174)의 운동을 가능하게 하는 액추에이터(170)에 커플링된다. 샤프트(174) 및 액추에이터(170)는 제어기(180)에 의해 제어된다.
[0028]
배치 프로세싱 챔버(100)는 또한, 진공 립 밀봉부(160)를 포함한다. 진공 립 밀봉부(160)는 최하부 벽(115)의 외측 표면(153)에 인접하게 외측 표면(153)과 액추에이터(170) 사이에 포지셔닝된다. 진공 립 밀봉부(160)는 샤프트(174) 주위의 진공 립 밀봉부(160)의 밀봉을 가능하게 하기 위해 밀봉부(165)를 포함한다. 밀봉부(165)는 퍼플루오로엘라스토머와 같은(그러나 이에 제한되지는 않음) 고온 폴리머로 제조될 수 있다. 진공 립 밀봉부(160)는 밀봉부들(175)에 대한 백-업(back-up) 또는 여분의 밀봉부로서 기능한다. 밀봉부(175)가 파손된 경우, 내부 볼륨(150)으로부터 빠져나오는 가압된 유체 또는 공기는 펌프(미도시)에 유동적으로 연결된 유출구(169)를 통해 지향된다. 저압 동작 동안, 샤프트(174)가 배치 프로세싱 챔버(100)의 내부 볼륨(150) 내에서 카세트(130)를 상방 및 하방으로 이동시킬 때, 진공 립 밀봉부(160)는 내부 볼륨(150)으로부터의 가압된 프로세싱 유체 또는 공기의 누설, 또는 내부 볼륨(150) 내로의 주변 공기의 진입을 방지한다.
[0029]
일부 실시예들에서, 도 1a 및 도 1b에 도시된 바와 같이, 배치 프로세싱 챔버(100)는 또한, 서멀 브레이크(thermal break)(178)를 포함한다. 서멀 브레이크는 카세트(130)의 최하부 벽(134)의 하부 표면 및 플러그(172)의 상부 표면에 인접하게 포지셔닝된다. 서멀 브레이크(178)는 최하부 벽(134), 또는 플러그(172)의 상부 표면에 접착될 수 있다. 서멀 브레이크(178)는 세라믹으로 제작되지만, 다른 재료들이 또한 고려된다. 서멀 브레이크(178)는, 카세트(130)와 샤프트(174) 사이의 열 전달을 완화시킴으로써, 카세트(130)로부터 챔버 외부로의 열의 유동을 제한하도록 구성된다.
[0030]
도 1d는 배치 프로세싱 챔버 내에 포지셔닝된 원통형 셸의 개략적인 부분 단면도이다. 원통형 셸(120)은 (하강 포지션에 있을 때의) 카세트(130)와 선택적인 단열 라이너(116) 사이에 포지셔닝된다.
[0031]
셸(120)은 벽(123)을 포함하며, 벽(123)은 벽(123)의 내측 표면(106)에 커플링된 가열기(127)를 갖는다. 셸(120)은 벽(123) 내에 위치된 프로세싱 구역(125)을 가열하고, 프로세싱 구역(125) 내의 열로부터 벽(123) 외부의 외측 구역(129)을 차폐하도록 구성된다. 달리 말하면, 셸(120)은 프로세싱 구역(125)과 외측 구역(129) 사이의 열 분리를 가능하게 한다. 벽(123)은 고 반사성 시트 금속 또는 니켈-계 강 합금, 이를테면 Hastelloy® 합금으로 제작될 수 있다. 벽(123)의 외측 표면(107)은 선택적으로, 프로세싱 구역(125)과 외측 구역(129) 사이의 열 분리를 추가로 가능하게 하기 위해, 단열 층(미도시)으로 코팅될 수 있다.
[0032]
벽(123)의 내측 표면(106)은 내측 표면(106)에 커플링된 복수의 후크(hook)들(126), 이를테면 세라믹 후크들을 갖는다. 복수의 후크들(126)은 상부에 하나 이상의 가열기들(127)을 지지한다. 가열기들(127)은 나선형 또는 축방향-정렬 구성으로 배열되고, 그리고 어닐링 동작 동안 프로세싱 구역(125) 및 그 프로세싱 구역(125)에 배치된 복수의 기판들(135)을 가열하도록 구성된다. 일 예에서, 하나 이상의 가열기들(127)은 프로세싱 구역(125)을 최대 550 ℃의 온도까지 가열하도록 구성된다. 가열기들은, 예컨대, 니켈-크롬 합금으로 형성된 저항성 가열기들일 수 있다. 셸(120) 내의 가열기들(127)은 전력 소스(105)에 전기적으로 연결된다. 일 예에서, 내측 표면(106)은 열 반사성이고, 그리고 가열기들(127)에 의해 생성된 열을 프로세싱 구역(125) 내로 다시 반사시키도록 구성된다. 일부 양상들에서, 내측 표면(106)은 열 반사를 추가로 향상시키기 위해 내측 표면(106) 상에 반사성 코팅을 포함할 수 있다.
[0033]
압력 센서(122)가 프로세싱 구역(125)에서 최하부(115)의 내측 표면(151) 상에 포지셔닝된다. 압력 센서(122)는 어닐링 프로세스 동안 프로세싱 구역(125)의 압력을 측정하도록 구성된다. 온도 센서(124)가 프로세싱 구역(125)에서 최하부(115)의 내측 표면(151) 상에 압력 센서(122)에 인접하게 포지셔닝되며, 온도 센서(124)는 어닐링 프로세스 동안 프로세싱 구역(125)의 온도를 측정하도록 구성된다.
[0034]
카세트(130)의 덮개(140)는 덮개(140)의 하부 표면 상에 환상 리세스(142)를 포함한다. 환상 리세스(142)는 셸(120)의 벽(123) 위에 배치되어 벽(123)과 덮개(140) 사이에 갭(128)을 형성하도록 구성된다. 일 예에서, 덮개(140)는 환상 리세스(142)를 수용하기 위해 셸(120)의 외경보다 더 큰 직경을 갖는다. 프로세싱 유체가 프로세싱 구역(125) 내에 도입될 때, 갭(128)은 임의의 잔여 공기가 프로세싱 유체에 의해 내부 볼륨(150)(도 1b에 도시됨) 내로 변위될 수 있게 한다.
[0035]
도 1d는 또한, 서멀 브레이크(178)의 예를 예시한다. 예시된 예에서, 서멀 브레이크(178)는 캡슐화된 컵(capsulated cup)으로 형성화된다. 캡슐화된 컵은 카세트(130)의 최하부 벽(134)과의 접촉을 최소화한다. 도 1d에 도시된 바와 같이, 서멀 브레이크(178)는 중공 중앙 부분(109)을 둘러싸는 얇은 원통형 벽(108)을 갖는다. 중공 중앙 부분(109) 및 얇은 원통형 벽은 카세트(130)와 플러그(172) 사이의 열 전달을 감소시킨다. 다른 예에서, 서멀 브레이크(178)가 중공 중앙 부분(109)을 생략할 수 있는 것이 고려된다. 그러한 예에서, 서멀 브레이크(178)는 단열 재료로 형성될 수 있다.
[0036]
도 1a 및 도 1b로 돌아가고, 도 1e 및 도 1f를 참조하면, 로딩 포트(158)가 챔버 바디(110)의 측벽(117)을 통해 형성된다. 도 1e는 개방 구성에서의 슬릿 밸브 도어(195)의 개략적인 단면도이다. 도 1f는 폐쇄 구성에서의 슬릿 밸브 도어(195)의 개략적인 단면도이다. 프로세싱 동안, 복수의 기판들(135)이 로딩 포트(158)를 통해 배치 프로세싱 챔버(100) 내에 로딩되거나 또는 배치 프로세싱 챔버(100)로부터 언로딩될 수 있다. 슬릿 밸브 도어(195)는, 로딩 포트(158)를 선택적으로 개방 및 폐쇄함으로써, 로딩 포트(158)를 통한 내부 볼륨(150)으로의 접근을 제공하기 위해 사용된다. 슬릿 밸브 도어(195)는 로딩 포트(158)를 둘러싸는 측벽(117)의 내측 표면(155)과 맞물리도록 구성된다. 밀봉부(199)는 로딩 포트(158)에 걸쳐 밀봉함으로써 슬릿 밸브 도어(195)의 폐쇄를 가능하게 한다. 추가로, 내부 볼륨(150) 내의 상승된 압력들은 슬릿 밸브 도어(195) 및 밀봉부(199)를 내측 표면(155)과 맞물리게 강제한다. 밀봉부(199)는 퍼플루오로엘라스토머와 같은(그러나 이에 제한되지는 않음) 고온 폴리머로 제조될 수 있다. 일부 실시예들에서, 밀봉부(199)는 O-링일 수 있다.
[0037]
슬릿 밸브 도어(195)는 세장형 슬롯(105b)에 포지셔닝된 회전 핀(105a)을 포함한다. 핀(105a)은 슬릿 밸브 도어(195)를 바(198a)에 커플링시킨다. 바(198a)는 수평 액추에이터(194a) 및 수직 액추에이터(190)에 커플링된다. 수평 액추에이터(194a)는 내부 볼륨(150) 내의 슬릿 밸브 도어(195)의 하나의 단부를 로딩 포트(158) 쪽으로 그리고 로딩 포트(158)로부터 멀어지게 선형적으로 이동시키도록 구성된다. 수평 액추에이터(194a)의 작동 시에, 슬릿 밸브 도어(195)는 핀(105c)을 중심으로 피벗팅(pivot)하는 한편, 핀(105a)은 세장형 슬롯(105b)내에서 이동한다. 슬릿 밸브 도어(195)의 회전을 위한 간극(clearance)을 제공하기 위해, 제2 수평 액추에이터(194b)는 바(198b)를 통해 내측 표면(155)으로부터 멀어지는 슬릿 밸브 도어(195)의 이동을 가능하게 할 수 있다. 슬릿 밸브 도어(195)는, 기판들의 입구 및 출구를 제공하기 위해, 비-수직 배향, 이를테면, 내측 표면(155)에 대해 약 45도로 피벗팅될 수 있다. 부가적인 간극을 제공하기 위해, 수평 액추에이터들(194a, 194b)은 브래킷(bracket)(196)에 커플링될 수 있으며, 브래킷(196)은 브래킷(196)의 작동을 가능하게 하기 위해 링크(192)에 의해 수직 액추에이터(190)에 커플링된다. 브래킷(196)의 수직 작동은, 로딩 포트(158) 내에서 바들(198a, 198b)을 수직으로 이동시킴으로써, 로딩 포트(158) 내에 부가적인 간극을 제공한다. 슬릿 밸브 도어(195) 및 각각의 액추에이터들의 운동은 제어기(180)에 의해 제어된다.
[0038]
배치 프로세싱 챔버(100) 내의 공기 유동을 가능하게 하기 위해, 유입구 포트(152)가 챔버 바디(110)의 최하부 벽(115)을 통해 형성되고, 그리고 내부 볼륨(150)에 유입구 도관(259)(도 2에 도시됨)을 유동적으로 연결한다. 유출구 포트(156)가 또한, 최하부 벽(115)을 통해 형성되고, 그리고 유출구 도관(361)(도 3에 도시됨)에 내부 볼륨(150)을 연결한다. 유입구 포트(152)는 하나 이상의 가스들(예컨대, 시약들, 전구체들, 퍼지 가스, 또는 캐리어 가스)을 제공하기 위해 가스 공급 소스에 유동적으로 커플링된다.
[0039]
도 2는 온도-제어식 유입구 유체 회로(200)를 개략적으로 예시한다. 온도-제어식 유입구 유체 회로(200)는 유입구 도관(259)을 통해 유입구 포트(152)에 유동적으로 연결가능하다. 유입구 유체 회로(200)는 가스 패널(250), 소스 도관(257), 및 유입구 도관(259)에 커플링된 유입구 격리 밸브(255)를 포함한다. 하나 이상의 가열기들(252, 254, 및 258)은, 유입구 유체 회로(200) 전체에 걸친 온도 제어를 가능하게 하기 위해, 유입구 유체 회로(200)의 상이한 부분들과 인터페이스된다. 하나 이상의 온도 센서들(251, 253, 및 256)은, 온도 측정들을 획득하고 온도 측정 정보를 제어기(180)에 제공하여 피드백 제어를 가능하게 하기 위해, 유입구 유체 회로(200)의 상이한 부분들과 인터페이스된다.
[0040]
도 2에 도시된 바와 같이, 소스 도관(257)은 가열기(252)와 인터페이스되고, 가열기(252)와 열적으로 연통한다. 유입구 격리 밸브(255)는 가열기(254)와 인터페이스되고, 가열기(254)와 열적으로 연통한다. 유입구 도관(259)은 가열기(258)와 인터페이스되고, 가열기(258)와 열적으로 연통한다. 온도 센서(251)는 소스 도관(257)과 인터페이스되고, 그리고 소스 도관(257)의 온도를 측정하도록 구성된다. 온도 센서(253)는 유입구 격리 밸브(255)와 인터페이스되고, 그리고 유입구 격리 밸브(255)의 온도를 측정하도록 구성된다. 온도 센서(256)는 유입구 도관(259)과 인터페이스되고, 그리고 유입구 도관(259)의 온도를 측정하도록 구성된다. 온도 판독 디바이스(205)가 온도 센서들(251, 253, 및 256)로부터 온도 측정들을 수신하여 그 온도 측정들을 디스플레이한다. 온도 판독 디바이스(205)는, 유입구 유체 회로(200)의 온도 제어를 가능하게 하기 위해, 온도 정보를 제어기(180)에 전송한다.
[0041]
도 3은 온도-제어식 유출구 유체 회로(300)를 개략적으로 예시한다. 유출구 유체 회로(300)는 유출구 도관(361)을 통해 유출구 포트(156)에 유동적으로 연결가능하다. 유출구 유체 회로(300)는 유출구 격리 밸브(365), 배기 도관(363), 응축기(360), 및 펌프(378)를 포함한다. 하나 이상의 가열기들(362, 364, 및 366)은, 유출구 유체 회로(300)의 열 제어를 가능하게 하기 위해, 유출구 유체 회로(300)의 상이한 부분들과 인터페이스된다. 하나 이상의 온도 센서들(367, 368, 및 369)은, 온도 측정들을 획득하고 온도 측정 정보를 제어기(180)에 제공하기 위해, 유출구 유체 회로(300)의 상이한 부분들과 인터페이스된다. 도 3에 도시된 바와 같이, 유출구 도관(361)은 가열기(362)와 인터페이스되고, 가열기(362)와 열적으로 연통한다. 유출구 격리 밸브(365)는 가열기(364)와 인터페이스되고, 가열기(364)와 열적으로 연통한다. 배기 도관(363)은 가열기(366)와 인터페이스되고, 가열기(366)와 열적으로 연통한다. 온도 센서(367)는 유출구 도관(361)과 인터페이스되고, 그리고 유출구 도관(361)의 온도를 측정하도록 구성된다. 온도 센서(368)는 유출구 격리 밸브(365)와 인터페이스되고, 그리고 유출구 격리 밸브(365)의 온도를 측정하도록 구성된다. 온도 센서(369)는 배기 도관(363)과 인터페이스되고, 그리고 배기 도관(363)의 온도를 측정하도록 구성된다. 온도 판독 디바이스(305)가 온도 센서들(367, 368, 및 369)로부터 온도 측정들을 수신하여 그 온도 측정들을 디스플레이한다. 온도 판독 디바이스(305)는, 유출구 유체 회로(300)의 온도 제어를 가능하게 하기 위해, 온도 정보를 제어기(180)에 전송한다.
[0042]
응축기(360)가 내부 볼륨(150)에 유동적으로 커플링되고, 그리고 유출구 도관(361)을 통해 내부 볼륨(150)에서 빠져나오는 프로세싱 유체를 응축시키도록 구성된다. 선택적으로, 응축기(360)에서 빠져나오는 응축된 프로세싱 유체는 격리 밸브(375)를 통해 열 교환기(370)를 통하여 라우팅될 수 있다. 열 교환기(370)는, 프로세싱 유체가 더 쉽게 관리될 수 있도록, 응축된 프로세싱 유체를 추가로 냉각시키도록 구성된다. 응축기(360)는 응축기 도관(372)에 의해 격리 밸브(375)에 유동적으로 연결된다. 열 교환기(370)는 열 교환기 도관(374)에 의해 격리 밸브(375)에 커플링된다. 펌프(378)가 펌프 도관(376)에 의해 열 교환기(370)에 유동적으로 연결되어, 재활용, 재사용, 또는 폐기를 위해 열 교환기(370)로부터 용기(미도시)로의 액화된 프로세싱 유체의 펌핑을 가능하게 한다.
[0043]
도 2 및 도 3을 참조하면, 가열기들(252, 254, 258, 362, 364, 및 366)은 유체 회로들(200 및 300)을 통해 유동하는 프로세싱 유체를 미리 결정된 온도로 유지하도록 구성된다. 일 예에서, 미리 결정된 온도는 프로세싱 유체의 응축점보다 더 높다. 가열기들(252, 254, 258, 362, 364, 및 366)은 선택적으로, 외부 환경으로의 열의 손실을 방지하여 유체 유입구 회로(200) 및 유체 유출구 회로(300)의 온도 제어 효율성을 개선하기 위해, 단열 층으로 덮일 수 있다. 가열기들(252, 254, 258, 362, 364, 및 366)은 램프들, 저항성 가열 엘리먼트들, 열 전달 유체를 유동시키기 위한 유체 도관들, 또는 다른 적합한 가열 디바이스들일 수 있다. 도 2 및 도 3에 도시된 바와 같은 실시예들에서, 가열기들(252, 254, 258, 362, 364, 및 366)은 유체 회로들(200 및 300)의 엘리먼트들 주위에 감긴 저항성 가열기들이다. 가열기들(252, 254, 258, 362, 364, 및 366)은 전력 소스(105)에 개별적으로 커플링된다. 일부 실시예들에서, 가열기들(252, 254, 258, 362, 364, 및 366) 각각은 독립적으로 제어될 수 있다. 온도 센서들(251, 253, 256, 367, 368, 및 369)은 각각, 적외선 센서와 같은 비-접촉 센서, 또는 열전대와 같은 접촉 센서일 수 있다.
[0044]
유입구 격리 밸브(255) 및 유출구 격리 밸브(365)는 차단 밸브들이다. 유입구 격리 밸브(255)가 개방될 때, 유출구 격리 밸브(365)는 폐쇄되고, 그에 따라, 소스 도관(257)을 통해 유동하는 프로세싱 유체가 유입구 도관(259) 및 내부 볼륨(150) 내의 프로세싱 구역(125) 내에 진입한다. 다른 한편으로, 유출구 격리 밸브(365)가 개방될 때, 유입구 격리 밸브(255)가 폐쇄되고, 그에 따라, 가스성 생성물이 프로세싱 구역(125)으로부터 제거되어, 유출구 도관(361) 및 배기 도관(363)을 통해 응축기(360) 내로 유동한다.
[0045]
배치 프로세싱 챔버(100) 내의 기판들의 원하는 어닐링을 위한 프로세스 요건들에 따라 프로세싱 유체가 선택되는 것이 고려된다. 프로세싱 유체는 산소-함유 및/또는 질소-함유 가스, 이를테면 산소, 스팀(steam), 물, 과산화 수소, 및/또는 암모니아를 포함할 수 있다. 산소-함유 및/또는 질소-함유 가스들에 대안적으로 또는 부가하여, 프로세싱 유체는, 하나 이상의 유기실리콘 화합물들, 하나 이상의 테트라알킬 오르토실리케이트들, 하나 이상의 디실록산들, 또는 이들의 임의의 조합과 같은(그러나 이에 제한되지는 않음) 실리콘-함유 가스를 함유할 수 있다. 일부 실시예들에서, 프로세싱 유체는 최대 70 bar의 압력 하의 그리고 약 550 ℃의 온도의 스팀일 수 있다.
[0046]
도 1a 및 도 1b로 돌아가면, 배치 프로세싱 챔버는 또한, 제어기(180)를 포함한다. 제어기(180)는 배치 프로세싱 챔버(100)의 전력 소스(105) 뿐만 아니라 액추에이터들(170, 194a, 194b, 및 190)의 동작을 제어한다. 제어기(180)는 또한, 냉각 유체 소스(177), 유입구 유체 회로(200)(도 2), 및 유출구 유체 회로(300)(도 3)에 연결된다. 제어기(180)는 압력 센서(122) 및 온도 센서들(114 및 124)에 통신가능하게 연결된다. 제어기(180)는 CPU(central processing unit)(182), 메모리(184), 및 지원 회로(186)를 포함한다. CPU(182)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 메모리(184)는 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 스토리지일 수 있다. 지원 회로(186)는 CPU(182)에 통상적으로 커플링되고, 그리고 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다.
[0047]
배치 프로세싱 챔버(100)는 최대 70 bar의 압력 하에서 그리고 약 550 ℃의 온도로 복수의 기판들(135)을 어닐링하도록 구성된다. 동작 동안, 가열기들(112)은 파워 온되어, 배치 프로세싱 챔버(100)를 예열하고, 내부 볼륨(150)을 290 ℃ 초과의 온도로 유지하여, 배치 프로세싱 챔버(100) 내에 후속하여 도입되는 과열된 프로세싱 유체의 응축을 방지한다.
[0048]
제어기는 로딩 포트(158)를 개방하기 위해 하나 이상의 액추에이터들(190, 194a, 194b)을 구동시킨다. 로딩 포트(158)의 개방과 동시에 또는 로딩 포트(158)의 개방 후에, 샤프트(174)가 배치 프로세싱 챔버(100)의 내부 볼륨(150) 내에서 카세트(130)를 상승시키도록 액추에이터(170)에 의해 작동된다. 샤프트(174)는 각각의 기판 저장 슬롯(138)을 로딩 포트(158)와 증분적으로(incrementally) 정렬시키고, 그에 따라, 로봇 엔드 이펙터(미도시)로부터의 복수의 기판들(135)이 각각의 기판 저장 슬롯(138) 상에 개별적으로 로딩될 수 있다. 복수의 기판들(135)이 카세트(130) 내에 로딩되면, 로딩 포트(158)가 폐쇄된다.
[0049]
샤프트(174)는 배치 프로세싱 챔버(100)의 내부 볼륨(150) 내에서 카세트(130)를 하강시키도록 액추에이터(170)에 의해 작동된다. 카세트(130)가 하강 포지션에 안착(settle)됨에 따라, 플러그(172)가 내측 표면(151)과 맞물리게 되어 개구(154)를 밀봉한다. 동시에, 카세트(130)의 덮개(140)가 셸(120)의 벽(123) 위에 배치되어 프로세싱 구역(125)이 정의된다.
[0050]
셸(120)의 내부 내에 포지셔닝된 가열기들(127)이 전력 소스(105)에 의해 파워 온되어, 프로세싱 구역(125) 및 복수의 기판들(135)을 약 550 ℃의 온도로 유지한다. 과열된 상태의 프로세싱 유체가, 가스 패널(250)에 의해, 유입구 유체 회로(200)의 유입구 도관(259)을 통해 프로세싱 구역(125) 내로 도입된다. 유출구 유체 회로(300)는 유입구 도관(259)을 통한 유체의 도입 동안 동작하지 않는다. 유체가 프로세싱 구역(125) 내로 도입됨에 따라, 유체가 가해지는 압력이 증분적으로 증가된다. 일 예에서, 유체는 프로세싱 구역(125) 내에 약 70 bar의 압력이 설정될 때까지 프로세싱 구역(125) 내에 도입되는 스팀이다. 프로세싱 구역 내에 원하는 압력이 설정되면, 유체의 유동이 중단된다.
[0051]
복수의 기판들(135)은, 복수의 기판들(135)을 어닐링하기 위해, 높은 압력 하에서 그리고 높은 온도로, 프로세싱 유체, 예컨대 스팀에 노출된다. 복수의 기판들(135)의 프로세싱 동안, 프로세싱 구역(125), 유입구 도관(259), 및 유출구 도관(361)은 프로세싱 유체가 가스 상으로 유지되도록 하는 온도 및 압력으로 유지된다. 예컨대, 프로세싱 구역(125), 유입구 도관(259), 및 유출구 도관(361)의 온도들은 가해지는 압력에서의 프로세싱 유체의 응축점보다 더 높은 온도로 유지된다. 프로세싱 구역(125), 유입구 도관(259), 및 유출구 도관(361)은 가해지는 온도에서의 프로세싱 가스의 응축 압력보다 더 낮은 압력으로 유지된다. 제어기(180)는, 배치 프로세싱 챔버(100)에 대한 프로세싱 유체의 유동, 가해지는 압력, 및 가해지는 열을 제어하기 위해, 온도 센서들(114 및 124), 온도 판독 디바이스들(205 및 305), 및 압력 센서(122)로부터의 정보를 사용한다.
[0052]
어닐링 프로세스가 완료되면, 프로세싱 구역(125)으로부터의 프로세싱 유체의 제거를 가능하게 하기 위해, 유출구 유체 회로(300)가 활성화된다. 프로세싱 유체는 유출구 유체 회로(300)의 유출구 도관(361)을 통해 프로세싱 구역에서 빠져나간다. 유입구 유체 회로(200)는 프로세싱 구역(125)으로부터의 프로세싱 가스의 제거 동안 동작하지 않는다. 프로세싱 구역(125)으로부터의 프로세싱 유체의 제거 후에 가열기(127)가 파워 오프되어, 프로세싱 구역(125) 내의 응축물의 형성이 완화된다. 이어서, 샤프트(174)는 배치 프로세싱 챔버(100)의 내부 볼륨(150) 내에서 카세트(130)를 상승시키도록 액추에이터(170)에 의해 작동된다. 이어서, 로딩 포트(158)가 슬릿 밸브 도어(195)의 작동을 통해 개방되고, 기판들(135)이 배치 프로세싱 챔버(100)로부터 개별적으로 제거된다.
[0053]
일 양상에서, 배치 프로세싱 챔버(100)는, 복수의 기판들(135)을 카세트(130)로/로부터 로딩 및 언로딩할 때, 0.5 atm 미만의 압력으로 동작한다. 그러한 저압 동작 동안, 샤프트(174)가 내부 볼륨(150) 내에서 카세트(130)를 상방 및 하방으로 이동시킬 때, 진공 립 밀봉부(160)가 활성화된다. 진공 립 밀봉부(160)는, 샤프트(174) 주위를 밀봉함으로써, 내부 볼륨(150) 내로의 부가적인 가압된 유체 또는 공기의 누설을 방지한다. 누설 완화를 가능하게 하기 위하여, 진공 립 밀봉부는 유출구(169)를 통해 유체 또는 공기를 인출하기 위해 진공 펌프(미도시)에 커플링된다. 일 예에서, 밀봉부(175)가 파손된 경우, 진공 립 밀봉부(160)가 유출구(169)를 통해 임의의 가압된 프로세싱 유체의 제거를 가능하게 하고, 그에 따라, 저압 동작 동안, 배치 프로세싱 챔버(100)의 진공 무결성이 유지될 수 있다.
[0054]
본원에서 설명되는 배치 프로세싱 챔버는 다수의 이익들을 제공한다. 배치 프로세싱 챔버는 높은 압력 뿐만 아니라 진공 하에서 동작하도록 구성된다. 배치 프로세싱 챔버는, 어닐링과 같은 프로세싱 동안, 복수의 기판들을 최대 550 ℃까지 가열하기 위해, 다수의 가열기들이 내측 표면 상에 배치되어 있는 중공 원통형 셸을 포함한다. 동시에, 셸은 열 차폐부의 역할을 하여, 셸 내부의 열이 챔버 벽들로 전파되는 것을 방지함으로써, 챔버 벽들의 온도가 약 290 ℃ 내지 약 325 ℃의 범위로 유지될 수 있게 한다. 약 290 ℃ 내지 약 325 ℃의 범위로 챔버 벽들의 온도를 유지하는 것은, 밀봉부들의 온도 열화 없이, 챔버로의 포트들을 폐쇄하기 위한 밀봉부들의 사용을 가능하게 한다. 그러한 밀봉부들을 사용하지 않는 경우, 금속 밀봉부들이 요구될 것이다. 그러나, 금속 밀봉부들은 영구적인 설치를 요구하고, 그리고 제한된 재사용 능력을 갖는다. 부가적으로, 금속 밀봉부들은 원하지 않는 입자 오염을 생성하는 경향을 갖는다.
[0055]
부가적으로, 밀봉부들의 포지셔닝은 더 견고한 밀봉을 형성하기 위한 압력의 사용을 가능하게 한다. 개시되는 커플링되는 플러그 및 슬릿 밸브 도어 둘 모두가 그러한 밀봉부 포지셔닝으로부터 이익을 얻는다는 것이 고려된다.
[0056]
마지막으로, 본원에서 개시되는 유입구 유체 회로 및 유출구 유체 회로는 그 유입구 유체 회로 및 유출구 유체 회로를 통해 유동하는 프로세싱 유체의 응축점 초과로 프로세싱 유체의 온도를 제어 및 유지하는 이점을 제공한다. 유입구 유체 회로 및 유출구 유체 회로의 상이한 부분들에 커플링된 다수의 가열기들 및 온도 센서들은 제어기가 유입구 유체 회로, 유출구 유체 회로, 및 챔버로의 열 공급을 제어 및 유지하는 것을 돕는다. 본원에서 개시되는 양상들에 의해 제공되는 부가적인 온도 제어는 프로세싱 유체의 응축을 방지하고, 프로세싱 유체를 가스 상으로 유지하여, 기판의 전체 프로세싱을 개선한다.
[0057]
전술된 바가 본 개시내용의 특정 실시예들에 관한 것이지만, 이들 실시예들이 단지 본 개시내용의 원리들 및 애플리케이션들을 예시하기 위한 것일 뿐임이 이해될 것이다. 따라서, 첨부된 청구항들에 의해 정의되는 바와 같은, 본 발명들의 사상 및 범위로부터 벗어나지 않으면서, 다른 실시예들에 도달하기 위해, 예시적인 실시예들에 다수의 변형들이 이루어질 수 있음이 이해될 것이다.
Claims (15)
- 내부 볼륨(volume)을 밀폐하는 챔버 바디(body) ― 상기 챔버 바디는 상기 챔버 바디의 최하부 벽을 통해 형성된 개구를 가짐 ―;
상기 내부 볼륨 내에 이동가능하게 배치된 카세트 ― 상기 카세트는 상기 카세트에 복수의 기판들을 로딩하기 위해 제1 포지션으로 상승되고, 프로세싱을 위해 상기 제1 포지션 아래의 제2 포지션으로 하강되도록 구성됨 ―;
상기 챔버 바디에 형성된 상기 개구를 통해 배치되고, 상기 카세트에 커플링된 샤프트;
상기 카세트의 최하부 벽에 커플링된 플러그(plug) ― 상기 플러그는, 상기 카세트가 상기 제2 포지션에 있을 때, 상기 챔버 바디의 최하부 벽의 최상부 표면과 맞물리도록 구성된 하향 밀봉부를 포함하고, 상기 밀봉부는, 상기 카세트가 상기 제2 포지션에 있을 때, 상기 챔버 바디의 최하부 벽에 대해 밀봉가능하고, 상기 개구 및 상기 샤프트를 에워쌈 ―; 및
상기 챔버 바디의 측벽에 배치되고, 상기 챔버 바디를 290 ℃ 초과의 온도로 유지하도록 동작가능한 가열기
를 포함하는,
배치(batch) 프로세싱 챔버. - 제1 항에 있어서,
중공 원통형 셸(shell)을 더 포함하며,
상기 중공 원통형 셸은 상기 내부 볼륨 내에 배치되고, 상기 셸의 내측 표면 상에 배치된 하나 이상의 가열기들을 갖는,
배치 프로세싱 챔버. - 제1 항에 있어서,
상기 챔버 바디를 통해 형성된 로딩 포트를 밀봉가능하게 폐쇄하도록 구성된 슬릿 밸브 도어를 더 포함하며,
상기 슬릿 밸브 도어는 상기 챔버 바디의 내측 표면과 맞물리는 밀봉부들을 포함하는,
배치 프로세싱 챔버. - 제3 항에 있어서,
상기 카세트 상에 배치된 덮개를 더 포함하며,
상기 덮개는 상기 셸의 외경보다 더 큰 직경을 갖는,
배치 프로세싱 챔버. - 제3 항에 있어서,
상기 슬릿 밸브 도어는 상기 챔버 바디의 내측 표면과 맞물리도록 구성된 밀봉부를 더 포함하는,
배치 프로세싱 챔버. - 제1 항에 있어서,
상기 챔버 바디의 최하부 벽은 상기 플러그를 수용하기 위한 계단형 개구를 포함하는,
배치 프로세싱 챔버. - 제1 항에 있어서,
상기 카세트의 최하부 벽과 상기 플러그 사이에 배치된 서멀 브레이크(thermal break)를 더 포함하는,
배치 프로세싱 챔버. - 제1 항에 있어서,
상기 플러그 내에 배치된 냉각 채널을 더 포함하는,
배치 프로세싱 챔버. - 제1 항에 있어서,
상기 카세트의 최하부 벽은 유체가 통과할 수 있게 하도록 구성된 개구들을 포함하는,
배치 프로세싱 챔버. - 내부 볼륨을 밀폐하는 챔버 바디;
상기 내부 볼륨 내에 이동가능하게 배치된 카세트 ― 상기 카세트는 제1 포지션과 상기 제1 포지션 아래의 제2 포지션 사이에서 이동가능함 ―;
상기 내부 볼륨 내에 배치되고, 상기 카세트가 상기 제2 포지션에 있을 때, 상기 카세트를 둘러싸는 중공 원통형 셸;
상기 챔버 바디의 측벽에 배치되고, 상기 챔버 바디를 290 ℃ 초과의 온도로 유지하도록 동작가능한 가열기; 및
상기 카세트가 상기 제2 포지션에 있을 때, 상기 카세트와 상기 셸의 내측 표면 사이에 배치된 부가적인 가열기
를 포함하는,
배치 프로세싱 챔버. - 제10 항에 있어서,
상기 카세트의 최하부 벽에 커플링되고, 상기 내부 볼륨 내에서 상방 및 하방으로 이동하도록 구성된 플러그를 더 포함하며,
상기 플러그는,
상기 챔버 바디의 최하부 벽의 최상부 표면과 맞물리도록 구성된 하향 밀봉부를 포함하는,
배치 프로세싱 챔버. - 제10 항에 있어서,
상기 챔버 바디를 통해 형성된 로딩 포트를 밀봉가능하게 폐쇄하도록 구성된 슬릿 밸브 도어를 더 포함하며,
상기 슬릿 밸브 도어는 상기 챔버 바디의 내측 표면과 맞물리는 밀봉부들을 포함하는,
배치 프로세싱 챔버. - 제10 항에 있어서,
상기 플러그 내에 배치된 냉각 채널; 및
상기 카세트의 최하부 벽과 상기 플러그 사이에 배치된 서멀 브레이크
를 더 포함하며,
상기 서멀 브레이크는 캡슐화된 컵(capsulated cup)을 포함하는,
배치 프로세싱 챔버. - 내부 볼륨을 밀폐하는 챔버 바디 ― 상기 챔버 바디는 상기 챔버 바디의 최하부 벽을 통해 형성된 개구를 가짐 ―;
상기 내부 볼륨 내에 이동가능하게 배치된 카세트 ― 상기 카세트는 상기 카세트에 복수의 기판들을 로딩하기 위해 제1 포지션으로 상승되고, 프로세싱을 위해 상기 제1 포지션 아래의 제2 포지션으로 하강되도록 구성됨 ―;
상기 카세트의 최하부 벽에 커플링된 플러그 ― 상기 플러그는, 상기 카세트가 상기 제2 포지션에 있을 때, 상기 챔버 바디의 최하부 벽의 최상부 표면과 맞물리도록 구성된 하향 밀봉부를 포함하고, 상기 밀봉부는, 상기 카세트가 상기 제2 포지션에 있을 때, 상기 챔버 바디의 최하부 벽에 대해 밀봉가능하고, 상기 개구를 에워쌈 ―;
상기 챔버 바디의 측벽에 배치되고, 상기 챔버 바디를 290 ℃ 초과의 온도로 유지하도록 동작가능한 가열기; 및
상기 카세트가 상기 제2 포지션에 있을 때, 상기 카세트와 셸의 내측 표면 사이에 배치된 부가적인 가열기
를 포함하는,
배치 프로세싱 챔버. - 제14 항에 있어서,
상기 챔버 바디에 형성된 상기 개구를 통해 배치되고, 상기 카세트에 커플링된 샤프트를 더 포함하는,
배치 프로세싱 챔버.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762586935P | 2017-11-16 | 2017-11-16 | |
US62/586,935 | 2017-11-16 | ||
PCT/US2018/055401 WO2019099125A1 (en) | 2017-11-16 | 2018-10-11 | High pressure steam anneal processing apparatus |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20200075009A true KR20200075009A (ko) | 2020-06-25 |
KR102622303B1 KR102622303B1 (ko) | 2024-01-05 |
Family
ID=66433522
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020207016728A KR102622303B1 (ko) | 2017-11-16 | 2018-10-11 | 고압 스팀 어닐링 프로세싱 장치 |
Country Status (7)
Country | Link |
---|---|
US (1) | US10854483B2 (ko) |
JP (1) | JP7330181B2 (ko) |
KR (1) | KR102622303B1 (ko) |
CN (1) | CN111373519B (ko) |
SG (1) | SG11202003438QA (ko) |
TW (2) | TWI700748B (ko) |
WO (1) | WO2019099125A1 (ko) |
Families Citing this family (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
JP6947914B2 (ja) | 2017-08-18 | 2021-10-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧高温下のアニールチャンバ |
CN111095524B (zh) | 2017-09-12 | 2023-10-03 | 应用材料公司 | 用于使用保护阻挡物层制造半导体结构的设备和方法 |
JP7112490B2 (ja) | 2017-11-11 | 2022-08-03 | マイクロマテリアルズ エルエルシー | 高圧処理チャンバのためのガス供給システム |
JP2021503714A (ja) | 2017-11-17 | 2021-02-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧処理システムのためのコンデンサシステム |
EP3762962A4 (en) | 2018-03-09 | 2021-12-08 | Applied Materials, Inc. | HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
US10675581B2 (en) | 2018-08-06 | 2020-06-09 | Applied Materials, Inc. | Gas abatement apparatus |
WO2020092002A1 (en) | 2018-10-30 | 2020-05-07 | Applied Materials, Inc. | Methods for etching a structure for semiconductor applications |
KR20210077779A (ko) | 2018-11-16 | 2021-06-25 | 어플라이드 머티어리얼스, 인코포레이티드 | 강화된 확산 프로세스를 사용한 막 증착 |
WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
US11415230B2 (en) * | 2020-03-31 | 2022-08-16 | Applied Material, Inc. | Slit valve pneumatic control |
US12002668B2 (en) * | 2021-06-25 | 2024-06-04 | Applied Materials, Inc. | Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool |
JP7465855B2 (ja) * | 2021-09-27 | 2024-04-11 | 芝浦メカトロニクス株式会社 | 加熱処理装置、搬入搬出治具、および有機膜の形成方法 |
CN117542767B (zh) * | 2024-01-10 | 2024-03-26 | 合肥费舍罗热工装备有限公司 | 一种半导体立式熔接炉 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS4820850B1 (ko) * | 1970-11-21 | 1973-06-25 | ||
US5730885A (en) * | 1996-12-03 | 1998-03-24 | Union Carbide Chemicals & Plastics Technology Corporation | Screen packs for reducing gels in polypropylene copolymers |
JP2002289600A (ja) * | 2001-03-28 | 2002-10-04 | Hitachi Kokusai Electric Inc | 半導体製造装置 |
JP7235678B2 (ja) * | 2017-05-01 | 2023-03-08 | アプライド マテリアルズ インコーポレイテッド | 真空分離及び前処理環境を伴う高圧アニールチャンバ |
Family Cites Families (300)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4524587A (en) | 1967-01-10 | 1985-06-25 | Kantor Frederick W | Rotary thermodynamic apparatus and method |
JPS634616A (ja) | 1986-06-25 | 1988-01-09 | Hitachi Tokyo Electron Co Ltd | 蒸気処理装置 |
JPH0748489B2 (ja) | 1987-07-27 | 1995-05-24 | 富士通株式会社 | プラズマ処理装置 |
US4879259A (en) | 1987-09-28 | 1989-11-07 | The Board Of Trustees Of The Leland Stanford Junion University | Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure |
US5092728A (en) * | 1987-10-15 | 1992-03-03 | Epsilon Technology, Inc. | Substrate loading apparatus for a CVD process |
US5114513A (en) | 1988-10-27 | 1992-05-19 | Omron Tateisi Electronics Co. | Optical device and manufacturing method thereof |
JP2730695B2 (ja) | 1989-04-10 | 1998-03-25 | 忠弘 大見 | タングステン膜の成膜装置 |
US5126117A (en) | 1990-05-22 | 1992-06-30 | Custom Engineered Materials, Inc. | Device for preventing accidental releases of hazardous gases |
US5175123A (en) | 1990-11-13 | 1992-12-29 | Motorola, Inc. | High-pressure polysilicon encapsulated localized oxidation of silicon |
US5050540A (en) | 1991-01-29 | 1991-09-24 | Arne Lindberg | Method of gas blanketing a boiler |
JPH05129296A (ja) | 1991-11-05 | 1993-05-25 | Fujitsu Ltd | 導電膜の平坦化方法 |
JP3230836B2 (ja) * | 1992-04-09 | 2001-11-19 | 東京エレクトロン株式会社 | 熱処理装置 |
US5319212A (en) | 1992-10-07 | 1994-06-07 | Genus, Inc. | Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors |
JPH06283496A (ja) | 1993-03-26 | 1994-10-07 | Dainippon Screen Mfg Co Ltd | 洗浄処理後の基板の乾燥処理装置 |
US5607002A (en) | 1993-04-28 | 1997-03-04 | Advanced Delivery & Chemical Systems, Inc. | Chemical refill system for high purity chemicals |
US5578132A (en) | 1993-07-07 | 1996-11-26 | Tokyo Electron Kabushiki Kaisha | Apparatus for heat treating semiconductors at normal pressure and low pressure |
US5880041A (en) | 1994-05-27 | 1999-03-09 | Motorola Inc. | Method for forming a dielectric layer using high pressure |
US5808245A (en) | 1995-01-03 | 1998-09-15 | Donaldson Company, Inc. | Vertical mount catalytic converter muffler |
US5620524A (en) | 1995-02-27 | 1997-04-15 | Fan; Chiko | Apparatus for fluid delivery in chemical vapor deposition systems |
US5858051A (en) | 1995-05-08 | 1999-01-12 | Toshiba Machine Co., Ltd. | Method of manufacturing optical waveguide |
JP2872637B2 (ja) | 1995-07-10 | 1999-03-17 | アプライド マテリアルズ インコーポレイテッド | マイクロ波プラズマベースアプリケータ |
US5895274A (en) | 1996-01-22 | 1999-04-20 | Micron Technology, Inc. | High-pressure anneal process for integrated circuits |
KR980012044A (ko) | 1996-03-01 | 1998-04-30 | 히가시 데츠로 | 기판건조장치 및 기판건조방법 |
US5998305A (en) | 1996-03-29 | 1999-12-07 | Praxair Technology, Inc. | Removal of carbon from substrate surfaces |
US5738915A (en) | 1996-09-19 | 1998-04-14 | Lambda Technologies, Inc. | Curing polymer layers on semiconductor substrates using variable frequency microwave energy |
US6444037B1 (en) | 1996-11-13 | 2002-09-03 | Applied Materials, Inc. | Chamber liner for high temperature processing chamber |
US6082950A (en) | 1996-11-18 | 2000-07-04 | Applied Materials, Inc. | Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding |
US5846073A (en) * | 1997-03-07 | 1998-12-08 | Semitool, Inc. | Semiconductor furnace processing vessel base |
US6136664A (en) | 1997-08-07 | 2000-10-24 | International Business Machines Corporation | Filling of high aspect ratio trench isolation |
US6352593B1 (en) * | 1997-08-11 | 2002-03-05 | Torrex Equipment Corp. | Mini-batch process chamber |
US20030049372A1 (en) | 1997-08-11 | 2003-03-13 | Cook Robert C. | High rate deposition at low pressures in a small batch reactor |
US5963817A (en) | 1997-10-16 | 1999-10-05 | International Business Machines Corporation | Bulk and strained silicon on insulator using local selective oxidation |
JP3199006B2 (ja) | 1997-11-18 | 2001-08-13 | 日本電気株式会社 | 層間絶縁膜の形成方法および絶縁膜形成装置 |
US6442980B2 (en) | 1997-11-26 | 2002-09-03 | Chart Inc. | Carbon dioxide dry cleaning system |
US6846739B1 (en) | 1998-02-27 | 2005-01-25 | Micron Technology, Inc. | MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer |
US6164412A (en) | 1998-04-03 | 2000-12-26 | Arvin Industries, Inc. | Muffler |
US6719516B2 (en) | 1998-09-28 | 2004-04-13 | Applied Materials, Inc. | Single wafer load lock with internal wafer transport |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
TW517092B (en) * | 1999-03-17 | 2003-01-11 | Kobe Steel Ltd | High-temperature and high-pressure treatment device |
US6468490B1 (en) | 2000-06-29 | 2002-10-22 | Applied Materials, Inc. | Abatement of fluorine gas from effluent |
US6612317B2 (en) | 2000-04-18 | 2003-09-02 | S.C. Fluids, Inc | Supercritical fluid delivery and recovery system for semiconductor wafer processing |
US6334266B1 (en) | 1999-09-20 | 2002-01-01 | S.C. Fluids, Inc. | Supercritical fluid drying system and method of use |
ATE418158T1 (de) | 1999-08-17 | 2009-01-15 | Applied Materials Inc | Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung |
US6299753B1 (en) | 1999-09-01 | 2001-10-09 | Applied Materials, Inc. | Double pressure vessel chemical dispenser unit |
JP2001110729A (ja) | 1999-10-06 | 2001-04-20 | Mitsubishi Heavy Ind Ltd | 半導体素子の連続製造装置 |
US20030148631A1 (en) | 1999-11-08 | 2003-08-07 | Taiwan Semiconductor Manufacturing Company | Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile |
US6500603B1 (en) | 1999-11-11 | 2002-12-31 | Mitsui Chemicals, Inc. | Method for manufacturing polymer optical waveguide |
US6150286A (en) | 2000-01-03 | 2000-11-21 | Advanced Micro Devices, Inc. | Method of making an ultra thin silicon nitride film |
US6541367B1 (en) | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
JP2001250787A (ja) | 2000-03-06 | 2001-09-14 | Hitachi Kokusai Electric Inc | 基板処理装置および基板処理方法 |
US20040025908A1 (en) | 2000-04-18 | 2004-02-12 | Stephen Douglas | Supercritical fluid delivery system for semiconductor wafer processing |
JP3497450B2 (ja) * | 2000-07-06 | 2004-02-16 | 東京エレクトロン株式会社 | バッチ式熱処理装置及びその制御方法 |
US7166524B2 (en) | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
JP4342745B2 (ja) * | 2000-09-27 | 2009-10-14 | 株式会社日立国際電気 | 基板処理方法および半導体装置の製造方法 |
US6852167B2 (en) | 2001-03-01 | 2005-02-08 | Micron Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
JP4335469B2 (ja) | 2001-03-22 | 2009-09-30 | 株式会社荏原製作所 | 真空排気装置のガス循環量調整方法及び装置 |
US6797336B2 (en) | 2001-03-22 | 2004-09-28 | Ambp Tech Corporation | Multi-component substances and processes for preparation thereof |
TW544797B (en) | 2001-04-17 | 2003-08-01 | Kobe Steel Ltd | High-pressure processing apparatus |
JP2002319571A (ja) | 2001-04-20 | 2002-10-31 | Kawasaki Microelectronics Kk | エッチング槽の前処理方法及び半導体装置の製造方法 |
US7080651B2 (en) | 2001-05-17 | 2006-07-25 | Dainippon Screen Mfg. Co., Ltd. | High pressure processing apparatus and method |
EP1271636A1 (en) | 2001-06-22 | 2003-01-02 | Infineon Technologies AG | Thermal oxidation process control by controlling oxidation agent partial pressure |
JP2003051474A (ja) | 2001-08-03 | 2003-02-21 | Kobe Steel Ltd | 高圧処理装置 |
US6531412B2 (en) | 2001-08-10 | 2003-03-11 | International Business Machines Corporation | Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications |
US6781801B2 (en) | 2001-08-10 | 2004-08-24 | Seagate Technology Llc | Tunneling magnetoresistive sensor with spin polarized current injection |
US6619304B2 (en) | 2001-09-13 | 2003-09-16 | Micell Technologies, Inc. | Pressure chamber assembly including non-mechanical drive means |
US20030098069A1 (en) | 2001-11-26 | 2003-05-29 | Sund Wesley E. | High purity fluid delivery system |
KR100450564B1 (ko) | 2001-12-20 | 2004-09-30 | 동부전자 주식회사 | 반도체 소자의 금속 배선 후처리 방법 |
US6848458B1 (en) | 2002-02-05 | 2005-02-01 | Novellus Systems, Inc. | Apparatus and methods for processing semiconductor substrates using supercritical fluids |
US6632325B2 (en) | 2002-02-07 | 2003-10-14 | Applied Materials, Inc. | Article for use in a semiconductor processing chamber and method of fabricating same |
US7589029B2 (en) | 2002-05-02 | 2009-09-15 | Micron Technology, Inc. | Atomic layer deposition and conversion |
US7638727B2 (en) | 2002-05-08 | 2009-12-29 | Btu International Inc. | Plasma-assisted heat treatment |
US7521089B2 (en) | 2002-06-13 | 2009-04-21 | Tokyo Electron Limited | Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers |
WO2004008008A2 (en) | 2002-07-15 | 2004-01-22 | Aviza Technology, Inc. | Control of a gaseous environment in a wafer loading chamber |
US20070243317A1 (en) * | 2002-07-15 | 2007-10-18 | Du Bois Dale R | Thermal Processing System and Configurable Vertical Chamber |
US7335609B2 (en) | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
US20070212850A1 (en) | 2002-09-19 | 2007-09-13 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
JP2004127958A (ja) | 2002-09-30 | 2004-04-22 | Kyoshin Engineering:Kk | 高圧アニール水蒸気処理を行なう装置及び方法 |
US20040060519A1 (en) | 2002-10-01 | 2004-04-01 | Seh America Inc. | Quartz to quartz seal using expanded PTFE gasket material |
US6889508B2 (en) | 2002-10-02 | 2005-05-10 | The Boc Group, Inc. | High pressure CO2 purification and supply system |
US7270761B2 (en) | 2002-10-18 | 2007-09-18 | Appleid Materials, Inc | Fluorine free integrated process for etching aluminum including chamber dry clean |
US20040112409A1 (en) | 2002-12-16 | 2004-06-17 | Supercritical Sysems, Inc. | Fluoride in supercritical fluid for photoresist and residue removal |
KR101058882B1 (ko) | 2003-02-04 | 2011-08-23 | 어플라이드 머티어리얼스, 인코포레이티드 | 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링 |
CN1701417B (zh) * | 2003-02-21 | 2012-04-25 | 株式会社日立国际电气 | 基板处理装置和用于制造半导体器件的方法 |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
US6939794B2 (en) | 2003-06-17 | 2005-09-06 | Micron Technology, Inc. | Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device |
WO2005007283A2 (en) | 2003-07-08 | 2005-01-27 | Sundew Technologies, Llc | Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement |
JP4173781B2 (ja) | 2003-08-13 | 2008-10-29 | 株式会社神戸製鋼所 | 高圧処理方法 |
WO2005057663A2 (en) | 2003-12-10 | 2005-06-23 | Koninklijke Philips Electronics N.V. | Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices |
US7158221B2 (en) | 2003-12-23 | 2007-01-02 | Applied Materials, Inc. | Method and apparatus for performing limited area spectral analysis |
US20050136684A1 (en) | 2003-12-23 | 2005-06-23 | Applied Materials, Inc. | Gap-fill techniques |
US20050250347A1 (en) | 2003-12-31 | 2005-11-10 | Bailey Christopher M | Method and apparatus for maintaining by-product volatility in deposition process |
US7030468B2 (en) | 2004-01-16 | 2006-04-18 | International Business Machines Corporation | Low k and ultra low k SiCOH dielectric films and methods to form the same |
US7128570B2 (en) * | 2004-01-21 | 2006-10-31 | Asm International N.V. | Method and apparatus for purging seals in a thermal reactor |
US20050187647A1 (en) | 2004-02-19 | 2005-08-25 | Kuo-Hua Wang | Intelligent full automation controlled flow for a semiconductor furnace tool |
JP4393268B2 (ja) | 2004-05-20 | 2010-01-06 | 株式会社神戸製鋼所 | 微細構造体の乾燥方法 |
US20050269291A1 (en) | 2004-06-04 | 2005-12-08 | Tokyo Electron Limited | Method of operating a processing system for treating a substrate |
US7521378B2 (en) | 2004-07-01 | 2009-04-21 | Micron Technology, Inc. | Low temperature process for polysilazane oxidation/densification |
US7491658B2 (en) | 2004-10-13 | 2009-02-17 | International Business Machines Corporation | Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality |
US7427571B2 (en) | 2004-10-15 | 2008-09-23 | Asm International, N.V. | Reactor design for reduced particulate generation |
US20060156979A1 (en) | 2004-11-22 | 2006-07-20 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
KR100697280B1 (ko) | 2005-02-07 | 2007-03-20 | 삼성전자주식회사 | 반도체 제조 설비의 압력 조절 방법 |
JP4475136B2 (ja) * | 2005-02-18 | 2010-06-09 | 東京エレクトロン株式会社 | 処理システム、前処理装置及び記憶媒体 |
US9576824B2 (en) | 2005-02-22 | 2017-02-21 | Spts Technologies Limited | Etching chamber with subchamber |
US7211525B1 (en) | 2005-03-16 | 2007-05-01 | Novellus Systems, Inc. | Hydrogen treatment enhanced gap fill |
WO2006101315A1 (en) | 2005-03-21 | 2006-09-28 | Pkl Co., Ltd. | Device and method for cleaning photomask |
US20060226117A1 (en) | 2005-03-29 | 2006-10-12 | Bertram Ronald T | Phase change based heating element system and method |
US7351057B2 (en) * | 2005-04-27 | 2008-04-01 | Asm International N.V. | Door plate for furnace |
US20120060868A1 (en) | 2005-06-07 | 2012-03-15 | Donald Gray | Microscale fluid delivery system |
CN101198903B (zh) | 2005-06-10 | 2011-09-07 | 奥贝达克特公司 | 利用中间印模的图案复制 |
JP4747693B2 (ja) | 2005-06-28 | 2011-08-17 | 住友電気工業株式会社 | 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法 |
US7361231B2 (en) | 2005-07-01 | 2008-04-22 | Ekc Technology, Inc. | System and method for mid-pressure dense phase gas and ultrasonic cleaning |
US8148271B2 (en) | 2005-08-05 | 2012-04-03 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method |
US7534080B2 (en) | 2005-08-26 | 2009-05-19 | Ascentool, Inc. | Vacuum processing and transfer system |
US7531404B2 (en) | 2005-08-30 | 2009-05-12 | Intel Corporation | Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer |
US8926731B2 (en) | 2005-09-13 | 2015-01-06 | Rasirc | Methods and devices for producing high purity steam |
KR100696178B1 (ko) | 2005-09-13 | 2007-03-20 | 한국전자통신연구원 | 광 도파로 마스터 및 그 제조 방법 |
US20080257260A9 (en) * | 2005-09-30 | 2008-10-23 | Applied Materials, Inc. | Batch wafer handling system |
CN101268012B (zh) | 2005-10-07 | 2012-12-26 | 株式会社尼康 | 微小构造体及其制造方法 |
US7387968B2 (en) | 2005-11-08 | 2008-06-17 | Tokyo Electron Limited | Batch photoresist dry strip and ash system and process |
US8306026B2 (en) | 2005-12-15 | 2012-11-06 | Toshiba America Research, Inc. | Last hop topology sensitive multicasting key management |
US20070187386A1 (en) | 2006-02-10 | 2007-08-16 | Poongsan Microtec Corporation | Methods and apparatuses for high pressure gas annealing |
US7578258B2 (en) | 2006-03-03 | 2009-08-25 | Lam Research Corporation | Methods and apparatus for selective pre-coating of a plasma processing chamber |
JP2007242791A (ja) | 2006-03-07 | 2007-09-20 | Hitachi Kokusai Electric Inc | 基板処理装置 |
US8062408B2 (en) | 2006-05-08 | 2011-11-22 | The Board Of Trustees Of The University Of Illinois | Integrated vacuum absorption steam cycle gas separation |
US7825038B2 (en) | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US7650965B2 (en) | 2006-06-09 | 2010-01-26 | Emcon Technologies Llc | Exhaust system |
US7371998B2 (en) * | 2006-07-05 | 2008-05-13 | Semitool, Inc. | Thermal wafer processor |
JP2008073611A (ja) | 2006-09-21 | 2008-04-03 | Dainippon Screen Mfg Co Ltd | 高圧処理装置 |
JP4814038B2 (ja) | 2006-09-25 | 2011-11-09 | 株式会社日立国際電気 | 基板処理装置および反応容器の着脱方法 |
US7790587B2 (en) | 2006-11-07 | 2010-09-07 | Intel Corporation | Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby |
US20080169183A1 (en) | 2007-01-16 | 2008-07-17 | Varian Semiconductor Equipment Associates, Inc. | Plasma Source with Liner for Reducing Metal Contamination |
JP2008192642A (ja) | 2007-01-31 | 2008-08-21 | Tokyo Electron Ltd | 基板処理装置 |
US20080233404A1 (en) | 2007-03-22 | 2008-09-25 | 3M Innovative Properties Company | Microreplication tools and patterns using laser induced thermal embossing |
JP5135856B2 (ja) | 2007-03-31 | 2013-02-06 | 東京エレクトロン株式会社 | トラップ装置、排気系及びこれを用いた処理システム |
DE102007017641A1 (de) | 2007-04-13 | 2008-10-16 | Infineon Technologies Ag | Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder |
CN101681398B (zh) | 2007-05-25 | 2016-08-10 | 应用材料公司 | 组装及操作电子器件制造系统的方法和设备 |
WO2008156687A1 (en) | 2007-06-15 | 2008-12-24 | Applied Materials, Inc. | Methods and systems for designing and validating operation of abatement systems |
US20090004405A1 (en) * | 2007-06-29 | 2009-01-01 | Applied Materials, Inc. | Thermal Batch Reactor with Removable Susceptors |
KR101442238B1 (ko) | 2007-07-26 | 2014-09-23 | 주식회사 풍산마이크로텍 | 고압 산소 열처리를 통한 반도체 소자의 제조방법 |
JP4470970B2 (ja) * | 2007-07-31 | 2010-06-02 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7951728B2 (en) | 2007-09-24 | 2011-05-31 | Applied Materials, Inc. | Method of improving oxide growth rate of selective oxidation processes |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7803722B2 (en) | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
CN101835521A (zh) | 2007-10-26 | 2010-09-15 | 应用材料公司 | 利用改进燃料线路的用于智能减废的方法与设备 |
JP5299605B2 (ja) | 2007-11-19 | 2013-09-25 | 日揮触媒化成株式会社 | 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜 |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
KR20090064279A (ko) | 2007-12-14 | 2009-06-18 | 노벨러스 시스템즈, 인코포레이티드 | 손상 없는 갭 충진을 위한 보호 층 |
US7776740B2 (en) | 2008-01-22 | 2010-08-17 | Tokyo Electron Limited | Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device |
JP4815464B2 (ja) | 2008-03-31 | 2011-11-16 | 株式会社日立製作所 | 微細構造転写スタンパ及び微細構造転写装置 |
US7655532B1 (en) | 2008-07-25 | 2010-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | STI film property using SOD post-treatment |
JP2010056541A (ja) | 2008-07-31 | 2010-03-11 | Semiconductor Energy Lab Co Ltd | 半導体装置およびその作製方法 |
US20100089315A1 (en) | 2008-09-22 | 2010-04-15 | Applied Materials, Inc. | Shutter disk for physical vapor deposition chamber |
US8153533B2 (en) | 2008-09-24 | 2012-04-10 | Lam Research | Methods and systems for preventing feature collapse during microelectronic topography fabrication |
KR20100035000A (ko) | 2008-09-25 | 2010-04-02 | 삼성전자주식회사 | 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자 |
US7891228B2 (en) | 2008-11-18 | 2011-02-22 | Mks Instruments, Inc. | Dual-mode mass flow verification and mass flow delivery system and method |
US8557712B1 (en) | 2008-12-15 | 2013-10-15 | Novellus Systems, Inc. | PECVD flowable dielectric gap fill |
JP2010205854A (ja) | 2009-03-02 | 2010-09-16 | Fujitsu Semiconductor Ltd | 半導体装置の製造方法 |
US20100304027A1 (en) | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
JP4415062B1 (ja) | 2009-06-22 | 2010-02-17 | 富士フイルム株式会社 | 薄膜トランジスタ及び薄膜トランジスタの製造方法 |
KR20110000960A (ko) | 2009-06-29 | 2011-01-06 | 삼성전자주식회사 | 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법 |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
JP2011066100A (ja) | 2009-09-16 | 2011-03-31 | Bridgestone Corp | 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法 |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
KR101995704B1 (ko) | 2009-11-20 | 2019-07-03 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치의 제작 방법 |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
US8647992B2 (en) | 2010-01-06 | 2014-02-11 | Applied Materials, Inc. | Flowable dielectric using oxide liner |
JP2013516788A (ja) | 2010-01-07 | 2013-05-13 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvd用のインサイチュオゾン硬化 |
EP2526339A4 (en) | 2010-01-21 | 2015-03-11 | Powerdyne Inc | PRODUCTION OF STEAM FROM A CARBON SUBSTANCE |
US8293658B2 (en) | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
JP2013521650A (ja) | 2010-03-05 | 2013-06-10 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvdによる共形層 |
KR101163711B1 (ko) | 2010-06-15 | 2012-07-09 | 서울대학교산학협력단 | 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법 |
CN101871043B (zh) | 2010-06-25 | 2012-07-18 | 东莞市康汇聚线材科技有限公司 | 一种退火炉蒸汽发生器及其控制方法 |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
JP2012049446A (ja) | 2010-08-30 | 2012-03-08 | Toshiba Corp | 超臨界乾燥方法及び超臨界乾燥システム |
EP2426720A1 (en) | 2010-09-03 | 2012-03-07 | Applied Materials, Inc. | Staggered thin film transistor and method of forming the same |
TW201216331A (en) | 2010-10-05 | 2012-04-16 | Applied Materials Inc | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration |
JP5806827B2 (ja) * | 2011-03-18 | 2015-11-10 | 東京エレクトロン株式会社 | ゲートバルブ装置及び基板処理装置並びにその基板処理方法 |
KR20140027917A (ko) | 2011-03-25 | 2014-03-07 | 이서영 | 광도파로 및 그 제조방법 |
JP5450494B2 (ja) | 2011-03-25 | 2014-03-26 | 株式会社東芝 | 半導体基板の超臨界乾燥方法 |
US20120252210A1 (en) | 2011-03-30 | 2012-10-04 | Tokyo Electron Limited | Method for modifying metal cap layers in semiconductor devices |
WO2012133583A1 (ja) | 2011-03-30 | 2012-10-04 | 大日本印刷株式会社 | 超臨界乾燥装置及び超臨界乾燥方法 |
US9299581B2 (en) | 2011-05-12 | 2016-03-29 | Applied Materials, Inc. | Methods of dry stripping boron-carbon films |
WO2012165377A1 (ja) | 2011-05-30 | 2012-12-06 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置および記憶媒体 |
JP6085423B2 (ja) | 2011-05-30 | 2017-02-22 | 株式会社東芝 | 基板処理方法、基板処理装置および記憶媒体 |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
GB201110117D0 (en) | 2011-06-16 | 2011-07-27 | Fujifilm Mfg Europe Bv | method and device for manufacturing a barrie layer on a flexible substrate |
CN103620758B (zh) | 2011-06-28 | 2017-02-15 | 动力微系统公司 | 半导体储料器系统和方法 |
KR101568748B1 (ko) | 2011-11-01 | 2015-11-12 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체 |
JP5712902B2 (ja) | 2011-11-10 | 2015-05-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
JP2013122493A (ja) | 2011-12-09 | 2013-06-20 | Furukawa Electric Co Ltd:The | 光分岐素子および光分岐回路 |
JP2013154315A (ja) | 2012-01-31 | 2013-08-15 | Ricoh Co Ltd | 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置 |
US8993458B2 (en) | 2012-02-13 | 2015-03-31 | Applied Materials, Inc. | Methods and apparatus for selective oxidation of a substrate |
US8871656B2 (en) | 2012-03-05 | 2014-10-28 | Applied Materials, Inc. | Flowable films using alternative silicon precursors |
US20130288485A1 (en) | 2012-04-30 | 2013-10-31 | Applied Materials, Inc. | Densification for flowable films |
US20130337171A1 (en) | 2012-06-13 | 2013-12-19 | Qualcomm Mems Technologies, Inc. | N2 purged o-ring for chamber in chamber ald system |
KR101224520B1 (ko) * | 2012-06-27 | 2013-01-22 | (주)이노시티 | 프로세스 챔버 |
KR20140003776A (ko) | 2012-06-28 | 2014-01-10 | 주식회사 메카로닉스 | 고 저항 산화아연 박막의 제조방법 |
US20150309073A1 (en) | 2012-07-13 | 2015-10-29 | Northwestern University | Multifunctional graphene coated scanning tips |
JP2014019912A (ja) | 2012-07-19 | 2014-02-03 | Tokyo Electron Ltd | タングステン膜の成膜方法 |
US8846448B2 (en) | 2012-08-10 | 2014-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Warpage control in a package-on-package structure |
KR101680152B1 (ko) | 2012-08-24 | 2016-11-28 | 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 | 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법 |
KR102002782B1 (ko) | 2012-09-10 | 2019-07-23 | 삼성전자주식회사 | 팽창성 부재를 사용하는 반도체 장치의 제조 방법 |
JP2014060256A (ja) | 2012-09-18 | 2014-04-03 | Tokyo Electron Ltd | 処理システム |
US9157730B2 (en) | 2012-10-26 | 2015-10-13 | Applied Materials, Inc. | PECVD process |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
WO2014085511A2 (en) | 2012-11-27 | 2014-06-05 | The Regents Of The University Of California | Polymerized metal-organic material for printable photonic devices |
JP2014141739A (ja) | 2012-12-27 | 2014-08-07 | Tokyo Electron Ltd | 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス |
US20140216498A1 (en) | 2013-02-06 | 2014-08-07 | Kwangduk Douglas Lee | Methods of dry stripping boron-carbon films |
CN104995333B (zh) | 2013-02-19 | 2017-09-22 | 应用材料公司 | 使用可流动式cvd膜的hdd图案化 |
KR101443792B1 (ko) | 2013-02-20 | 2014-09-26 | 국제엘렉트릭코리아 주식회사 | 건식 기상 식각 장치 |
KR20140106977A (ko) | 2013-02-27 | 2014-09-04 | 삼성전자주식회사 | 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법 |
US9354508B2 (en) | 2013-03-12 | 2016-05-31 | Applied Materials, Inc. | Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US9680095B2 (en) | 2013-03-13 | 2017-06-13 | Macronix International Co., Ltd. | Resistive RAM and fabrication method |
TWI614102B (zh) | 2013-03-15 | 2018-02-11 | 應用材料股份有限公司 | 基板沉積系統、機械手臂運輸設備及用於電子裝置製造之方法 |
TWI624897B (zh) | 2013-03-15 | 2018-05-21 | 應用材料股份有限公司 | 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法 |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US10224258B2 (en) | 2013-03-22 | 2019-03-05 | Applied Materials, Inc. | Method of curing thermoplastics with microwave energy |
US9538586B2 (en) | 2013-04-26 | 2017-01-03 | Applied Materials, Inc. | Method and apparatus for microwave treatment of dielectric films |
KR101287035B1 (ko) | 2013-05-07 | 2013-07-17 | 호용종합건설주식회사 | 관 갱생 건증기 공급용 보일러 시스템 |
CN105247664B (zh) | 2013-05-31 | 2018-04-10 | 株式会社日立国际电气 | 衬底处理装置、半导体器件的制造方法及炉口盖体 |
JP6196481B2 (ja) | 2013-06-24 | 2017-09-13 | 株式会社荏原製作所 | 排ガス処理装置 |
KR101542803B1 (ko) | 2013-07-09 | 2015-08-07 | 주식회사 네오세미텍 | 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법 |
US9178103B2 (en) | 2013-08-09 | 2015-11-03 | Tsmc Solar Ltd. | Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities |
US9748105B2 (en) | 2013-08-16 | 2017-08-29 | Applied Materials, Inc. | Tungsten deposition with tungsten hexafluoride (WF6) etchback |
US9548200B2 (en) | 2013-08-21 | 2017-01-17 | Applied Materials, Inc. | Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications |
JP6226648B2 (ja) | 2013-09-04 | 2017-11-08 | 昭和電工株式会社 | SiCエピタキシャルウェハの製造方法 |
US9396986B2 (en) | 2013-10-04 | 2016-07-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanism of forming a trench structure |
JP6129712B2 (ja) | 2013-10-24 | 2017-05-17 | 信越化学工業株式会社 | 過熱水蒸気処理装置 |
US9406547B2 (en) | 2013-12-24 | 2016-08-02 | Intel Corporation | Techniques for trench isolation using flowable dielectric materials |
CN103745978B (zh) | 2014-01-03 | 2016-08-17 | 京东方科技集团股份有限公司 | 显示装置、阵列基板及其制作方法 |
US9257527B2 (en) | 2014-02-14 | 2016-02-09 | International Business Machines Corporation | Nanowire transistor structures with merged source/drain regions using auxiliary pillars |
US9818603B2 (en) | 2014-03-06 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
KR101571715B1 (ko) | 2014-04-23 | 2015-11-25 | 주식회사 풍산 | 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법 |
CN104047676A (zh) | 2014-06-14 | 2014-09-17 | 马根昌 | 改良式对冲消声器 |
CN106463358B (zh) | 2014-06-16 | 2020-04-24 | 英特尔公司 | 金属互连件的接缝愈合 |
CN104089491B (zh) | 2014-07-03 | 2015-11-04 | 肇庆宏旺金属实业有限公司 | 退火炉的余热回收利用系统 |
US9257314B1 (en) | 2014-07-31 | 2016-02-09 | Poongsan Corporation | Methods and apparatuses for deuterium recovery |
JPWO2016038664A1 (ja) | 2014-09-08 | 2017-04-27 | 三菱電機株式会社 | 半導体アニール装置 |
US9773865B2 (en) | 2014-09-22 | 2017-09-26 | International Business Machines Corporation | Self-forming spacers using oxidation |
US9362107B2 (en) | 2014-09-30 | 2016-06-07 | Applied Materials, Inc. | Flowable low-k dielectric gapfill treatment |
CN105575848B (zh) * | 2014-10-17 | 2018-08-28 | 中微半导体设备(上海)有限公司 | 真空锁系统及基片处理方法 |
US20160118391A1 (en) | 2014-10-22 | 2016-04-28 | SanDisk Technologies, Inc. | Deuterium anneal of semiconductor channels in a three-dimensional memory structure |
KR102079501B1 (ko) | 2014-10-24 | 2020-02-20 | 버슘머트리얼즈 유에스, 엘엘씨 | 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법 |
US9543141B2 (en) | 2014-12-09 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for curing flowable layer |
TW201639063A (zh) | 2015-01-22 | 2016-11-01 | 應用材料股份有限公司 | 批量加熱和冷卻腔室或負載鎖定裝置 |
EP3460827B1 (en) | 2015-02-06 | 2022-05-25 | Versum Materials US, LLC | Compositions and methods using same for carbon doped silicon containing films |
US9859039B2 (en) | 2015-02-13 | 2018-01-02 | Alexander Otto | Multifilament superconducting wire with high resistance sleeves |
US10103046B2 (en) | 2015-04-20 | 2018-10-16 | Applied Materials, Inc. | Buffer chamber wafer heating mechanism and supporting robot |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US9685303B2 (en) | 2015-05-08 | 2017-06-20 | Varian Semiconductor Equipment Associates, Inc. | Apparatus for heating and processing a substrate |
US10443934B2 (en) | 2015-05-08 | 2019-10-15 | Varian Semiconductor Equipment Associates, Inc. | Substrate handling and heating system |
TWI723993B (zh) | 2015-05-11 | 2021-04-11 | 美商應用材料股份有限公司 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
KR101681190B1 (ko) | 2015-05-15 | 2016-12-02 | 세메스 주식회사 | 기판 건조 장치 및 방법 |
WO2016191621A1 (en) | 2015-05-27 | 2016-12-01 | Applied Materials, Inc. | Methods and apparatus for a microwave batch curing process |
US10597779B2 (en) | 2015-06-05 | 2020-03-24 | Applied Materials, Inc. | Susceptor position and rational apparatus and methods of use |
US20160379854A1 (en) | 2015-06-29 | 2016-12-29 | Varian Semiconductor Equipment Associates, Inc. | Vacuum Compatible LED Substrate Heater |
US9728430B2 (en) | 2015-06-29 | 2017-08-08 | Varian Semiconductor Equipment Associates, Inc. | Electrostatic chuck with LED heating |
US9646850B2 (en) | 2015-07-06 | 2017-05-09 | Globalfoundries Inc. | High-pressure anneal |
US9484406B1 (en) | 2015-09-03 | 2016-11-01 | Applied Materials, Inc. | Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications |
US9716142B2 (en) | 2015-10-12 | 2017-07-25 | International Business Machines Corporation | Stacked nanowires |
US9754840B2 (en) | 2015-11-16 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Horizontal gate-all-around device having wrapped-around source and drain |
KR101744201B1 (ko) | 2015-12-28 | 2017-06-12 | 주식회사 유진테크 | 기판 처리 장치 |
US9633838B2 (en) | 2015-12-28 | 2017-04-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Vapor deposition of silicon-containing films using penta-substituted disilanes |
JP6856651B2 (ja) | 2016-01-05 | 2021-04-07 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法 |
US9570551B1 (en) | 2016-02-05 | 2017-02-14 | International Business Machines Corporation | Replacement III-V or germanium nanowires by unilateral confined epitaxial growth |
JP6240695B2 (ja) | 2016-03-02 | 2017-11-29 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US11326253B2 (en) | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
TWI680535B (zh) | 2016-06-14 | 2019-12-21 | 美商應用材料股份有限公司 | 金屬及含金屬化合物之氧化體積膨脹 |
US9933314B2 (en) | 2016-06-30 | 2018-04-03 | Varian Semiconductor Equipment Associates, Inc. | Semiconductor workpiece temperature measurement system |
US9876019B1 (en) | 2016-07-13 | 2018-01-23 | Globalfoundries Singapore Pte. Ltd. | Integrated circuits with programmable memory and methods for producing the same |
EP3520136A4 (en) | 2016-09-30 | 2020-05-06 | Applied Materials, Inc. | METHODS OF FORMING SELF-ALIGNED INTERCONNECT HOLES |
US10249525B2 (en) | 2016-10-03 | 2019-04-02 | Applied Materials, Inc. | Dynamic leveling process heater lift |
US10224224B2 (en) | 2017-03-10 | 2019-03-05 | Micromaterials, LLC | High pressure wafer processing systems and related methods |
US10460933B2 (en) | 2017-03-31 | 2019-10-29 | Applied Materials, Inc. | Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film |
WO2018194807A1 (en) | 2017-04-21 | 2018-10-25 | Applied Materials, Inc. | Improved electrode assembly |
CN110603634A (zh) | 2017-05-03 | 2019-12-20 | 应用材料公司 | 在高温陶瓷加热器上的集成衬底温度测量 |
US10861681B2 (en) | 2017-05-19 | 2020-12-08 | Applied Materials, Inc. | Apparatus for collection and subsequent reaction of liquid and solid effluent into gaseous effluent |
US10847360B2 (en) | 2017-05-25 | 2020-11-24 | Applied Materials, Inc. | High pressure treatment of silicon nitride film |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
KR20190137967A (ko) | 2017-06-02 | 2019-12-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 상에 증착된 막들의 품질 개선 |
US10179941B1 (en) | 2017-07-14 | 2019-01-15 | Applied Materials, Inc. | Gas delivery system for high pressure processing chamber |
US10096516B1 (en) | 2017-08-18 | 2018-10-09 | Applied Materials, Inc. | Method of forming a barrier layer for through via applications |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
JP6947914B2 (ja) | 2017-08-18 | 2021-10-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧高温下のアニールチャンバ |
US10643867B2 (en) | 2017-11-03 | 2020-05-05 | Applied Materials, Inc. | Annealing system and method |
JP7112490B2 (ja) | 2017-11-11 | 2022-08-03 | マイクロマテリアルズ エルエルシー | 高圧処理チャンバのためのガス供給システム |
JP2021503714A (ja) | 2017-11-17 | 2021-02-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧処理システムのためのコンデンサシステム |
KR102649241B1 (ko) | 2018-01-24 | 2024-03-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 어닐링을 사용한 심 힐링 |
CN111656510A (zh) | 2018-02-22 | 2020-09-11 | 应用材料公司 | 处理掩模基板以实现更佳的膜质量的方法 |
US11114333B2 (en) | 2018-02-22 | 2021-09-07 | Micromaterials, LLC | Method for depositing and reflow of a high quality etch resistant gapfill dielectric film |
EP3762962A4 (en) | 2018-03-09 | 2021-12-08 | Applied Materials, Inc. | HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
WO2019204124A1 (en) | 2018-04-20 | 2019-10-24 | Applied Materials, Inc. | Ceramic wafer heater with integrated pressurized helium cooling |
US11499666B2 (en) | 2018-05-25 | 2022-11-15 | Applied Materials, Inc. | Precision dynamic leveling mechanism with long motion capability |
US11434569B2 (en) | 2018-05-25 | 2022-09-06 | Applied Materials, Inc. | Ground path systems for providing a shorter and symmetrical ground path |
US10704141B2 (en) | 2018-06-01 | 2020-07-07 | Applied Materials, Inc. | In-situ CVD and ALD coating of chamber to control metal contamination |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
US20200035513A1 (en) | 2018-07-25 | 2020-01-30 | Applied Materials, Inc. | Processing apparatus |
-
2018
- 2018-10-11 KR KR1020207016728A patent/KR102622303B1/ko active IP Right Grant
- 2018-10-11 SG SG11202003438QA patent/SG11202003438QA/en unknown
- 2018-10-11 JP JP2020526392A patent/JP7330181B2/ja active Active
- 2018-10-11 US US16/157,808 patent/US10854483B2/en active Active
- 2018-10-11 CN CN201880072145.9A patent/CN111373519B/zh active Active
- 2018-10-11 WO PCT/US2018/055401 patent/WO2019099125A1/en active Application Filing
- 2018-10-15 TW TW108138212A patent/TWI700748B/zh active
- 2018-10-15 TW TW107136151A patent/TWI678737B/zh not_active IP Right Cessation
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS4820850B1 (ko) * | 1970-11-21 | 1973-06-25 | ||
US5730885A (en) * | 1996-12-03 | 1998-03-24 | Union Carbide Chemicals & Plastics Technology Corporation | Screen packs for reducing gels in polypropylene copolymers |
JP2002289600A (ja) * | 2001-03-28 | 2002-10-04 | Hitachi Kokusai Electric Inc | 半導体製造装置 |
JP7235678B2 (ja) * | 2017-05-01 | 2023-03-08 | アプライド マテリアルズ インコーポレイテッド | 真空分離及び前処理環境を伴う高圧アニールチャンバ |
Also Published As
Publication number | Publication date |
---|---|
CN111373519B (zh) | 2021-11-23 |
WO2019099125A1 (en) | 2019-05-23 |
TW201923903A (zh) | 2019-06-16 |
TW202006826A (zh) | 2020-02-01 |
JP7330181B2 (ja) | 2023-08-21 |
TWI700748B (zh) | 2020-08-01 |
TWI678737B (zh) | 2019-12-01 |
SG11202003438QA (en) | 2020-05-28 |
US10854483B2 (en) | 2020-12-01 |
JP2021503716A (ja) | 2021-02-12 |
CN111373519A (zh) | 2020-07-03 |
US20190148186A1 (en) | 2019-05-16 |
KR102622303B1 (ko) | 2024-01-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102622303B1 (ko) | 고압 스팀 어닐링 프로세싱 장치 | |
US11469113B2 (en) | High pressure and high temperature anneal chamber | |
US11694912B2 (en) | High pressure and high temperature anneal chamber | |
US7575431B2 (en) | Vertical heat processing apparatus and method for using the same | |
TW379359B (en) | Dual vertical thermal processing furnace | |
KR101005424B1 (ko) | 열 처리 방법 및 열 처리 장치 | |
KR20170090967A (ko) | 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 | |
US20090011606A1 (en) | Substrate Processing Apparatus and Semiconductor Device Producing Method | |
WO2017134853A1 (ja) | 基板処理装置および半導体装置の製造方法 | |
KR20230028471A (ko) | 성막 방법 및 성막 장치 | |
US11866822B2 (en) | Vaporizer, substrate processing apparatus, and method of manufacturing semiconductor device | |
JP2014216489A (ja) | 排気ガス冷却装置、基板処理装置、基板処理方法、半導体装置の製造方法および基板の製造方法 | |
JP7184857B2 (ja) | 気化装置、基板処理装置、クリーニング方法、半導体装置の製造方法、プログラム、および基板処理方法 | |
JP2010245422A (ja) | 半導体製造装置 | |
JP2010040919A (ja) | 基板処理装置 | |
CN115410949A (zh) | 基板处理装置、半导体装置的制造方法以及存储介质 | |
JP2013201288A (ja) | 基板処理装置、基板処理方法および半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |