TWI678737B - 高壓蒸氣退火處理設備 - Google Patents

高壓蒸氣退火處理設備 Download PDF

Info

Publication number
TWI678737B
TWI678737B TW107136151A TW107136151A TWI678737B TW I678737 B TWI678737 B TW I678737B TW 107136151 A TW107136151 A TW 107136151A TW 107136151 A TW107136151 A TW 107136151A TW I678737 B TWI678737 B TW I678737B
Authority
TW
Taiwan
Prior art keywords
cassette
chamber body
batch processing
bottom wall
processing chamber
Prior art date
Application number
TW107136151A
Other languages
English (en)
Other versions
TW201923903A (zh
Inventor
傑森M 雪勒
Jason M. Schaller
羅伯特伯內特 渥帕特
Robert Brent Vopat
查爾斯T 卡爾森
Charles T. Carlson
傑佛瑞查爾斯 柏拉尼克
Jeffrey Charles BLAHNIK
提蒙西J 富蘭克林
Timothy J. Franklin
大衛 柏拉尼克
David Blahnik
亞倫 威柏
Aaron Webb
Original Assignee
美商應用材料股份有限公司
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司, Applied Materials, Inc. filed Critical 美商應用材料股份有限公司
Publication of TW201923903A publication Critical patent/TW201923903A/zh
Application granted granted Critical
Publication of TWI678737B publication Critical patent/TWI678737B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Abstract

此處提供用於退火半導體基板的設備,例如批次處理腔室。批次處理腔室包含腔室主體以封閉內部容積,可移動地設置於內部容積內的匣,及耦合至該匣的底部壁的栓塞。腔室主體具有穿過腔室主體的底部壁的孔洞,且與一個或更多個加熱器交界,操作該等加熱器以維持腔室主體處於大於攝氏290度的溫度。該匣經配置以升高以裝載該匣上的複數個基板及降低以密封內部容積。該栓塞經配置以在內部容積內上下移動。該栓塞包含面向下密封,經配置以與腔室主體的底部壁的頂部表面接合且關閉穿過腔室主體的底部壁的孔洞。

Description

高壓蒸氣退火處理設備
本揭示案的實施例一般相關於積體電路的製造,且特定地相關於用於退火一個或更多個半導體基板的設備。
半導體裝置(例如,記憶體裝置、邏輯裝置、微處理器等)的形成涉及在半導體基板上沉積一個或更多個薄膜。使用該等薄膜以產生需要的電路以製造半導體裝置。退火為一種熱處置處理,使用以在所沉積薄膜上達成多種效應以改良其電屬性。例如,可使用退火以激活摻雜劑、緻密所沉積薄膜、或改變生成薄膜的狀態。
自幾十年前導入以來,半導體裝置幾何形狀在大小上急遽減少。增加的裝置密度導致結構特徵減少空間尺寸。例如,在高的深寬比(深度對寬度的比例)的空隙及溝槽中,現代半導體裝置的結構特徵窄至一點,而使用材料填充空隙變得極端具挑戰性,特別是不能可靠控制填充空隙的材料屬性時。適於改良成塊沉積材料屬性的傳統退火處理無法展現能力以改良沉積於高的深寬比特徵中的材料的屬性。
因此,具有針對用於退火半導體基板的改良方法及設備的需求。
本揭示案的實施例一般相關於用於退火一個或更多個半導體基板的設備。在一個實施例中,揭露一種批次處理腔室。該批次處理腔室包含:一腔室主體,該腔室主體封閉一內部容積;一匣,在該內部容積內可移動地設置該匣;及一栓塞,該栓塞耦合至該匣的一底部壁。該腔室主體具有穿過該腔室主體的一底部壁的一開口。穿過在該腔室主體中形成的該開口設置一軸件且該軸件耦合至該匣。該腔室主體與一個或更多個加熱器交界,可操作該等加熱器以維持腔室主體處於大於攝氏290度的一溫度。該匣經配置以升高至一第一位置以裝載該匣上的複數個基板,及降低進入該第一位置下方的一第二位置以用於處理。該栓塞包含一面向下密封,經配置以在該匣位於該第二位置中時與該腔室主體的該底部壁的一頂部表面接合。該密封環繞該開口及該軸件,且密封抵靠該腔室主體的該底部壁。
在另一實施例中,批次處理腔室包含:一腔室主體,該腔室主體封閉一內部容積;一匣,在該內部容積內可移動地設置該匣;及中空圓柱殼,在該內部容積內設置該殼。該腔室主體與一個或更多個加熱器交界,可操作該等加熱器以維持腔室主體處於大於攝氏290度的一溫度。該匣在一第一位置及該第一位置下方的一第二位置之間可移動。在第一位置中,該匣設置於該殼上方。在第二位置中,該匣被該殼環繞。在該殼的內表面及該匣之間設置一個或更多個加熱器。
而在另一實施例中,批次處理腔室包含:一腔室主體,該腔室主體封閉一內部容積;一匣,在該內部容積內可移動地設置該匣;一栓塞,該栓塞耦合至該匣的一底部壁;一中空圓柱殼,在該內部容積內設置該殼;設置於該匣上的一蓋及一門,經配置以可密封地關閉穿過該腔室主體的側壁形成的一裝載埠。該腔室主體包含一個或更多個加熱器,可操作該等加熱器以維持腔室主體處於大於攝氏290度的一溫度。該匣具有穿過該匣的底部壁形成的一個或更多個氣體入口開口。該匣在一第一位置及該第一位置下方的一第二位置之間可移動。在第一位置中,該匣設置於該殼上方。在第二位置中,該匣被該殼側向地環繞。該栓塞耦合至一軸件且包含面向下密封以環繞開口且經配置以在該匣位於該第二位置中時與該腔室主體的該底部壁的一頂部表面接合。該栓塞也包含設置於該栓塞中的冷卻通道。該殼具有設置於該殼的內表面上的一個或更多個加熱器。該蓋具有大於該殼的外直徑的一直徑。
本揭示案的實施例一般相關於用於退火一個或更多個基板(例如,半導體基板)的方法及設備。在一個範例中,設備為批次處理腔室,操作以處理位於匣上的複數個半導體基板,該匣設置於批次處理腔室內。在該匣位於降低(例如,處理)位置中時,批次處理腔室在高至70 bar的壓力下及高至攝氏550度的溫度下退火基板。在此處所述的一個範例中,該匣的底部壁包含面向下密封以在該匣位於降低位置中時關閉批次處理腔室。因此,在高於大氣壓力的處理壓力下,跨該匣及底部介面的壓力差異迫使密封被壓縮,而優勢地產生相較於典型使用於軸件密封應用的動態密封更強健的密封。相似地,批次處理腔室的狹縫閥門自處理腔室的內部容積密封,且相似地,狹縫閥門的密封被迫在處理腔室的內部容積處於升高壓力時壓縮。在其他實施例中,批次處理腔室併入中空圓柱殼。該殼作用以保持一個或更多個加熱器所產生的熱,該等加熱器設置於該殼的邊界內且位於該殼及該匣之間。該殼也實質減低傳送至處理腔室側壁的熱量。
第1圖為批次處理腔室100的示意截面視圖,具有設置於第一位置(例如,升高位置或裝載位置)的匣130以裝載複數個基板135進入匣130。批次處理腔室100具有封閉內部容積150的腔室主體110及設置於腔室主體110上的蓋111。腔室主體110具有環形,雖然在其他實施例中,主體110可為矩形或任何封閉形狀。
可在一個或更多個區段中製成腔室主體110。在第1圖中所展示的實施例中,腔室主體110包含蓋111,包含底部壁115、側壁117。底部壁115具有內表面151及外表面153。側壁117具有內表面155及外表面157。蓋111及腔室主體110藉由靜止密封118維持在一起。設置冷卻通道119相鄰於靜止密封118。在其他實施例中(未展示),腔室主體110可包含置於底部115及蓋111之間的上卷及下卷。腔室主體110可由展現高抗腐蝕的鎳基鋼合金製成,例如但不限於Hastelloy® 、Iconel® 、及Monel® 合金之其中一者或更多者。
一個或更多個筒加熱器112設置於腔室主體110內,例如,底部115或側壁117的凹陷內。加熱器112經配置以主動地加熱腔室主體110且維持腔室主體110處於大於攝氏290度的溫度。每一加熱器112可為電阻線圈、燈具、陶瓷加熱器、石墨基碳纖維合成物(CFC)加熱器、不鏽鋼加熱器、或鋁加熱器。加熱器112由功率來源105供電。溫度感應器114耦合至腔室主體110且經配置以監視腔室主體110的溫度。藉由控制器180經由來自溫度感應器114所接收的回饋來控制至加熱器112的功率。可沿著腔室主體110的側壁117的內表面155在內部容積150中設置由具有低的熱傳送係數(至少相對於屏蔽)的材料製成的可選的絕緣襯墊116,例如但不限於石英。在該範例中,襯墊116設置於殼120及側壁117之間,優勢地減低殼120及側壁117之間傳送的熱量,因而幫助調節側壁117的溫度。
匣130可移動地設置於內部容積150內。匣130可由石英、陶瓷、或其他熱非傳導性材料製成。匣130安置匣130中的複數個基板135以便於將複數個基板135傳送進入及離開批次處理腔室100。此外,匣130便於基板135在批次處理腔室100內的第一位置及批次處理腔室100內的第二位置之間的傳送,使得基板135在升高壓力及升高溫度下退火。
匣130包含頂部壁132、底部壁134、及側壁136。匣130的側壁136具有在側壁136的向內表面上形成的複數個基板儲存槽138。每一基板儲存槽138沿著匣130的側壁136的內表面均勻地垂直相間。每一基板儲存槽138經配置以維持基板儲存槽138上的複數個基板135之其中一者。在一個範例中,匣130可具有多至25個基板儲存槽138以維持基板135。在其他範例中,思量多於或少於25個基板儲存槽138。底部壁134具有穿過底部壁134形成的一個或更多個孔隙133,以便於流體流進匣130。
第1C圖為匣130的示意底部視圖。在一個實施例中,如第1C圖中所展示,匣130的底部壁134包含自中央部分330徑向延伸至環形周邊部分131的一個或更多個輪輻104,界定了相鄰輪輻104之間的孔隙133。
回到第1A圖及第1B圖,栓塞172耦合至匣130的底部壁134。栓塞172包含一個或更多個密封175。密封可設置於栓塞172的下表面上。在開口154上方垂直放置栓塞172,穿過腔室主體110的底部壁115形成開口154。栓塞172在批次處理腔室100的操作期間與匣130垂直上下致動。在一些態樣中,如第1及2圖中所展示,開口154包含在內表面151中形成的階梯形上剖面且經配置以容納開口154中的栓塞172。在操作期間,栓塞172被致動垂直向下且接合開口154的階梯形剖面的底部表面與密封175,因而形成栓塞172及底部壁115之間的密封以防止流體流經批次處理腔室100的開口154。
在栓塞接合內表面151時,批次處理腔室100內的升高壓力(大於批次處理腔室100外部的壓力)迫使密封175與內表面151接合。藉由迫使密封175接合,產生更強且更流體緊密的密封。在一個範例中,密封175由高溫聚合物製成,例如但不限於全氟彈性體。在一些實施例中,密封175為O環。在一些實施例中,可排除栓塞172。在該範例中,匣130的底部壁134密封抵靠底部115。思量在該範例中,密封175可置於匣134的底部壁134的下表面上,或可選地,可排除密封175。
栓塞172也包含設置於栓塞172中的冷卻通道176相鄰於密封175。冷卻通道176流體地連接至冷卻流體來源177。冷卻流體(例如但不限於惰性、介電、及高效熱傳送流體)可在冷卻通道176內循環。冷卻通道176經配置以冷卻栓塞172及密封175以維持密封175在操作期間低於最大完整性溫度(例如,約攝氏325度),因而延長密封175的使用壽命。栓塞172耦合至軸件174,軸件174在內部容積150內上下移動栓塞172及匣130。栓塞172設置於軸件174的上端處,且可為軸件174的分開部件或可與軸件174整體形成。軸件174的下端耦合至致動器170以便於軸件174的動作。藉由控制器180來控制軸件174及致動器170。
批次處理腔室100也包含真空唇部密封160。放置真空唇部密封160相鄰於底部壁115的外表面153,介於外表面153及致動器170之間。真空唇部密封160包含密封165以便於繞著軸件174密封真空唇部密封160。密封165可由高溫聚合物製成,例如但不限於全氟彈性體。真空唇部密封160作用如同對密封175的備用或多餘的密封。在密封175失效的情況中,經由出口169引導自內部容積150逃逸的加壓的流體或空氣,出口169流體地連接至幫浦(未展示)。在低壓操作期間,因為軸件174在批次處理腔室100的內部容積150內上下移動匣130,真空唇部密封160防止加壓的流體或空氣自內部容積150逸散或大氣空氣進入內部容積150。
在一些實施例中,如第1A及1B圖中所展示,批次處理腔室100也包含熱中斷178。放置熱中斷相鄰於栓塞172的上表面及匣130的底部壁134的下表面。熱中斷178可附著至底部壁134,或至栓塞172的上表面。熱中斷178由陶瓷製成,然而,也可思量其他材料。熱中斷178經配置以藉由減緩匣130及軸件174之間的熱傳送來限制熱自匣130至腔室外部的流動。
第1D圖為置於批次處理腔室內的圓柱殼的部分示意截面視圖。圓柱殼120置於匣130(在位於降低位置時)及可選的絕緣襯墊116之間。
殼120包含具有加熱器127的壁123,加熱器127耦合至殼120的內表面106。殼120經配置以加熱位於壁123內的處理區域125及屏蔽壁123外部的外區域129免於處理區域125中的熱。除非另外說明,殼120便於處理區域125及外區域129之間的熱分隔。壁123可由高反射性片金屬或鎳基鋼合金(例如,Hastelloy® 合金)製成。可使用絕緣層(未展示)可選地塗佈壁123的外表面107以進一步便於處理區域125及外區域129之間的熱分隔。
壁123的內表面106具有耦合至內表面106的複數個鉤126,例如陶瓷鉤。複數個鉤126支撐複數個鉤126上的一個或更多個加熱器127。加熱器127排列成螺旋形或軸向對齊配置,且經配置以在退火操作期間加熱處理區域125及置於處理區域125中的複數個基板135。在一個範例中,一個或更多個加熱器127經配置以加熱處理區域125高至攝氏550度的溫度。加熱器可例如為電阻性加熱器,由鎳鉻合金形成。殼120內的加熱器127電性地連接至功率來源105。在一個範例中,內表面106為熱反射性且經配置以將由加熱器127產生的熱反射回到處理區域125。在一些態樣中,內表面106可包含內表面106上的反射性塗佈以進一步增強熱反射。
在處理區域125中於底部115的內表面151上放置壓力感應器122。壓力感應器122經配置以量測退火處理期間處理區域125的壓力。在處理區域125中於底部115的內表面151上放置溫度感應器124相鄰於壓力感應器122,且溫度感應器124經配置以量測退火處理期間處理區域125的溫度。
匣130的蓋140包含蓋140的下表面上的環形凹陷142。環形凹陷142經配置以設置於殼120的壁123上,且形成壁123及蓋140之間的空隙128。在一個範例中,蓋140具有大於殼120的外直徑的直徑以容納環形凹陷142。在處理流體被導入處理區域125時,空隙128允許任何殘餘空氣被處理流體置換進入內部容積150(第1B圖中所展示)。
第1D圖也圖示熱中斷178的範例。在圖示的範例中,熱中斷178被塑形成封裝杯。封裝杯最小化與匣130的底部壁134的接觸。如第1D圖中所展示,熱中斷178具有環繞中空中央部分109的薄的圓柱壁108。中空中央部分109及薄的圓柱壁減低匣130及栓塞172之間的熱傳送。在另一範例中,思量熱中斷178可省略中空中央部分109。在該範例中,熱中斷178可由熱絕緣材料形成。
回到第1A及1B圖,且參考第1E及1F圖,穿過腔室主體110的側壁117形成裝載埠158。第1E圖為處於開啟配置的狹縫閥門195的示意截面視圖。第1F圖為處於關閉配置的狹縫閥門195的示意截面視圖。在處理期間,複數個基板135可經由裝載埠158被裝載進入或卸載自批次處理腔室100。使用狹縫閥門195以經由裝載埠158藉由選擇性地開啟及關閉裝載埠158來提供對內部容積150的存取。狹縫閥門195經配置以接合環繞裝載埠158的側壁117的內表面155。密封199藉由密封覆於裝載埠158而便於狹縫閥門195的關閉。內部容積150內的升高壓力迫使狹縫閥門195及密封199與內表面進一步接合。密封199可由高溫聚合物製成,例如但不限於全氟彈性體。在一些實施例中,密封199可為O環。
狹縫閥門195包含置於延長槽105b中的旋轉插銷105a。插銷105a耦合狹縫閥門195至柵198a。柵198a耦合至水平致動器194a及垂直致動器190。水平致動器194a經配置以在內部容積150內線性地移動狹縫閥門195的一個端朝向及遠離裝載埠158。在致動水平致動器194a之後,狹縫閥門195繞著插銷105c樞轉,同時插銷105a在延長槽105b內移動。為了提供針對狹縫閥門195的旋轉的淨空,第二水平致動器194b可便於狹縫閥門195經由柵198b遠離內表面155的移動。狹縫閥門195可樞轉成非垂直定向,例如相對於內表面155約45度,以提供基板的進入及離開。為了提供額外淨空,水平致動器194a、194b可耦合至支架196,支架196藉由鏈結192耦合至垂直致動器190,以便於支架196的致動。支架196的垂直致動藉由在裝載埠158內垂直移動柵198a、198b來提供裝載埠158內的額外淨空。狹縫閥門195及個別致動器的動作由控制器180來控制。
為了便於批次處理腔室100內的空氣流動,穿過腔室主體110的底部壁115形成入口埠152且流體地連接入口管道259(第2圖中所展示)至內部容積150。也穿過底部壁115形成出口埠156且連接內部容積150至出口管道361(第3圖中所展示)。入口埠152流體地耦合至氣體供應來源以提供一個或更多個氣體(例如,試劑、先質、沖洗氣體、或載具氣體)。
第2圖示意地圖示溫度控制入口流體電路200。溫度控制入口流體電路200流體地經由入口管道259可連接至入口埠152。入口流體電路200包含氣體面板250、來源管道257、及耦合至入口管道259的入口絕緣閥255。一個或更多個加熱器252、254、及258與入口流體電路200的不同部分交界以便於遍及入口流體電路200的溫度控制。一個或更多個溫度感應器251、253、及256與入口流體電路200的不同部分交界以獲得溫度量測且提供溫度量測資訊至控制器180,因而便於回饋控制。
如第2圖中所展示,來源管道257與加熱器252交界且熱連通。入口絕緣閥255與加熱器254交界且熱連通。入口管道259與加熱器258交界且熱連通。溫度感應器251與來源管道257交界且經配置以量測來源管道257的溫度。溫度感應器253與入口絕緣閥255交界且經配置以量測入口絕緣閥255的溫度。溫度感應器256與入口管道259交界且經配置以量測入口管道259的溫度。溫度讀取裝置205接收及顯示來自溫度感應器251、253、及256的溫度量測。溫度讀取裝置205發送溫度資訊至控制器180以便於入口流體電路200的溫度控制。
第3圖示意地圖示溫度控制出口流體電路300。出口流體電路300流體地經由出口管道361可連接至出口埠156。出口流體電路300包含出口絕緣閥365、排氣管道263、凝結器360、及幫浦378。一個或更多個加熱器362、364、及366與出口流體電路300的不同部分交界以便於出口流體電路300的熱控制。一個或更多個溫度感應器367、368、及369與出口流體電路300的不同部分交界以獲得溫度量測且提供溫度量測資訊至控制器180。如第3圖中所展示,出口管道361與加熱器362交界且熱連通。出口絕緣閥265與加熱器364交界且熱連通。排氣管道363與加熱器366交界且熱連通。溫度感應器367與出口管道361交界且經配置以量測出口管道361的溫度。溫度感應器368與出口絕緣閥365交界且經配置以量測出口絕緣閥365的溫度。溫度感應器369與排氣管道363交界且經配置以量測排氣管道363的溫度。溫度讀取裝置305接收及顯示來自溫度感應器367、368、及369的溫度量測。溫度讀取裝置305發送溫度資訊至控制器180以便於出口流體電路300的溫度控制。
凝結器360流體地耦合至內部容積150且經配置以凝結經由出口管道361離開內部容積150的處理流體。可選地,離開凝結器360的凝結處理流體可經由絕緣閥375繞經熱交換器370。熱交換器370經配置以進一步冷卻凝結處理流體,使得處理流體可更容易管理。凝結器360藉由凝結器管道372流體地連接至絕緣閥375。熱交換器370藉由熱交換器管道374耦合至絕緣閥375。幫浦378藉由幫浦管道376流體地連接至熱交換器370,以便於自熱交換器370抽吸液化的處理流體至容器(未展示)以供回收、重新使用、或丟棄。
參考第2及3圖,加熱器252、254、258、362、364、及366經配置以維持流經流體電路200及300的處理流體處於預先決定的溫度。在一個範例中,預先決定的溫度大於處理流體的凝結點。可選地,可使用熱絕緣層來覆蓋加熱器252、254、258、362、364、及366以防止熱損失進入外部環境,因而改良流體入口電路200及流體出口電路300的溫度控制效率。加熱器252、254、258、362、364、及366可為燈具、電阻性加熱元件、用於流動熱傳送流體的流體管道、或其他合適的加熱裝置。在實施例中,如第2及3圖中所展示,加熱器252、254、258、362、364、及366為繞著流體電路200及300的元件纏繞的電阻性加熱器。加熱器252、254、258、362、364、及366個別耦合至功率來源105。在一些實施例中,加熱器252、254、258、362、364、及366之每一者可獨立地控制。溫度感應器251、253、256、367、368、及369之每一者可為非接觸感應器(例如紅外光感應器)或接觸感應器(例如熱電耦)。
入口絕緣閥255及出口絕緣閥365為截流閥。在入口絕緣閥255開啟時,出口絕緣閥365關閉,使得流經來源管道257的處理流體進入入口管道259及內部容積150內的處理區域125。另一方面,在出口絕緣閥365開啟時,入口絕緣閥255關閉,使得氣體產物自處理區域125移除且流經出口管道361及排氣管道363進入凝結器360。
思量根據針對批次處理腔室100中的基板所需退火的處理需求來選擇處理流體。處理流體可包括含氧及/或含氮氣體,例如氧、蒸氣、水、過氧化氫、及/或氨。替代地或除了含氧及/或含氮氣體之外,處理流體可包含含矽氣體,例如但不限於一個或更多個有機矽化合物、一個或更多個原矽酸四烷基酯(tetraalkyl orthosilicate)、一個或更多個二矽氧烷、或上述之任何組合。在一些實施例中,處理流體可為高至70 bar的壓力下及約攝氏550度的溫度下的蒸氣。
回到第1A及1B圖,批次處理腔室也包含控制器180。控制器180控制致動器170、194a、194b、及190,以及批次處理腔室100的功率來源105的操作。控制器180也連接至冷卻流體來源177、入口流體電路200(第2圖)、及出口流體電路300(第3圖)。控制器180通訊地連接至壓力感應器122及溫度感應器114及124。控制器180包含中央處理單元(CPU)182、記憶體184、及支援電路186、CPU 182可為可使用於工業設定中的任何形式的一般目的電腦處理器。記憶體184可為隨機存取記憶體、唯讀記憶體、軟盤、或硬碟驅動、或其他形式的數位儲存。支援電路186傳統上耦合至CPU 182且可包含快取、時脈電路、輸入/輸出系統、功率供應、及/或諸如此類。
批次處理腔室100經配置以在高至70 bar的壓力下及約攝氏550度的溫度下退火複數個基板135。在操作期間,開啟加熱器112以預先加熱批次處理腔室100且維持內部容積150處於大於攝氏290度的溫度,以避免後續導入批次處理腔室100的過熱處理流體的凝結。
控制器驅動一個或更多個致動器190、194a、194b以開啟裝載埠158。在開啟裝載埠158的同時或之後,軸件174被致動器170致動以在批次處理腔室100的內部容積150內升高匣130。軸件174遞增地對齊每一基板儲存槽138與裝載埠158,使得可在個別基板儲存槽138上各自裝載來自機械人末端效應器(未展示)的複數個基板135。一旦複數個基板135被裝載進入匣130,關閉裝載埠158。
軸件174被致動器170致動以在批次處理腔室100的內部容積150內降低匣130。在匣130安頓進入降低位置時,栓塞172接合內表面151以密封開口154。同時,匣130的蓋140設置於殼120的壁123上,因而界定處理區域125。
置於殼120內部內的加熱器127被功率來源105開啟以維持處理區域125及複數個基板135處於約攝氏550度的溫度。藉由氣體面板250導入處於過熱狀態的處理流體經由入口流體電路200的入口管道259進入處理區域125。出口流體電路300在導入流體經過入口管道259期間為非操作性。在流體被導入處理區域125時,流體所應用處的壓力遞增地增加。在一個範例中,流體為導入處理區域125直到在處理區域125內建立約70 bar的壓力的蒸氣。一旦在處理區域內建立所需壓力,暫停流體的流動。
複數個基板135在高壓及高溫下曝露於處理流體(例如,蒸氣)以退火複數個基板135。在複數個基板135的處理期間,處理區域125、入口管道259及出口管道361維持於一溫度及壓力,使得處理流體保持於氣體相位。例如,處理區域125、入口管道259及出口管道361的溫度維持於大於處理流體在應用的壓力下的凝結點的溫度。處理區域125、入口管道259及出口管道361的壓力維持於小於處理氣體在應用的溫度下的凝結壓力的壓力。控制器180使用來自溫度感應器114及124、溫度讀取裝置205及305、及壓力感應器122的資訊,以控制相關於批次處理腔室100的處理流體的流動、應用的壓力、及應用的熱。
一旦完成退火處理,激活出口流體電路300以便於自處理區域125移除處理流體。處理流體經由出口流體電路300的出口管道361離開處理區域。入口流體電路200在自處理區域125移除處理氣體期間為非操作性。在自處理區域125移除處理流體之後關閉加熱器127,以減緩處理區域125內的凝結形成。軸件174接著被致動器170致動以在批次處理腔室100的內部容積150內升高匣130。接著,經由致動狹縫閥門195來開啟裝載埠158,且自批次處理腔室100個別移除基板135。
在一個態樣中,在裝載/卸載複數個基板135至/自匣130時,批次處理腔室100操作於小於0.5 atm的壓力。在該低壓操作期間,在軸件174在內部容積150內上下移動匣130時真空唇部密封160為有效的。真空唇部密封160藉由繞著軸件174密封來防止額外加壓流體或空氣逸散進入內部容積150。為了便於逸散減緩,真空唇部密封耦合至真空幫浦(未展示)以經由出口169撤出流體或空氣。在一個範例中,若密封175失效,真空唇部密封160便於經由出口169移除任何加壓處理流體,使得批次處理腔室100的真空整體性可在低壓操作期間維持。
此處所述的批次處理腔室提供眾多的利益。批次處理腔室經配置以在高壓下以及真空下操作。批次處理腔室併入具有設置於內表面上的眾多加熱器的中空圓柱殼,以在處理(例如,退火)期間將複數個基板加熱高至攝氏550度。同時,殼作用如同熱屏蔽以防止殼內部的熱傳遞至腔室壁,而允許腔室壁的溫度保持於約攝氏290度至約攝氏325度的範圍中。將腔室壁的溫度維持於約攝氏290度至約攝氏325度的範圍中致能使用密封以關閉對腔室的埠,而密封不會溫度下降。不使用該等密封,需要金屬密封。然而,金屬密封需要永久安裝且限制重新使用能力。此外,金屬密封具有產生不需要的顆粒汙染的趨勢。
此外,密封的定位允許使用壓力以形成更強健的密封。思量所揭露的栓塞耦合及狹縫閥門皆獲益於該密封定位。
最後,此處所揭露的入口流體電路及出口流體電路提供以下優點:控制及維持處理流體的溫度高於流經的處理流體的凝結點。耦合至入口流體電路及出口流體電路的不同部分的眾多加熱器及溫度感應器幫助控制器來控制及維持熱供應至入口流體電路、出口流體電路及腔室。由此處所揭露的態樣所提供的額外溫度控制防止處理流體的凝結且維持處理流體處於氣體相位,因而改良基板的總體處理。
前述係本揭示案特定實施例,應理解該等實施例僅圖示本揭示案的原則及應用。因此,應理解可對圖示的實施例進行眾多修改以達成其他實施例,而不遠離本發明的精神及範圍,如所附申請專利範圍所界定。
100‧‧‧批次處理腔室
105‧‧‧功率來源
105a‧‧‧插銷
105b‧‧‧延長槽
105c‧‧‧插銷
106‧‧‧內表面
107‧‧‧外表面
108‧‧‧薄的圓柱壁
109‧‧‧中空中央部分
110‧‧‧腔室主體
111‧‧‧蓋
112‧‧‧筒加熱器
114‧‧‧溫度感應器
115‧‧‧底部壁
116‧‧‧絕緣襯墊
117‧‧‧側壁
118‧‧‧靜止密封
119‧‧‧冷卻通道
120‧‧‧圓柱殼
122‧‧‧壓力感應器
123‧‧‧壁
124‧‧‧溫度感應器
125‧‧‧處理區域
126‧‧‧鉤
127‧‧‧加熱器
128‧‧‧空隙
129‧‧‧外區域
130‧‧‧匣
131‧‧‧環形周邊部分
132‧‧‧頂部壁
133‧‧‧孔隙
134‧‧‧底部壁
135‧‧‧基板
136‧‧‧側壁
138‧‧‧基板儲存槽
140‧‧‧蓋
142‧‧‧環形凹陷
150‧‧‧內部容積
151‧‧‧內表面
152‧‧‧入口埠
153‧‧‧外表面
154‧‧‧開口
155‧‧‧內表面
156‧‧‧出口埠
157‧‧‧外表面
158‧‧‧裝載埠
160‧‧‧真空唇部密封
165‧‧‧密封
169‧‧‧出口
170‧‧‧致動器
172‧‧‧栓塞
174‧‧‧軸件
175‧‧‧密封
176‧‧‧冷卻通道
177‧‧‧冷卻流體來源
178‧‧‧熱中斷
180‧‧‧控制器
182‧‧‧CPU
184‧‧‧記憶體
186‧‧‧支援電路
190‧‧‧垂直致動器
192‧‧‧鏈結
194a‧‧‧致動器
194b‧‧‧致動器
195‧‧‧狹縫閥門
196‧‧‧支架
198a‧‧‧柵
198b‧‧‧柵
199‧‧‧密封
200‧‧‧入口流體電路
205‧‧‧溫度讀取裝置
250‧‧‧氣體面板
251‧‧‧溫度感應器
252‧‧‧加熱器
253‧‧‧溫度感應器
254‧‧‧加熱器
255‧‧‧入口絕緣閥
256‧‧‧溫度感應器
257‧‧‧來源管道
258‧‧‧加熱器
259‧‧‧入口管道
300‧‧‧出口流體電路
305‧‧‧溫度讀取裝置
330‧‧‧中央部分
360‧‧‧凝結器
361‧‧‧出口管道
362‧‧‧加熱器
363‧‧‧排氣管道
364‧‧‧加熱器
365‧‧‧出口絕緣閥
366‧‧‧加熱器
367‧‧‧溫度感應器
368‧‧‧溫度感應器
369‧‧‧溫度感應器
370‧‧‧熱交換器
372‧‧‧凝結器管道
374‧‧‧熱交換器管道
375‧‧‧絕緣閥
376‧‧‧幫浦管道
378‧‧‧幫浦
於是可以詳細理解本揭示案上述特徵中的方式,可藉由參考實施例而具有本揭示案的更特定描述(簡短總結如上),其中一些圖示於所附圖式中。然而,注意所附圖式僅圖示示範的實施例,因為本揭示案可允許其他等效實施例。
第1A圖為批次處理腔室的示意截面視圖,具有位於升高位置的匣以裝載複數個基板進入該匣。
第1B圖為批次處理腔室的示意截面視圖,具有位於降低位置的匣以處理複數個基板。
第1C圖為該匣的示意底部視圖。
第1D圖為置於該批次處理腔室內的圓柱殼的部分示意截面視圖。
第1E圖為處於開啟配置的狹縫閥門的示意截面視圖。
第1F圖為處於關閉配置的狹縫閥門的示意截面視圖。
第2圖為連接至該批次處理腔室的入口的溫度控制入口流體電路的示意視圖。
第3圖為連接至該批次處理腔室的出口的溫度控制出口流體電路的示意視圖。
為了便於理解,儘可能使用相同元件符號,以標示圖式中常見的相同元件。思量一個實施例的元件及特徵可有利地併入其他實施例,而無須進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (19)

  1. 一種批次處理腔室,包括:一腔室主體,該腔室主體封閉一內部容積,該腔室主體具有穿過該腔室主體的一底部壁形成的一開口;一匣,在該內部容積內可移動地設置該匣,該匣經配置以升高至一第一位置以裝載該匣中的複數個基板,及降低進入該第一位置下方的一第二位置以用於處理;一軸件,穿過在該腔室主體中形成的該開口設置該軸件且該軸件耦合至該匣;一栓塞,該栓塞耦合至該匣的一底部壁,該栓塞包括一面向下密封,該密封經配置以在該匣位於該第二位置中時與該腔室主體的該底部壁的一頂部表面接合,該密封環繞該開口及該軸件,且在該匣位於該第二位置中時可密封抵靠該腔室主體的該底部壁;及一加熱器,該加熱器設置於該腔室主體的一側壁中且可操作以維持該腔室主體處於大於攝氏290度的一溫度。
  2. 如請求項1所述之批次處理腔室,進一步包括一中空圓柱殼,在該內部容積內設置該殼且該殼具有設置於該殼的一內表面上的一個或更多個加熱器。
  3. 如請求項1所述之批次處理腔室,進一步包括一狹縫閥門,該狹縫閥門經配置以可密封地關閉穿過該腔室主體形成的一裝載埠,該狹縫閥門包括接合該腔室主體的一內表面的密封。
  4. 如請求項2所述之批次處理腔室,進一步包括設置於該匣上的一蓋,該蓋具有大於該殼的一外直徑的一直徑。
  5. 如請求項1所述之批次處理腔室,其中該腔室主體的該底部壁包括一階梯形開口以容納該栓塞。
  6. 如請求項1所述之批次處理腔室,進一步包括設置於該匣的該底部壁及該栓塞之間的一熱中斷。
  7. 如請求項1所述之批次處理腔室,進一步包括設置於該栓塞內的一冷卻通道。
  8. 如請求項1所述之批次處理腔室,其中該匣的該底部壁包括開口,該等開口經配置以允許一流體流經該等開口。
  9. 一種批次處理腔室,包括:一腔室主體,該腔室主體封閉一內部容積;一匣,在該內部容積內可移動地設置該匣,該匣在一第一位置及該第一位置下方的一第二位置之間可移動;一栓塞,該栓塞耦合至該匣的一底部壁且經配置以在該內部容積內上下移動;一中空圓柱殼,在該內部容積內設置該殼且該殼在該匣位於該第二位置中時環繞該匣;及一加熱器,該加熱器設置於該腔室主體的一側壁中且可操作以維持該腔室主體處於大於攝氏290度的一溫度。
  10. 如請求項9所述之批次處理腔室,進一步包括一額外加熱器,該額外加熱器在該匣位於該第二位置中時設置於該殼的一內表面及該匣之間,及其中該栓塞包括一面向下密封,該面向下密封經配置以與該腔室主體的一底部壁的一頂部表面接合。
  11. 如請求項9所述之批次處理腔室,進一步包括一狹縫閥門,該狹縫閥門經配置以可密封地關閉穿過該腔室主體形成的一裝載埠,該狹縫閥門包括接合該腔室主體的一內表面的密封。
  12. 如請求項9所述之批次處理腔室,進一步包括設置於該匣上的一蓋,該蓋具有大於該殼的一外直徑的一直徑。
  13. 如請求項9所述之批次處理腔室,其中該匣的該底部壁包括穿過該底部壁形成的開口,該等開口允許一流體流經該等開口。
  14. 如請求項9所述之批次處理腔室,其中該腔室主體的一底部壁包括一階梯形開口以容納該栓塞。
  15. 如請求項9所述之批次處理腔室,進一步包括設置於該匣的該底部壁及該栓塞之間的一熱中斷。
  16. 如請求項9所述之批次處理腔室,進一步包括設置於該栓塞內的一冷卻通道。
  17. 如請求項11所述之批次處理腔室,進一步包括設置於該匣的該底部壁及該栓塞之間的一熱中斷,該熱中斷包括一封裝杯。
  18. 一種批次處理腔室,包括:一腔室主體,該腔室主體封閉一內部容積,該腔室主體具有穿過該腔室主體的一底部壁形成的一開口;一匣,在該內部容積內可移動地設置該匣,該匣經配置以升高至一第一位置以裝載該匣中的複數個基板,及降低進入該第一位置下方的一第二位置以用於處理;一栓塞,該栓塞耦合至該匣的一底部壁,該栓塞包括一面向下密封,該密封經配置以在該匣位於該第二位置中時與該腔室主體的該底部壁的一頂部表面接合,該密封環繞該開口,且在該匣位於該第二位置中時可密封抵靠該腔室主體的該底部壁;一加熱器,該加熱器設置於該腔室主體的一側壁中且可操作以維持該腔室主體處於大於攝氏290度的一溫度;及一額外加熱器,該額外加熱器在該匣位於該第二位置中時設置於該匣與一中空圓柱殼的一內表面之間,該中空圓柱殼經設置在該內部容積內。
  19. 如請求項18所述之批次處理腔室,進一步包括一軸件,穿過在該腔室主體中形成的該開口設置該軸件且該軸件耦合至該匣。
TW107136151A 2017-11-16 2018-10-15 高壓蒸氣退火處理設備 TWI678737B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762586935P 2017-11-16 2017-11-16
US62/586,935 2017-11-16

Publications (2)

Publication Number Publication Date
TW201923903A TW201923903A (zh) 2019-06-16
TWI678737B true TWI678737B (zh) 2019-12-01

Family

ID=66433522

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108138212A TWI700748B (zh) 2017-11-16 2018-10-15 高壓蒸氣退火處理設備
TW107136151A TWI678737B (zh) 2017-11-16 2018-10-15 高壓蒸氣退火處理設備

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108138212A TWI700748B (zh) 2017-11-16 2018-10-15 高壓蒸氣退火處理設備

Country Status (7)

Country Link
US (1) US10854483B2 (zh)
JP (1) JP7330181B2 (zh)
KR (1) KR102622303B1 (zh)
CN (1) CN111373519B (zh)
SG (1) SG11202003438QA (zh)
TW (2) TWI700748B (zh)
WO (1) WO2019099125A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11415230B2 (en) * 2020-03-31 2022-08-16 Applied Material, Inc. Slit valve pneumatic control
US20220415635A1 (en) * 2021-06-25 2022-12-29 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
JP7465855B2 (ja) * 2021-09-27 2024-04-11 芝浦メカトロニクス株式会社 加熱処理装置、搬入搬出治具、および有機膜の形成方法
CN117542767B (zh) * 2024-01-10 2024-03-26 合肥费舍罗热工装备有限公司 一种半导体立式熔接炉

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200529284A (en) * 2004-02-19 2005-09-01 Taiwan Semiconductor Mfg An intelligent full automation controlled flow for a semiconductor furnace tool
TW200721316A (en) * 2005-08-05 2007-06-01 Hitachi Int Electric Inc Substrate processing apparatus, cooling gas feed nozzle and method for manufacturing semiconductor device
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20150159272A1 (en) * 2012-06-27 2015-06-11 Inoct Co., Ltd Substrate heating device and process chamber

Family Cites Families (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPS4820850B1 (zh) * 1970-11-21 1973-06-25
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
US5092728A (en) * 1987-10-15 1992-03-03 Epsilon Technology, Inc. Substrate loading apparatus for a CVD process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
JP3230836B2 (ja) * 1992-04-09 2001-11-19 東京エレクトロン株式会社 熱処理装置
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5730885A (en) * 1996-12-03 1998-03-24 Union Carbide Chemicals & Plastics Technology Corporation Screen packs for reducing gels in polypropylene copolymers
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
TW517092B (en) * 1999-03-17 2003-01-11 Kobe Steel Ltd High-temperature and high-pressure treatment device
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
JP3497450B2 (ja) * 2000-07-06 2004-02-16 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
JP4342745B2 (ja) * 2000-09-27 2009-10-14 株式会社日立国際電気 基板処理方法および半導体装置の製造方法
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4015818B2 (ja) 2001-03-28 2007-11-28 株式会社日立国際電気 半導体製造装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
EP1540258A1 (en) 2002-07-15 2005-06-15 Aviza Technology, Inc. Variable heater element for low to high temperature ranges
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
JP4895803B2 (ja) 2003-02-04 2012-03-14 アプライド マテリアルズ インコーポレイテッド 誘電体膜及びゲートスタックの形成方法並びに誘電体膜の処理方法
WO2004075272A1 (ja) * 2003-02-21 2004-09-02 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体デバイスの製造方法
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7128570B2 (en) * 2004-01-21 2006-10-31 Asm International N.V. Method and apparatus for purging seals in a thermal reactor
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
JP4475136B2 (ja) * 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7351057B2 (en) * 2005-04-27 2008-04-01 Asm International N.V. Door plate for furnace
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
WO2006131153A1 (en) 2005-06-10 2006-12-14 Obducat Ab Pattern replication with intermediate stamp
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
US20080257260A9 (en) * 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
WO2007043383A1 (ja) 2005-10-07 2007-04-19 Nikon Corporation 微小構造体およびその製造方法
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7371998B2 (en) * 2006-07-05 2008-05-13 Semitool, Inc. Thermal wafer processor
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
JP2010528475A (ja) 2007-05-25 2010-08-19 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造システムを組み立てる及び運転する方法及び装置
WO2008156687A1 (en) 2007-06-15 2008-12-24 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
US20090004405A1 (en) * 2007-06-29 2009-01-01 Applied Materials, Inc. Thermal Batch Reactor with Removable Susceptors
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
JP4470970B2 (ja) * 2007-07-31 2010-06-02 東京エレクトロン株式会社 プラズマ処理装置
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US8668868B2 (en) 2007-10-26 2014-03-11 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR101995704B1 (ko) 2009-11-20 2019-07-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) * 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
US9031373B2 (en) 2011-03-25 2015-05-12 Seo Young Lee Lightwave circuit and method for manufacturing same
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
WO2013001482A1 (en) 2011-06-28 2013-01-03 Dynamic Micro Systems Semiconductor stocker systems and methods.
CN103999198B (zh) 2011-11-01 2016-08-24 株式会社日立国际电气 半导体器件的制造方法、半导体器件的制造装置及记录介质
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US8986557B2 (en) 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
CN105164799B (zh) 2013-03-15 2020-04-07 应用材料公司 基板沉积系统、机械手移送设备及用于电子装置制造的方法
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
CN105453230B (zh) 2013-08-16 2019-06-14 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积
SG11201600447YA (en) 2013-08-21 2016-03-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
EP3155650A4 (en) 2014-06-16 2018-03-14 Intel Corporation Seam healing of metal interconnects
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
CN105575848B (zh) * 2014-10-17 2018-08-28 中微半导体设备(上海)有限公司 真空锁系统及基片处理方法
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR102079501B1 (ko) 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
JP6585724B2 (ja) 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
TWI723993B (zh) 2015-05-11 2021-04-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
WO2016196105A1 (en) 2015-06-05 2016-12-08 Applied Materials, Inc. Susceptor position and rotation apparatus and methods of use
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR101744201B1 (ko) 2015-12-28 2017-06-12 주식회사 유진테크 기판 처리 장치
WO2017120102A1 (en) 2016-01-05 2017-07-13 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
JP2019530242A (ja) 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
WO2018183287A1 (en) 2017-03-31 2018-10-04 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
KR20230146121A (ko) 2017-04-21 2023-10-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 전극 조립체
CN116504679A (zh) 2017-05-01 2023-07-28 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
KR20190138315A (ko) 2017-05-03 2019-12-12 어플라이드 머티어리얼스, 인코포레이티드 고온 세라믹 가열기 상의 통합형 기판 온도 측정
KR102306675B1 (ko) 2017-05-19 2021-09-28 어플라이드 머티어리얼스, 인코포레이티드 액체 및 고체 유출물의 수집 및 가스 유출물로의 후속 반응을 위한 장치
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7184810B6 (ja) 2017-06-02 2022-12-16 アプライド マテリアルズ インコーポレイテッド 基板に堆積された膜の品質改善
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
CN111656510A (zh) 2018-02-22 2020-09-11 应用材料公司 处理掩模基板以实现更佳的膜质量的方法
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
TW200529284A (en) * 2004-02-19 2005-09-01 Taiwan Semiconductor Mfg An intelligent full automation controlled flow for a semiconductor furnace tool
TW200721316A (en) * 2005-08-05 2007-06-01 Hitachi Int Electric Inc Substrate processing apparatus, cooling gas feed nozzle and method for manufacturing semiconductor device
US20150159272A1 (en) * 2012-06-27 2015-06-11 Inoct Co., Ltd Substrate heating device and process chamber

Also Published As

Publication number Publication date
KR102622303B1 (ko) 2024-01-05
JP7330181B2 (ja) 2023-08-21
TW202006826A (zh) 2020-02-01
TWI700748B (zh) 2020-08-01
CN111373519B (zh) 2021-11-23
US20190148186A1 (en) 2019-05-16
WO2019099125A1 (en) 2019-05-23
US10854483B2 (en) 2020-12-01
SG11202003438QA (en) 2020-05-28
KR20200075009A (ko) 2020-06-25
CN111373519A (zh) 2020-07-03
JP2021503716A (ja) 2021-02-12
TW201923903A (zh) 2019-06-16

Similar Documents

Publication Publication Date Title
TWI678737B (zh) 高壓蒸氣退火處理設備
JP6947914B2 (ja) 高圧高温下のアニールチャンバ
US11018032B2 (en) High pressure and high temperature anneal chamber
CN109755128A (zh) 退火系统和退火方法
US20220081770A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, substrate processing method, and recording medium
TWI611495B (zh) 基板處理裝置、半導體裝置之製造方法及程式
TW202024367A (zh) 半導體處理系統
KR20200112696A (ko) 열 처리 장치 및 성막 방법
KR20230028471A (ko) 성막 방법 및 성막 장치
TWI836726B (zh) 高壓及高溫退火腔室
JP2014216489A (ja) 排気ガス冷却装置、基板処理装置、基板処理方法、半導体装置の製造方法および基板の製造方法
TWI835739B (zh) 高壓高溫退火腔室
JP2014096453A (ja) 熱処理装置
TW202410209A (zh) 高壓高溫退火腔室
JP2006100354A (ja) 基板処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees