WO2004008008A2 - Control of a gaseous environment in a wafer loading chamber - Google Patents

Control of a gaseous environment in a wafer loading chamber Download PDF

Info

Publication number
WO2004008008A2
WO2004008008A2 PCT/US2003/021646 US0321646W WO2004008008A2 WO 2004008008 A2 WO2004008008 A2 WO 2004008008A2 US 0321646 W US0321646 W US 0321646W WO 2004008008 A2 WO2004008008 A2 WO 2004008008A2
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
chamber pressure
integral
controller
gas
Prior art date
Application number
PCT/US2003/021646
Other languages
French (fr)
Other versions
WO2004008008A3 (en
Inventor
Alan Starner
Original Assignee
Aviza Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Technology, Inc. filed Critical Aviza Technology, Inc.
Priority to AU2003249029A priority Critical patent/AU2003249029A1/en
Publication of WO2004008008A2 publication Critical patent/WO2004008008A2/en
Publication of WO2004008008A3 publication Critical patent/WO2004008008A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Definitions

  • This invention relates generally to semiconductor manufacturing equipment, and more specifically to methods and apparatuses for controlling the gaseous environment in a wafer loading chamber.
  • Furnaces are commonly used in a wide variety of industries, including in the manufacture of integrated circuits or semiconductor devices from semiconductor substrates or wafers.
  • Thermal processing of semiconductor wafers include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material (including chemical vapor deposition), and etching or removal of material from the substrate.
  • These processes often call for the wafer to be heated to a temperature as high as 250 to 1200 degrees Celsius before and during the process.
  • these processes typically require that the wafer be maintained at a uniform temperature throughout the process, despite fluctuations in the temperature of the process gas or the rate at which it is introduced into the process chamber inside the furnace.
  • Oxides are nonconductive films. Should such a reaction occur on parts of the wafer where electrical conductivity is necessary, the wafer's functionality may be completely destroyed. Accordingly, even trace amounts of oxygen (on the order often parts per million) may disrupt the semiconductor manufacturing process. Oxygen in unwanted manufacturing areas may lead to production delays and excessive costs.
  • oxygen must be limited or eliminated in areas other than the furnace.
  • staging or handling areas such as the wafer boat handling unit (BHU)
  • oxygen concentration must be minimized in order to prevent the gas from migrating into the furnace with the wafers.
  • a wafer carrier or "boat”
  • oxidation may begin even before the wafers are moved into the process chamber.
  • the movement of the boat or wafer carrier into the furnace is colloquially referred to as a "boat push.”
  • Prior art systems have minimized oxygen in staging areas by introducing nitrogen in large quantities into a sealed chamber. Typically, nitrogen is introduced through a manually-set mass flow controller, which maintains a steady nitrogen flow into the chamber. While pressurized nitrogen is pumped into the chamber, the ambient gas inside the chamber is evacuated through a purge or bypass valve. When the nitrogen sufficiently dilutes the oxygen inside the chamber (as measured by an oxygen sensor), the purge valve is closed.
  • oxygen may migrate into the chamber through cracks or other flow paths. This can cause the oxygen level to rise beyond the maximum limits.
  • the bypass valve is again opened until the oxygen concentration drops.
  • the bypass valve may endlessly cycle in an attempt to maintain the proper oxygen density.
  • the mass flow controller is manually set, it constantly introduces nitrogen into the BHU. This causes two problems. First, nitrogen is relatively expensive. The constant gas flow increases manufacturing costs. Second, since the nitrogen is pressurized, the chamber pressure may slowly increase when the purge valve is closed.
  • Such a system may control oxygen density, but exerts little to no control over the chamber pressure. Overpressurizing the BHU may cause panel distortion, which accelerates oxygen migration. In other words, high pressure yields a greater oxygen concentration, and requires further valve cycling.
  • one embodiment of the present invention takes the form of a gaseous flow control system.
  • the flow control system monitors and controls gaseous levels and gas flow into and out of an enclosed space, such as a boat handling unit (BHU) in a semiconductor manufacturing environment.
  • BHU boat handling unit
  • the control system minimizes oxygen density within the boat handling unit, although other embodiments may monitor and minimize the concentration of other gases.
  • a first sensor monitors a first gas density within the chamber, while a second sensor monitors the chamber pressure.
  • the sensors may be discrete or integrated. Each sensor transmits its monitoring data to a controller.
  • the controller may manipulate a flow controller in order to adjust either oxygen density or the chamber pressure.
  • the flow controller takes the form of a mass flow controller, which adjusts nitrogen flow into the chamber. By opening the flow controller, more nitrogen enters the boat handling unit, thus minimizing oxygen concentration inside the BHU. However, since the nitrogen is pressurized, this also increases the BHU internal pressure.
  • the mass flow controller may assume a variety of positions between fully open and fully closed.
  • the controller may also open or close a purge valve.
  • the purge valve controls evacuation of the gases from inside the BHU.
  • a low-pressure vent drains gas from the BHU interior.
  • opening the purge valve reduces pressure inside the BHU, while keeping it closed increases pressure.
  • flushing the gas mixture inside the BHU through the purge valve may rapidly reduce the oxygen density within the BHU. This is especially true when the evacuated gas is replaced by nitrogen flowing through the mass flow controller.
  • the present invention also contemplates a method for regulating an operational parameter of a chamber.
  • the method comprises the steps of monitoring a first gas density, monitoring a chamber pressure, calculating a proportional contribution based on the first gas density, determining whether the chamber pressure is within a normal pressure range, in the event the chamber pressure is not within the normal pressure range, calculating an integral contribution based on the first gas density, and adjusting the operational parameter based on the proportional contribution and integral contribution.
  • Operational parameters may include chamber pressure and first gas density.
  • the method may be implemented by a proportional/integral derivative (PID) controller, which in turn is implemented via software.
  • PID proportional/integral derivative
  • Alternate embodiments may use different types of controllers (for example, fuzzy logic), or may use a hardware implementation.
  • the PID controller regulates nitrogen flow into the chamber, and may adjust either chamber pressure or oxygen density through its operation.
  • the proportional term of the controller is applied to oxygen density
  • the integral term of the controller may be applied to either oxygen density or chamber pressure.
  • the integral term applied to oxygen density only if the pressure is within acceptable levels.
  • the proportional and integral terms are used to calculate a proportional and integral contribution, respectively.
  • the proportional and integral constants may be used to adjust nitrogen flow into the chamber. If the BHU pressure exceeds a maximum value, oxygen density is ignored in favor of applying the integral term to reducing pressure.
  • Fig. 1 displays an embodiment of the present invention.
  • Fig. 2 displays an exemplary operating environment for an embodiment of the present invention.
  • Fig. 3 is a table displaying exemplary operating parameters for the embodiment of Figs. 1 and 2.
  • Fig. 4 displays a flowchart detailing the operation of the embodiment of Figs. 1 and 2.
  • one embodiment 100 of the present invention takes the form of a gaseous flow control system, as shown in Fig. 1.
  • the embodiment 100 monitors and controls gaseous levels and gas flow into and out of the chamber 120, or another enclosed space.
  • the embodiment 100 may monitor levels and control flow of the same type of gas, or may monitor gas levels of a first gas and control flow of a second gas.
  • the present embodiment monitors oxygen (O 2 ) levels and controls nitrogen (N 2 ) gas flow levels.
  • Operation of the present embodiment 100 is generally carried out by a controller 110.
  • the controller 110 is operationally connected to one or more sensors 130, which monitor both pressure and gas levels within the chamber 120.
  • the sensor(s) 130 electrically connect to the controller 110.
  • Alternate embodiments may use different connections, such as a pressure or other mechanical connection.
  • Gas and pressure data is relayed from the sensor 130 to the controller 110.
  • the controller 110 is also operationally connected to a flow controller 165.
  • the controller 110 may open, close or throttle the flow controller 165, thus altering the flow rate of a gas into the chamber 120 through an inlet port 140.
  • the flow controller 165 is placed in a gas pipe or line 160 connecting a gas source 150 to the chamber 120.
  • the controller 110 may be operationally connected to a purge or outlet valve 170 (referred to herein as a "purge valve").
  • a purge valve Typically, the controller and purge vale are electrically connected, so that the controller 110 may transmit electrical control signals to the purge valve 170.
  • the purge valve 170 is placed inline along a pipe 190 leading from an outlet 180 in the chamber 120 to a vent 195 or other low pressure area. When the purge valve 170 is opened, gas escapes from the chamber 120, through the pipe 190, and ultimately to the vent 195.
  • the controller 110 may open or close the purge valve 170 as necessary to ensure proper gas levels inside the chamber 120. In the present embodiment 100, the valve has only two states.
  • an adjustable, or throttling, valve may be used as a purge valve.
  • the controller 110 takes the form of a proportional/integral/derivative (PID) software controller.
  • PID proportional/integral/derivative
  • the operation of a PID controller is well-known to those skilled in the art.
  • the present embodiment's software controller 110 assigns the proportional term of the PLD algorithm to gas flow through the flow controller 165.
  • the software controller 110 includes two integral terms, rather than just one. The first integral term adjusts the pressure of the chamber 120 (i.e., the "pressure variable") while the second integral term is assigned to the chamber's monitored gas level.
  • the software controller 110 neither associates a variable with nor calculates a derivative term.
  • Alternate embodiments may assign a variable to the derivative term, such as the rate of change of the pressure in the chamber 120, a gas level in the chamber, and so forth. Alternate embodiments may also assign the proportional (P) and/or derivative (D) terms to the pressure variable.
  • a variable such as the rate of change of the pressure in the chamber 120, a gas level in the chamber, and so forth. Alternate embodiments may also assign the proportional (P) and/or derivative (D) terms to the pressure variable.
  • a PID controller may be implemented as a hardware solution, such as a programmable logic controller (PLC), a custom-manufactured control board, and so on. Further, alternate embodiments may employ different control schemes other than PID logic, such as pure proportional (or error) control or a fuzzy logic implementation.
  • PLC programmable logic controller
  • alternate embodiments may employ different control schemes other than PID logic, such as pure proportional (or error) control or a fuzzy logic implementation.
  • the software implementing the controller 110 is typically resident on a computer located at a monitoring station or in a control room.
  • the computer may be of any type known to those skilled in the art, including minicomputers, microcomputers, personal or desktop computers, UNIX stations, SUN stations, network servers, and so forth.
  • the senor 130 is a combined oxygen level and pressure sensor.
  • alternate embodiments may use two discrete sensors, one to detect gas levels and one to detect chamber 120 pressure.
  • oxygen levels are detected and expressed in parts per million (ppm), while pressure is detected and expressed in Torr.
  • a Torr is a unit of pressure equal to 1/760* of an atmosphere, or approximately the pressure exerted by a one millimeter column of mercury.
  • the sensor 130 is electrically connected to the controller 110.
  • the flow controller 165 of the present embodiment 100 is typically a mass flow controller, although other embodiments may employ different types of flow controllers, such as a pressure flow controller, a volume flow controller, or a flow rate controller.
  • the mass flow controller 165 receives commands from the PID controller 110 and accordingly adjusts the mass flow rate of gas into the chamber 120.
  • the flow controller 165 may be fully open, fully closed, or throttled between the two states.
  • the flow controller 165 may variably adjust gas flow. Alternate embodiments may use one or more two-state (i.e., open and closed) mass flow controllers.
  • the embodiment 100 generally monitors two variables - oxygen level and pressure within the chamber 120. Similarly, the embodiment 100 may change both variables only through a single input, namely, gas flow through the mass flow controller 165 into the chamber 120. (It should be noted that the term "chamber" as used in this document refers generally to any substantially sealed area or enclosure rather than specifically to a room.)
  • gas flow through the mass flow controller 165 the embodiment 100 may alter both the chamber 120 pressure and gas density detected by the sensor 130.
  • nitrogen is fed through the mass flow controller 165. Generally speaking, the more nitrogen introduced into the chamber 120, the lower the oxygen density becomes.
  • the embodiment 100 initially begins operation the chamber 120 contains relatively high oxygen levels.
  • the oxygen sensor 130 which in turn relays the data to the controller 110.
  • the PID controller 110 opens the mass flow controller 165, introducing nitrogen into the system. Feeding nitrogen into the chamber 120 positively pressurizes the chamber, thus evacuating gases already in the chamber through the purge valve 170. Since nitrogen is continually fed into the chamber 120 while the gas mix is evacuated, with time the nitrogen concentration inside the chamber increases while the oxygen concentration decreases. Nitrogen flow is maintained by applying a calculated proportional term of the PID software controller 110 to the nitrogen flow. Once the sensor 130 detects that the oxygen concentration has reached a nominal level, the controller 110 closes the purge valve 170.
  • the integral term of the PID software controller 110 maintains the nitrogen flow through the mass flow controller 165 necessary to stabilize the gas mix inside the chamber. This may be accomplished in one of two fashions depending on the type of mass flow controller 165 used. First, the software controller 110 may "flutter" the mass flow controller 165, oscillating between a completely open and a completely closed position. Generally, this is used only with a non-variable MFC. Alternately, the PID controller 110 may throttle the mass flow controller 165 as necessary to ensure constant flow. Ideally at this point the chamber 120 pressure should be approximately 2 - 4 Torr above atmospheric pressure. By slightly positively pressurizing the chamber 120 migration of oxygen and other gases from the atmosphere in the chamber exterior is eliminated.
  • the sensor 130 continuously monitors the chamber 120 pressure. If the sensor 130 detects the chamber 120 is overpressurized, it relays this data through the PID controller 110. The controller 110, in turn, may lower the chamber 120 pressure, first by reducing gas flow through the mass flow controller 165, and second by opening the purge valve 170.
  • the embodiment 100 regards an overpressurized state as overriding an over-oxygenated state. Alternate embodiments may reverse this classification.
  • Fig. 2 displays an exemplary operating environment for an embodiment 200 of the present invention.
  • the operating environment is a boat handling unit (BHU) chamber 220 of a semiconductor manufacturing environment.
  • the boat handling unit 220 generally accepts and stores semiconductor wafers prior to processing the wafers in a semiconductor furnace 205.
  • the term wafer is used broadly herein to indicate any substrate containing a plurality of integrated circuits, one or more flat panel displays, and the like.
  • wafers are loaded into the BHU 220 from a front-opening unified pod (FOUP) 201, or wafer cassette. Wafers are taken from the FOUP 201 by a robotic arm 202 and inserted into a wafer carrier 203.
  • FOUP front-opening unified pod
  • the carrier 203 is raised through the BHU 220 and into the fumace 205 by an elevator 204 located beneath the carrier. Wafers placed in the furnace 205 by this boat push are then processed according to methods well known to those skilled in the art. For example, vapor deposition is used to place a film on the wafer surface without causing a chemical reaction with the underlying wafer layer.
  • the carrier 203 and associated wafers Prior to loading the carrier 203 into the furnace 205, the carrier 203 and associated wafers may be pre-heated to speed up processing. Should oxygen remain on the wafer surface during deposition in the furnace 205 and/or pre-heating, a dielectric film may be formed on the wafer. This ruins some or all of the wafer's functionality. Oxygen can cling to the wafer while it is stored in the BHU chamber 220. Accordingly, prior to processing, the oxygen content of the BHU 220 should be minimized.
  • the embodiment 200 operates in much the same way previously described in order to reduce the oxygen (or ambient atmosphere) concentration within the BHU 220.
  • the sensor 230 monitors both BHU chamber 220 pressure and oxygen concentrations.
  • the software PID controller 210 adjusts nitrogen flow through the mass flow controller 265 into the BHU 220.
  • the PID controller 210 may also open or close the purge valve 270 to either evacuate oxygen from the chamber or to avoid overpressurization.
  • the PID controller 210 is connected to an elevator 204 control system (not shown).
  • the elevator 204 will not push the wafer carrier 203 into the furnace 205 until the oxygen level inside the BHU chamber 220 falls below a maximum threshold. This threshold may be adjusted by changing a setpoint within the PID software controller 210.
  • operation of the controller 210 is controlled by one or more user settable values.
  • the user settable values include: 1) a desired oxygen level inside the BHU 220; 2) a warning oxygen level inside the BHU; 3) a maximum allowable oxygen level inside the BHU chamber 220; 4) a minimum pressure inside the BHU; 5) a maximum allowable pressure inside the BHU; and 6) a safety pressure inside the BHU.
  • Each of these variables will be described in turn. Typically, these variables are implemented as software, but alternative embodiments may employ hardware implementations for each variable.
  • the "desired oxygen level” variable indicates the level of oxygen in the BHU chamber 220 the controller 210 ideally maintains by adjusting the positions of the MFC 265 and purge valve 270. In the present embodiment 200, this variable is set to ten parts per million (ppm).
  • the "warning oxygen level” variable is set to an oxygen concentration that, while still acceptable for the BHU chamber 220, is higher than the desired oxygen level. In the present embodiment 200, this variable is set to twenty ppm.
  • the "maximum oxygen level” variable indicates the maximum allowable oxygen concentration in the BHU 220 during a boat push. In the present embodiment 200, this value is nominally set to thirty parts per million.
  • the next user-settable variable, in the present embodiment 200, is the "minimum chamber pressure" variable.
  • the value of this variable indicates the bottom of the standard or normal pressure range maintained by the controller 210 inside the BHU chamber 220.
  • the minimum pressure variable is nominally set to two Torr above atmospheric pressure.
  • Another user-settable variable is the "maximum chamber pressure” variable, the value of which represents the upper bound of the standard or normal range of acceptable pressure inside the BHU chamber 220. In the present embodiment 200, this variable is set to four Torr above atmospheric pressure.
  • the final user-selectable variable in the present embodiment 200 is the "safety chamber pressure" variable. Generally, this variable dictates a safety threshold for the pressure in the BHU chamber 220.
  • the controller 210 operates to keep pressure below the safety chamber pressure variable value. In the present embodiment, this value is set to nine Torr above atmosphere.
  • the present embodiment 200 through the controller 210, employs these variables to control both the oxygen and pressure levels inside the BHU 220.
  • the oxygen and pressure levels are generally sampled by the sensor 230.
  • An example of the embodiment's operation will be given for illustrative purposes.
  • the controller 210 opens the purge valve 270 to allow greater flow of nitrogen through the system. This, in turn, aids in rapidly reducing oxygen concentration in the BHU 220.
  • the purge valve may be opened when the oxygen concentration equals the maximum oxygen level.
  • the purge valve 270 is closed by the controller 210, since the oxygen level is approaching a desired level, and any pathways permitting oxygen to migrate back into the BHU 220 should generally be minimized.
  • the purge valve 270 is opened if the oxygen density in the BHU 220 exceeds the maximum oxygen level and closed if the oxygen concentration is less than the warning oxygen level.
  • the controller 210 closes purge valve 270 (if the purge valve is open), and maintains a gas flow rate through the MFC 265 sufficient to maintain the chamber pressure in the normal range, as well as oxygen density at or below the value of the desired oxygen variable.
  • the MFC 265 remains partially open in order to replace any nitrogen lost through cracks of other transient pathways from the BHU 220 interior to the outside.
  • the calculation of the flow rate through the MFC 265 (as performed by the controller 210) will emphasize reducing the nitrogen flow in order to bring BHU pressure back down into the normal range, even if the oxygen level is higher than desired.
  • the controller 210 emphasizes maintaining a desired pressure over a desired oxygen level.
  • controller 210 will increase gas flow through the MFC 265 in order to bring the chamber pressure back up into the normal range, even if this adversely affects the oxygen concentration in the chamber.
  • the controller 210 closes the MFC 265, and opens the purge valve 270. Accordingly, with no gas inflow and the purge valve open, excess pressure should generally bleed off quickly. In the present embodiment 200, such a condition rarely (if ever) occurs during normal operation. Nonetheless, such functionality is provided for the controller 210 in order to ensure system safety.
  • Operation of the Embodiment Figure 3 is a table 300 displaying an exemplary set of constants used by the proportional/integral/derivative controller 110 of the embodiment 100 of Fig. 1. (These constants may be used by the embodiment 200 of Fig. 2, as well.) It should be understood that these constants may vary in alternative embodiments, and may be zero or non-zero values, as necessary. Generally, a zero value for any given variable suppresses the operation of that portion (i.e., proportional, integral, or derivative) of the controller 210.
  • the table 300 is divided into three rows and six columns.
  • the uppermost row represents the values for constants when the chamber 120 pressure is below the minimum chamber pressure variable.
  • the middle row depicts constant values when the chamber pressure is in between the minimum and maximum chamber pressure variables.
  • the bottommost, and final, row represents the values for constants when the chamber 120 pressure exceeds the maximum chamber pressure variable.
  • the columns represent different constants. For example, the "O2-
  • the "O2-I” column represents an oxygen integral constant, discussed below with respect to step 450 of Fig. 4.
  • the "O2-D” column represents an oxygen derivative constant, which may be used by the controller 110 in some embodiments to adjust gas flow through the MFC 165.
  • the "Press-P” column represents a proportional pressure constant. As with the oxygen derivative constant, this constant may be used by an embodiment of the present invention to control gas flow through the MFC 165, and correspondingly control oxygen density and overall pressure within the chamber 120.
  • the "Press-I” column represents a pressure integral constant, which may be used for similar control calculations by the controller 110.
  • the "Press-D” column represents a derivative pressure constant, which may also be used by the controller 110 to calculate a gas flow through the MFC.
  • Fig. 3's table 300 are exemplary for the present embodiment 100.
  • the zero values in all three rows of the "O2-D", "Press-P", and “Press-D” columns indicate that these particular constants are not employed by the embodiment. Alternative embodiments, however, may make use of such constants.
  • Figure 4 displays a flowchart detailing the general operation of the embodiment 100 shown in Fig. 1. This flowchart generally applies to the embodiment shown in Fig. 2, as well.
  • the steps shown in Fig. 4 are typically executed by a software or hardware system logic. Such a system logic is often (though not always) implemented as part of the proportional/integral/derivative controller 110.
  • step 400 the PID controller 110 opens the purge valve 170, and nitrogen begins flowing through MFC 165.
  • step 400 the embodiment 100 executes a loop comprising steps 405 to 465. This is typically executed on a periodic basis for a set period of time or after a certain time period has passed, for example in one embodiment the loop is executed about every four seconds. Alternative embodiments include executing the loop in response to a trigger, such as a change in an environmental variable. Exemplary triggers may include a variable being exceed or a user-initiated command. In yet another alternative embodiment this loop may be executed only once, or it may executed a set number of times.
  • a trigger such as a change in an environmental variable.
  • exemplary triggers may include a variable being exceed or a user-initiated command.
  • this loop may be executed only once, or it may executed a set number of times.
  • step 405 the embodiment 100 determines if the chamber 120 pressure exceeds the value of the safety chamber pressure variable, mentioned above. If so, the embodiment executes step 410.
  • step 410 the controller 110 closes the MFC 165 and opens the purge valve 170.
  • step 465 the embodiment 100 executes step 465, in which it waits for the next iteration of the loop. If the embodiment 100 determines the chamber 120 pressure is below the safety chamber pressure variable's set value, then step 415 is executed. In step 415, it is determined if the oxygen concentration exceeds the maximum oxygen variable's value. If so, then step 420 is executed and the purge valve 170 is opened (if it is not already open). After step 420, the embodiment executes step 435, as detailed below.
  • step 425 is executed.
  • the embodiment 100 determines whether the oxygen concentration in the chamber 120 is less than the warning oxygen level variable's value. If the oxygen concentration is above this value, step 435 is accessed. Otherwise, step 430 is executed. In step 430, the controller 110 closes the purge valve 170, if it is open.
  • the embodiment 100 determines a "proportional contribution" for the gas flow through the MFC 165. This proportional contribution is based on the current chamber 120 oxygen concentration. The proportional contribution generally equals the difference between the current oxygen level and the desired oxygen level, divided by the size of an "oxygen proportional band.”
  • the oxygen proportional band is a user-changeable parameter that defines a range in which the proportional term of the controller 110 responds in a proportional manner.
  • the proportional contribution may be determined in the following manner:
  • the proportional band in an embodiment of the present invention may be 1000 ppm (as opposed to the 5000 shown in Fig. 3), while a desired oxygen level variable might be 10 ppm. Accordingly, a current chamber oxygen concentration of 110 ppm would result in a proportional contribution of 10% of the MFC maximum flow rate:
  • step 435 the embodiment 100 determines in step 445 if the current chamber 120 pressure is between the minimum chamber pressure and maximum chamber pressure variables. If the pressure is within this normal range, then step 450 is executed.
  • the embodiment 100 calculates an "integral contribution.”
  • the integral contribution is used by the controller 110 to at least partially control gas flow through the MFC 165.
  • the integral contribution represents a gas flow into the chamber 120, expressed here as a percentage of the maximum gas flow through the MFC 165.
  • the integral and proportional contributions are generally used to maintain operating parameters within the chamber 120. "Operating parameters" here generally refer to a chamber 120 oxygen density and a chamber pressure. The proportional and integral contributions together assist in determining a gas flow rate through the MFC 165, as explained in more detail in step 460.
  • step 450 the embodiment computes the integral contribution by taking the difference between the current chamber 120 oxygen concentration and the desired oxygen concentration in the chamber, then multiplying it by an oxygen integral constant.
  • This formula may be mathematically expressed as follows:
  • Ic (O 2 C - O 2 D )* O 2 I;
  • Ic integral contribution
  • O 2 C current chamber 120 oxygen concentration
  • O 2 D desired chamber 120 oxygen concentration (i.e., the "desired oxygen level” variable discussed above);
  • O 2 I oxygen integral constant (in the present embodiment 100, shown in Fig. 3 in the "O2-I" column).
  • the integral contribution is:
  • step 440 the embodiment calculates the integral contribution to be added for this loop iteration based on the chamber pressure (as opposed to basing the integral contribution on oxygen density, as in step 450).
  • step 440 the embodiment 100 calculates the difference between the current chamber 120 pressure and the minimum pressure (if the current chamber pressure is below the minimum pressure variable) or maximum pressure (if the current chamber pressure is above the maximum pressure), and multiplies this difference by a pressure integral constant. The resulting number is the integral contribution.
  • Ic (Cva r - C curr )* PI;
  • PI pressure integral constant (in the present embodiment 100, shown in Fig. 3 in the "Press-I” column).
  • step 455 is executed.
  • the embodiment 100 adds the integral contribution calculated to an "integral sum."
  • the integral sum is the sum of all integral contributions calculated in previous loop iterations. Accordingly, the integral sum integrates the integral contributions over successive loop iterations. Using the above example where the integral contribution was -2%, and assuming a previous integral sum of 65%, the new integral sum would be 63%. Generally, a rise in the integral sum represents an increase in gas flow through the MFC 165, while a decrease in the integral sum represents a reduction in the flow through the MFC.
  • step 460 is executed.
  • the embodiment 100 determines the final flow rate (or "operating percentage") for the MFC for the particular loop iteration.
  • the flow controller 165 implements the operating percentage by adjusting the position of the MFC.
  • the MFC 165 flow rate is calculated by adding the proportional term calculated in step 435 to the integral sum calculated in step 455.
  • the proportional term was set to 10% in step 435, and the integral sum set to 63% in step 455. Accordingly, the new MFC 165 operating percentage is 73% of its maximum possible flow.
  • the flow controller 165 sets the MFC 73% open.
  • step 465 is executed.
  • the embodiment 100 waits for a timer to expire to initiate the next loop cycle.
  • the loop is executed in response to a trigger, such as a change in either the oxygen density or pressure inside the chamber 120, once the trigger is detected, the loop begins again at step 405.
  • a trigger such as a change in either the oxygen density or pressure inside the chamber 120

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)
  • Control By Computers (AREA)
  • Physical Vapour Deposition (AREA)
  • Control Of Fluid Pressure (AREA)
  • Control Of Non-Electrical Variables (AREA)
  • Control Of Resistance Heating (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)

Abstract

A gaseous flow control method and system. The flow control system monitors and controls gaseous levels and gas flow into and out of an enclosed space by using a proportional/integral/derivative (PID) controller to manipulate gas flow into and out of the chamber. The proportional term of the controller is applied to gas density, while the integral term is applied to either chamber pressure or gas density. Gas density is adjusted only if chamber pressure is maintained within acceptable levels.

Description

CONTROL OF A GASEOUS ENVIRONMENT IN A WAFER LOADING
CHAMBER
CROSS REFERENCE TO RELATED APPLICATIONS
The present application claims the benefit of and priority from commonly assigned U.S. Provisional Patent Applications Serial Nos. 60/396,536, entitled Thermal Processing System, and filed July 15, 2002, and 60/428,526, entitled Thermal Processing System and Method for Using the Same, and filed November 22, 2002, both of which are incorporated herein by reference in their entirety.
BACKGROUND OF THE INVENTION
1. Technical Field
This invention relates generally to semiconductor manufacturing equipment, and more specifically to methods and apparatuses for controlling the gaseous environment in a wafer loading chamber.
2. Description of Related Art
Furnaces are commonly used in a wide variety of industries, including in the manufacture of integrated circuits or semiconductor devices from semiconductor substrates or wafers. Thermal processing of semiconductor wafers include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material (including chemical vapor deposition), and etching or removal of material from the substrate. These processes often call for the wafer to be heated to a temperature as high as 250 to 1200 degrees Celsius before and during the process. Moreover, these processes typically require that the wafer be maintained at a uniform temperature throughout the process, despite fluctuations in the temperature of the process gas or the rate at which it is introduced into the process chamber inside the furnace.
When manufacturing semiconductor wafers, the presence of oxygen during crucial manufacturing steps may render a wafer useless. Specifically, when the wafer is thermally processed in the furnace, free oxygen or water vapor on or near the wafer's surface may bond with silicon in the wafer to form an oxide, such as silicon dioxide. Oxides are nonconductive films. Should such a reaction occur on parts of the wafer where electrical conductivity is necessary, the wafer's functionality may be completely destroyed. Accordingly, even trace amounts of oxygen (on the order often parts per million) may disrupt the semiconductor manufacturing process. Oxygen in unwanted manufacturing areas may lead to production delays and excessive costs.
Further, oxygen must be limited or eliminated in areas other than the furnace. In staging or handling areas, such as the wafer boat handling unit (BHU), oxygen concentration must be minimized in order to prevent the gas from migrating into the furnace with the wafers. When a wafer carrier (or "boat") is pre-heated prior to entering the furnace, oxidation may begin even before the wafers are moved into the process chamber. The movement of the boat or wafer carrier into the furnace is colloquially referred to as a "boat push." Prior art systems have minimized oxygen in staging areas by introducing nitrogen in large quantities into a sealed chamber. Typically, nitrogen is introduced through a manually-set mass flow controller, which maintains a steady nitrogen flow into the chamber. While pressurized nitrogen is pumped into the chamber, the ambient gas inside the chamber is evacuated through a purge or bypass valve. When the nitrogen sufficiently dilutes the oxygen inside the chamber (as measured by an oxygen sensor), the purge valve is closed.
Sometimes, however, oxygen may migrate into the chamber through cracks or other flow paths. This can cause the oxygen level to rise beyond the maximum limits. In such a case, the bypass valve is again opened until the oxygen concentration drops. Thus, the bypass valve may endlessly cycle in an attempt to maintain the proper oxygen density.
Additionally, because the mass flow controller is manually set, it constantly introduces nitrogen into the BHU. This causes two problems. First, nitrogen is relatively expensive. The constant gas flow increases manufacturing costs. Second, since the nitrogen is pressurized, the chamber pressure may slowly increase when the purge valve is closed.
Further, such a system may control oxygen density, but exerts little to no control over the chamber pressure. Overpressurizing the BHU may cause panel distortion, which accelerates oxygen migration. In other words, high pressure yields a greater oxygen concentration, and requires further valve cycling.
Generally, prior art solutions have ignored pressure control in this situation because only a single control variable (nitrogen flow) is present in the system. Most conventional systems cannot use a single variable to control two outputs, namely pressure and oxygen density. Accordingly, pressure control is ignored in order to minimize the chance of oxidizing a wafer.
Accordingly, there is a need for an apparatus and method to overcome the aforementioned problems.
BRIEF SUMMARY OF THE INVENTION
Generally, one embodiment of the present invention takes the form of a gaseous flow control system. The flow control system monitors and controls gaseous levels and gas flow into and out of an enclosed space, such as a boat handling unit (BHU) in a semiconductor manufacturing environment. In one embodiment, the control system minimizes oxygen density within the boat handling unit, although other embodiments may monitor and minimize the concentration of other gases.
A first sensor monitors a first gas density within the chamber, while a second sensor monitors the chamber pressure. The sensors may be discrete or integrated. Each sensor transmits its monitoring data to a controller. The controller may manipulate a flow controller in order to adjust either oxygen density or the chamber pressure. Generally, the flow controller takes the form of a mass flow controller, which adjusts nitrogen flow into the chamber. By opening the flow controller, more nitrogen enters the boat handling unit, thus minimizing oxygen concentration inside the BHU. However, since the nitrogen is pressurized, this also increases the BHU internal pressure. In one embodiment, the mass flow controller may assume a variety of positions between fully open and fully closed.
Similarly, the controller may also open or close a purge valve. The purge valve controls evacuation of the gases from inside the BHU. When the purge valve is opened, a low-pressure vent drains gas from the BHU interior. When the valve is closed, no escape route exists for such gas. Accordingly, opening the purge valve reduces pressure inside the BHU, while keeping it closed increases pressure. Further, because oxygen levels inside the boat handling unit remain relatively static (with the exception of some oxygen migration through cracks or spaces in the BHU walls), flushing the gas mixture inside the BHU through the purge valve may rapidly reduce the oxygen density within the BHU. This is especially true when the evacuated gas is replaced by nitrogen flowing through the mass flow controller. The present invention also contemplates a method for regulating an operational parameter of a chamber. Generally, the method comprises the steps of monitoring a first gas density, monitoring a chamber pressure, calculating a proportional contribution based on the first gas density, determining whether the chamber pressure is within a normal pressure range, in the event the chamber pressure is not within the normal pressure range, calculating an integral contribution based on the first gas density, and adjusting the operational parameter based on the proportional contribution and integral contribution. Operational parameters may include chamber pressure and first gas density.
More specifically, the method may be implemented by a proportional/integral derivative (PID) controller, which in turn is implemented via software. Alternate embodiments may use different types of controllers (for example, fuzzy logic), or may use a hardware implementation. The PID controller regulates nitrogen flow into the chamber, and may adjust either chamber pressure or oxygen density through its operation. Generally, the proportional term of the controller is applied to oxygen density, while the integral term of the controller may be applied to either oxygen density or chamber pressure. Typically, the integral term applied to oxygen density only if the pressure is within acceptable levels. The proportional and integral terms are used to calculate a proportional and integral contribution, respectively. In turn, the proportional and integral constants may be used to adjust nitrogen flow into the chamber. If the BHU pressure exceeds a maximum value, oxygen density is ignored in favor of applying the integral term to reducing pressure.
BRIEF DESCRIPTION OF THE DRAWINGS
Fig. 1 displays an embodiment of the present invention. Fig. 2 displays an exemplary operating environment for an embodiment of the present invention.
Fig. 3 is a table displaying exemplary operating parameters for the embodiment of Figs. 1 and 2.
Fig. 4 displays a flowchart detailing the operation of the embodiment of Figs. 1 and 2.
DETAILED DESCRIPTION OF THE INVENTION
1. General Overview
Generally, one embodiment 100 of the present invention takes the form of a gaseous flow control system, as shown in Fig. 1. The embodiment 100 monitors and controls gaseous levels and gas flow into and out of the chamber 120, or another enclosed space. The embodiment 100 may monitor levels and control flow of the same type of gas, or may monitor gas levels of a first gas and control flow of a second gas. The present embodiment monitors oxygen (O2) levels and controls nitrogen (N2) gas flow levels.
Operation of the present embodiment 100, including both monitoring and flow control functions, is generally carried out by a controller 110. The controller 110 is operationally connected to one or more sensors 130, which monitor both pressure and gas levels within the chamber 120. Typically, the sensor(s) 130 electrically connect to the controller 110. Alternate embodiments may use different connections, such as a pressure or other mechanical connection. Gas and pressure data is relayed from the sensor 130 to the controller 110. The controller 110 is also operationally connected to a flow controller 165. The controller 110 may open, close or throttle the flow controller 165, thus altering the flow rate of a gas into the chamber 120 through an inlet port 140. The flow controller 165 is placed in a gas pipe or line 160 connecting a gas source 150 to the chamber 120.
Additionally, the controller 110 may be operationally connected to a purge or outlet valve 170 (referred to herein as a "purge valve"). Typically, the controller and purge vale are electrically connected, so that the controller 110 may transmit electrical control signals to the purge valve 170. The purge valve 170 is placed inline along a pipe 190 leading from an outlet 180 in the chamber 120 to a vent 195 or other low pressure area. When the purge valve 170 is opened, gas escapes from the chamber 120, through the pipe 190, and ultimately to the vent 195. As with the flow controller 165, the controller 110 may open or close the purge valve 170 as necessary to ensure proper gas levels inside the chamber 120. In the present embodiment 100, the valve has only two states. In alternate embodiments an adjustable, or throttling, valve may be used as a purge valve. In the present embodiment 100, the controller 110 takes the form of a proportional/integral/derivative (PID) software controller. The operation of a PID controller is well-known to those skilled in the art. The present embodiment's software controller 110 assigns the proportional term of the PLD algorithm to gas flow through the flow controller 165. Unlike a standard PID controller, the software controller 110 includes two integral terms, rather than just one. The first integral term adjusts the pressure of the chamber 120 (i.e., the "pressure variable") while the second integral term is assigned to the chamber's monitored gas level. The software controller 110 neither associates a variable with nor calculates a derivative term. Alternate embodiments may assign a variable to the derivative term, such as the rate of change of the pressure in the chamber 120, a gas level in the chamber, and so forth. Alternate embodiments may also assign the proportional (P) and/or derivative (D) terms to the pressure variable.
Although the present embodiment 100 includes a software controller 110, altemate embodiments may employ a variety of PLD controls. For example, a PID controller may be implemented as a hardware solution, such as a programmable logic controller (PLC), a custom-manufactured control board, and so on. Further, alternate embodiments may employ different control schemes other than PID logic, such as pure proportional (or error) control or a fuzzy logic implementation. Returning to the discussion of the present embodiment 100, the software implementing the controller 110 is typically resident on a computer located at a monitoring station or in a control room. The computer may be of any type known to those skilled in the art, including minicomputers, microcomputers, personal or desktop computers, UNIX stations, SUN stations, network servers, and so forth. Still with reference to Fig. 1, in the present embodiment 100, the sensor 130 is a combined oxygen level and pressure sensor. Of course, alternate embodiments may use two discrete sensors, one to detect gas levels and one to detect chamber 120 pressure. Generally, oxygen levels are detected and expressed in parts per million (ppm), while pressure is detected and expressed in Torr. A Torr is a unit of pressure equal to 1/760* of an atmosphere, or approximately the pressure exerted by a one millimeter column of mercury. As previously mentioned, the sensor 130 is electrically connected to the controller 110.
The flow controller 165 of the present embodiment 100 is typically a mass flow controller, although other embodiments may employ different types of flow controllers, such as a pressure flow controller, a volume flow controller, or a flow rate controller. The mass flow controller 165 receives commands from the PID controller 110 and accordingly adjusts the mass flow rate of gas into the chamber 120. In the present embodiment 100, the flow controller 165 may be fully open, fully closed, or throttled between the two states. Unlike the purge valve 170, discussed above, the flow controller 165 may variably adjust gas flow. Alternate embodiments may use one or more two-state (i.e., open and closed) mass flow controllers.
Thus, the embodiment 100 generally monitors two variables - oxygen level and pressure within the chamber 120. Similarly, the embodiment 100 may change both variables only through a single input, namely, gas flow through the mass flow controller 165 into the chamber 120. (It should be noted that the term "chamber" as used in this document refers generally to any substantially sealed area or enclosure rather than specifically to a room.) By varying gas flow through the mass flow controller 165, the embodiment 100 may alter both the chamber 120 pressure and gas density detected by the sensor 130. In the present embodiment 100, nitrogen is fed through the mass flow controller 165. Generally speaking, the more nitrogen introduced into the chamber 120, the lower the oxygen density becomes. When the embodiment 100 initially begins operation, the chamber 120 contains relatively high oxygen levels. This is detected by the oxygen sensor 130, which in turn relays the data to the controller 110. In response, the PID controller 110 opens the mass flow controller 165, introducing nitrogen into the system. Feeding nitrogen into the chamber 120 positively pressurizes the chamber, thus evacuating gases already in the chamber through the purge valve 170. Since nitrogen is continually fed into the chamber 120 while the gas mix is evacuated, with time the nitrogen concentration inside the chamber increases while the oxygen concentration decreases. Nitrogen flow is maintained by applying a calculated proportional term of the PID software controller 110 to the nitrogen flow. Once the sensor 130 detects that the oxygen concentration has reached a nominal level, the controller 110 closes the purge valve 170.
Once proper oxygen levels have been achieved inside the chamber 120, the integral term of the PID software controller 110 maintains the nitrogen flow through the mass flow controller 165 necessary to stabilize the gas mix inside the chamber. This may be accomplished in one of two fashions depending on the type of mass flow controller 165 used. First, the software controller 110 may "flutter" the mass flow controller 165, oscillating between a completely open and a completely closed position. Generally, this is used only with a non-variable MFC. Alternately, the PID controller 110 may throttle the mass flow controller 165 as necessary to ensure constant flow. Ideally at this point the chamber 120 pressure should be approximately 2 - 4 Torr above atmospheric pressure. By slightly positively pressurizing the chamber 120 migration of oxygen and other gases from the atmosphere in the chamber exterior is eliminated. However, if the chamber 120 is too greatly overpressurized, chamber walls may begin to distort and bow. When the chamber walls distort, stress is placed on seals between the walls and/or around inflow and outflow regions of the chamber. Such stress causes the seals to more rapidly degrade, and may eventually lead to the formation of unintended airflow paths into and out of the chamber 120. In order to avoid overpressurization, the sensor 130 continuously monitors the chamber 120 pressure. If the sensor 130 detects the chamber 120 is overpressurized, it relays this data through the PID controller 110. The controller 110, in turn, may lower the chamber 120 pressure, first by reducing gas flow through the mass flow controller 165, and second by opening the purge valve 170. Although the chamber's oxygen content is monitored during depressurization it is ignored until the chamber 120 pressure returns to an acceptable state. That is, even if the depressurization process results in oxygen concentration exceeding the maximum of acceptable value as determined by the PID controller 110, nitrogen flow through the mass flow controller 165 is not increased until proper pressure is attained. Effectively, the embodiment 100 regards an overpressurized state as overriding an over-oxygenated state. Alternate embodiments may reverse this classification.
2. Operating Environment
Fig. 2 displays an exemplary operating environment for an embodiment 200 of the present invention. Specifically, the operating environment is a boat handling unit (BHU) chamber 220 of a semiconductor manufacturing environment. The boat handling unit 220 generally accepts and stores semiconductor wafers prior to processing the wafers in a semiconductor furnace 205. The term wafer is used broadly herein to indicate any substrate containing a plurality of integrated circuits, one or more flat panel displays, and the like. Generally, wafers are loaded into the BHU 220 from a front-opening unified pod (FOUP) 201, or wafer cassette. Wafers are taken from the FOUP 201 by a robotic arm 202 and inserted into a wafer carrier 203.
The carrier 203 is raised through the BHU 220 and into the fumace 205 by an elevator 204 located beneath the carrier. Wafers placed in the furnace 205 by this boat push are then processed according to methods well known to those skilled in the art. For example, vapor deposition is used to place a film on the wafer surface without causing a chemical reaction with the underlying wafer layer.
Prior to loading the carrier 203 into the furnace 205, the carrier 203 and associated wafers may be pre-heated to speed up processing. Should oxygen remain on the wafer surface during deposition in the furnace 205 and/or pre-heating, a dielectric film may be formed on the wafer. This ruins some or all of the wafer's functionality. Oxygen can cling to the wafer while it is stored in the BHU chamber 220. Accordingly, prior to processing, the oxygen content of the BHU 220 should be minimized. The embodiment 200 operates in much the same way previously described in order to reduce the oxygen (or ambient atmosphere) concentration within the BHU 220. The sensor 230 monitors both BHU chamber 220 pressure and oxygen concentrations. This data is relayed to the software PID controller 210, which in turn adjusts nitrogen flow through the mass flow controller 265 into the BHU 220. The PID controller 210 may also open or close the purge valve 270 to either evacuate oxygen from the chamber or to avoid overpressurization.
Additionally, the PID controller 210 is connected to an elevator 204 control system (not shown). The elevator 204 will not push the wafer carrier 203 into the furnace 205 until the oxygen level inside the BHU chamber 220 falls below a maximum threshold. This threshold may be adjusted by changing a setpoint within the PID software controller 210.
3. Operational Parameters In the embodiment 200 discussed with respect to Fig. 2, operation of the controller 210 is controlled by one or more user settable values. In the embodiment of Fig. 2, the user settable values include: 1) a desired oxygen level inside the BHU 220; 2) a warning oxygen level inside the BHU; 3) a maximum allowable oxygen level inside the BHU chamber 220; 4) a minimum pressure inside the BHU; 5) a maximum allowable pressure inside the BHU; and 6) a safety pressure inside the BHU. Each of these variables will be described in turn. Typically, these variables are implemented as software, but alternative embodiments may employ hardware implementations for each variable.
The "desired oxygen level" variable indicates the level of oxygen in the BHU chamber 220 the controller 210 ideally maintains by adjusting the positions of the MFC 265 and purge valve 270. In the present embodiment 200, this variable is set to ten parts per million (ppm).
The "warning oxygen level" variable is set to an oxygen concentration that, while still acceptable for the BHU chamber 220, is higher than the desired oxygen level. In the present embodiment 200, this variable is set to twenty ppm. The "maximum oxygen level" variable indicates the maximum allowable oxygen concentration in the BHU 220 during a boat push. In the present embodiment 200, this value is nominally set to thirty parts per million.
The next user-settable variable, in the present embodiment 200, is the "minimum chamber pressure" variable. The value of this variable indicates the bottom of the standard or normal pressure range maintained by the controller 210 inside the BHU chamber 220. Still with respect to the present embodiment, the minimum pressure variable is nominally set to two Torr above atmospheric pressure. Another user-settable variable is the "maximum chamber pressure" variable, the value of which represents the upper bound of the standard or normal range of acceptable pressure inside the BHU chamber 220. In the present embodiment 200, this variable is set to four Torr above atmospheric pressure.
The final user-selectable variable in the present embodiment 200 is the "safety chamber pressure" variable. Generally, this variable dictates a safety threshold for the pressure in the BHU chamber 220. The controller 210 operates to keep pressure below the safety chamber pressure variable value. In the present embodiment, this value is set to nine Torr above atmosphere.
Generally, the present embodiment 200, through the controller 210, employs these variables to control both the oxygen and pressure levels inside the BHU 220. The oxygen and pressure levels are generally sampled by the sensor 230. An example of the embodiment's operation will be given for illustrative purposes.
Beginning the example, when the oxygen concentration exceeds the value of the maximum oxygen level variable, the controller 210 opens the purge valve 270 to allow greater flow of nitrogen through the system. This, in turn, aids in rapidly reducing oxygen concentration in the BHU 220. In some embodiments, the purge valve may be opened when the oxygen concentration equals the maximum oxygen level.
Similarly, if the oxygen level drops below the warning oxygen level, the purge valve 270 is closed by the controller 210, since the oxygen level is approaching a desired level, and any pathways permitting oxygen to migrate back into the BHU 220 should generally be minimized. Thus, the purge valve 270 is opened if the oxygen density in the BHU 220 exceeds the maximum oxygen level and closed if the oxygen concentration is less than the warning oxygen level.
Continuing the example, if the BHU 220 oxygen density is less than or equal to the desired oxygen level (i.e., the value of the desired oxygen variable) and the BHU pressure is between the value of the minimum chamber pressure and maximum chamber pressure variables, operating conditions for the BHU 220 are generally in a typical, or normal, range. Accordingly, the controller 210 closes purge valve 270 (if the purge valve is open), and maintains a gas flow rate through the MFC 265 sufficient to maintain the chamber pressure in the normal range, as well as oxygen density at or below the value of the desired oxygen variable. Typically, the MFC 265 remains partially open in order to replace any nitrogen lost through cracks of other transient pathways from the BHU 220 interior to the outside. As yet another part of the example, if the BHU 220 pressure exceeds the maximum chamber pressure variable, then the calculation of the flow rate through the MFC 265 (as performed by the controller 210) will emphasize reducing the nitrogen flow in order to bring BHU pressure back down into the normal range, even if the oxygen level is higher than desired. In other words, the controller 210 emphasizes maintaining a desired pressure over a desired oxygen level. Similarly, if the chamber 220 pressure drops below the minimum BHU pressure variable value, then controller 210 will increase gas flow through the MFC 265 in order to bring the chamber pressure back up into the normal range, even if this adversely affects the oxygen concentration in the chamber. As a final part of the example, if the BHU 220 pressure ever exceeds the value of the safety chamber pressure variable, then the controller 210 closes the MFC 265, and opens the purge valve 270. Accordingly, with no gas inflow and the purge valve open, excess pressure should generally bleed off quickly. In the present embodiment 200, such a condition rarely (if ever) occurs during normal operation. Nonetheless, such functionality is provided for the controller 210 in order to ensure system safety.
It should be understood that the particular variables mentioned above, as well as the particular values given, are exemplary only. Alternative embodiments may employ different variables, or may use different values for such variables. Further, alternative embodiments may vary the values of one or more variables based on environmental or other changing conditions. Accordingly, the above information is provided by way of example and not limitation.
4. Operation of the Embodiment Figure 3 is a table 300 displaying an exemplary set of constants used by the proportional/integral/derivative controller 110 of the embodiment 100 of Fig. 1. (These constants may be used by the embodiment 200 of Fig. 2, as well.) It should be understood that these constants may vary in alternative embodiments, and may be zero or non-zero values, as necessary. Generally, a zero value for any given variable suppresses the operation of that portion (i.e., proportional, integral, or derivative) of the controller 210.
Generally, the table 300 is divided into three rows and six columns. The uppermost row represents the values for constants when the chamber 120 pressure is below the minimum chamber pressure variable. The middle row depicts constant values when the chamber pressure is in between the minimum and maximum chamber pressure variables. The bottommost, and final, row represents the values for constants when the chamber 120 pressure exceeds the maximum chamber pressure variable. Generally, the columns represent different constants. For example, the "O2-
P" column represents the proportional oxygen band, discussed below with respect to step 435 of Fig. 4. The "O2-I" column represents an oxygen integral constant, discussed below with respect to step 450 of Fig. 4. The "O2-D" column represents an oxygen derivative constant, which may be used by the controller 110 in some embodiments to adjust gas flow through the MFC 165. The "Press-P" column represents a proportional pressure constant. As with the oxygen derivative constant, this constant may be used by an embodiment of the present invention to control gas flow through the MFC 165, and correspondingly control oxygen density and overall pressure within the chamber 120. The "Press-I" column represents a pressure integral constant, which may be used for similar control calculations by the controller 110. Finally, the "Press-D" column represents a derivative pressure constant, which may also be used by the controller 110 to calculate a gas flow through the MFC.
The values shown in Fig. 3's table 300 are exemplary for the present embodiment 100. The zero values in all three rows of the "O2-D", "Press-P", and "Press-D" columns indicate that these particular constants are not employed by the embodiment. Alternative embodiments, however, may make use of such constants.
Figure 4 displays a flowchart detailing the general operation of the embodiment 100 shown in Fig. 1. This flowchart generally applies to the embodiment shown in Fig. 2, as well. The steps shown in Fig. 4 are typically executed by a software or hardware system logic. Such a system logic is often (though not always) implemented as part of the proportional/integral/derivative controller 110.
The operational process begins in step 400, where the PID controller 110 opens the purge valve 170, and nitrogen begins flowing through MFC 165.
Once step 400 is completed, the embodiment 100 executes a loop comprising steps 405 to 465. This is typically executed on a periodic basis for a set period of time or after a certain time period has passed, for example in one embodiment the loop is executed about every four seconds. Alternative embodiments include executing the loop in response to a trigger, such as a change in an environmental variable. Exemplary triggers may include a variable being exceed or a user-initiated command. In yet another alternative embodiment this loop may be executed only once, or it may executed a set number of times.
First, in step 405, the embodiment 100 determines if the chamber 120 pressure exceeds the value of the safety chamber pressure variable, mentioned above. If so, the embodiment executes step 410. In step 410, the controller 110 closes the MFC 165 and opens the purge valve 170. After completing step 410, the embodiment 100 executes step 465, in which it waits for the next iteration of the loop. If the embodiment 100 determines the chamber 120 pressure is below the safety chamber pressure variable's set value, then step 415 is executed. In step 415, it is determined if the oxygen concentration exceeds the maximum oxygen variable's value. If so, then step 420 is executed and the purge valve 170 is opened (if it is not already open). After step 420, the embodiment executes step 435, as detailed below.
If the oxygen density does not exceed the maximum oxygen level variable in step 415, then step 425 is executed. In this step, the embodiment 100 determines whether the oxygen concentration in the chamber 120 is less than the warning oxygen level variable's value. If the oxygen concentration is above this value, step 435 is accessed. Otherwise, step 430 is executed. In step 430, the controller 110 closes the purge valve 170, if it is open.
In step 435, the embodiment 100 determines a "proportional contribution" for the gas flow through the MFC 165. This proportional contribution is based on the current chamber 120 oxygen concentration. The proportional contribution generally equals the difference between the current oxygen level and the desired oxygen level, divided by the size of an "oxygen proportional band." The oxygen proportional band is a user-changeable parameter that defines a range in which the proportional term of the controller 110 responds in a proportional manner.
Mathematically expressed, the proportional contribution may be determined in the following manner:
Pc = (O2C - O2D )/ O2P; where
Pc = proportional contribution;
O2C = current chamber 120 oxygen concentration;
O2D = desired chamber 120 oxygen concentration (i.e., the "desired oxygen level" variable discussed above); and O2P = oxygen proportional band (in the present embodiment 100, shown in column "O2-P" above).
As an example, the proportional band in an embodiment of the present invention may be 1000 ppm (as opposed to the 5000 shown in Fig. 3), while a desired oxygen level variable might be 10 ppm. Accordingly, a current chamber oxygen concentration of 110 ppm would result in a proportional contribution of 10% of the MFC maximum flow rate:
(110 - 10)/1000 = 10% of MFC flow capacity After step 435 is completed, the embodiment 100 determines in step 445 if the current chamber 120 pressure is between the minimum chamber pressure and maximum chamber pressure variables. If the pressure is within this normal range, then step 450 is executed.
In step 450, the embodiment 100 calculates an "integral contribution." The integral contribution is used by the controller 110 to at least partially control gas flow through the MFC 165. Generally, the integral contribution represents a gas flow into the chamber 120, expressed here as a percentage of the maximum gas flow through the MFC 165. The integral and proportional contributions are generally used to maintain operating parameters within the chamber 120. "Operating parameters" here generally refer to a chamber 120 oxygen density and a chamber pressure. The proportional and integral contributions together assist in determining a gas flow rate through the MFC 165, as explained in more detail in step 460.
Continuing with the discussion of step 450, the embodiment computes the integral contribution by taking the difference between the current chamber 120 oxygen concentration and the desired oxygen concentration in the chamber, then multiplying it by an oxygen integral constant. This formula may be mathematically expressed as follows:
Ic = (O2C - O2D )* O2I; where
Ic = integral contribution; O2C = current chamber 120 oxygen concentration;
O2D = desired chamber 120 oxygen concentration (i.e., the "desired oxygen level" variable discussed above); and
O2I= oxygen integral constant (in the present embodiment 100, shown in Fig. 3 in the "O2-I" column). As an example, if the current chamber 120 oxygen level is 110 ppm and an embodiment employs an oxygen integral constant of 0.01 and a desired oxygen level variable of 10 ppm, the integral contribution is:
(110 - 10) * 0.01 = 1% of MFC flow capacity
If the embodiment 100 determines in step 445 that the chamber 120 pressure is outside the normal range, then step 440 is executed. In step 440, the embodiment calculates the integral contribution to be added for this loop iteration based on the chamber pressure (as opposed to basing the integral contribution on oxygen density, as in step 450). In step 440, the embodiment 100 calculates the difference between the current chamber 120 pressure and the minimum pressure (if the current chamber pressure is below the minimum pressure variable) or maximum pressure (if the current chamber pressure is above the maximum pressure), and multiplies this difference by a pressure integral constant. The resulting number is the integral contribution. Mathematically expressed, the formula for the integral constant, when the current chamber pressure is less than the minimum chamber pressure variable: Ic = (Cvar - Ccurr )* PI; where
Ic = integral contribution;
Cvar = chamber pressure variable exceeded (either the minimum or maximum chamber pressure variables discussed in Section 3., above); Ccuπ- = curcent chamber 120 pressure; and
PI= pressure integral constant (in the present embodiment 100, shown in Fig. 3 in the "Press-I" column).
For example, in an embodiment with a current chamber 120 pressure of 1.9 Torr, a minimum chamber pressure variable of 2 Torr, and a pressure integral constant of 0.1, the integral contribution is:
(2 - 1.9) * 0.1 = 1% of MFC flow capacity
Another example may be helpful. In an embodiment having a maximum chamber pressure variable set to 4 Torr, a current chamber 120 pressure of 4.2 Ton, and a pressure integral constant of .1, the integral proportion would be: (4.0 - 4.2) * 0.1 = -2% of MFC flow capacity.
Once the integral contribution is determined in either step 440 or step 450, step 455 is executed. In this step, the embodiment 100 adds the integral contribution calculated to an "integral sum." The integral sum is the sum of all integral contributions calculated in previous loop iterations. Accordingly, the integral sum integrates the integral contributions over successive loop iterations. Using the above example where the integral contribution was -2%, and assuming a previous integral sum of 65%, the new integral sum would be 63%. Generally, a rise in the integral sum represents an increase in gas flow through the MFC 165, while a decrease in the integral sum represents a reduction in the flow through the MFC.
After step 455 is completed, step 460 is executed. In step 460, the embodiment 100 determines the final flow rate (or "operating percentage") for the MFC for the particular loop iteration. The flow controller 165, in turn, implements the operating percentage by adjusting the position of the MFC. Generally, the MFC 165 flow rate is calculated by adding the proportional term calculated in step 435 to the integral sum calculated in step 455. Continuing the example above, the proportional term was set to 10% in step 435, and the integral sum set to 63% in step 455. Accordingly, the new MFC 165 operating percentage is 73% of its maximum possible flow. Thus, the flow controller 165 sets the MFC 73% open.
After step 460 is completed, step 465 is executed. In this step, the embodiment 100 waits for a timer to expire to initiate the next loop cycle.
In an alternative embodiment in which the loop is executed in response to a trigger, such as a change in either the oxygen density or pressure inside the chamber 120, once the trigger is detected, the loop begins again at step 405. Such changes typically occur, due to the controller's 110 adjustment of the positioning of the MFC 165
5. Conclusion As will be recognized by those skilled in the art from the foregoing description of sample embodiments of the invention, numerous variations on the described embodiments may be made without departing from the spirit and scope of the invention. For example, a gaseous control system may use different setpoints, flow controller, implementations of a PID/PI controller, and so on. Further, while the present invention has been described in the context of specific embodiments and processes, such descriptions are by way of example and not limitation. Accordingly, the proper scope of the present invention is specified by the following claims and not by the preceding examples.

Claims

CLAIMSI claim:
1. An apparatus for controlling a chamber pressure and first gas density within a chamber, comprising:
A first gas density sensor; A second pressure sensor;
A control means connected to the first and second sensors, the control means receiving data regarding the first gas density and pressure from the first and second sensors;
A flow controller connected to the controller, the flow controller regulating flow of a second gas into the chamber.
2. The apparatus of claim 1, further comprising: a purge valve connected to the control means, the purge valve regulating atmospheric gas flow from the chamber; wherein the control means is operative to adjust the position of the flow controller in response to a signal from one of the first and second sensors.
3. The apparatus of claim 2, wherein the control means is further operative to adjust the position of the purge valve in response to a signal from one of the first and second sensors.
4. The apparatus of claim 1, wherein the control means comprises a proportional/integral/derivative controller.
5. The apparatus of claim 4, wherein the first and second sensors are integrated with one another.
6. The apparatus of claim 4, wherein the flow controller is a mass flow controller.
7. The apparatus of claim 6, wherein the mass flow controller may be partially adjusted between open and closed positions.
8. The apparatus of claim 2, wherein the signal is chosen from the group consisting of an indication that the first gas density has gone above a threshold value, and an indication that the first gas density has gone below a threshold value.
9. The apparatus of claim 8, wherein: an operating position of the purge valve is continuously variable between open and closed; and the operating position of the purge valve varies with the signal.
10. The apparatus of claim 7, wherein the first gas and seconds gas are different gases.
11. The apparatus of claim 10, wherein the first gas comprises oxygen.
12. The apparatus of claim 11, wherein the second gas comprises nitrogen.
13. The apparatus of claim 4, wherein the control means further comprises: a system logic operatively connected to the controller; and a first and second setpoint operatively connected to the system logic.
14. The apparatus of claim 13, wherein: the first setpoint comprises a first chamber pressure setpoint; the second setpoint comprises a second chamber pressure setpoint; the first and second chamber pressure setpoints define a first chamber pressure range extending from zero to the first chamber pressure setpoint, a second chamber pressure range extending from the first chamber pressure setpoint to the second chamber pressure setpoint, and a third chamber pressure range extending from the second chamber pressure setpoint to infinity; the controller comprises a proportional/integral/derivative controller; the system logic further comprises a first, second, and third set of tuning constants operatively connected to the proportional/integral/derivative controller; and the first, second, and third set of tuning constants conespond to the first second, and third chamber pressure ranges.
15. The apparatus of claim 14, wherein one of the tuning constants in one of the first, second, and third sets of tuning constants is zero.
16. The apparatus of claim 15, wherein: a first integral term of the proportional/integral/derivative control is assigned to the chamber pressure; and the first integral term is non-zero when the chamber pressure is within the second chamber pressure range, and zero otherwise.
17. The apparatus of claim 16, wherein: a second integral term of the proportional/integral/derivative control is assigned to a chamber oxygen level; and the second integral term is non-zero when the chamber pressure is within the second chamber pressure range, and zero otherwise.
18. The apparatus of claims 16 and 23, wherein the chamber is a boat handling unit in a semiconductor manufacturing environment.
19. A method for regulating an operational parameter of a chamber, comprising: monitoring a first gas density; monitoring a chamber pressure; calculating a proportional contribution based on the first gas density; determining whether the chamber pressure is within a normal pressure range; in the event the chamber pressure is not within the normal pressure range, calculating an integral contribution based on the first gas density; and adjusting the operational parameter based on the proportional contribution and integral contribution.
20. The method of claim 19, further comprising the step of, in the event the chamber pressure is within the normal pressure range, calculating an integral contribution based on the chamber pressure.
21. The method of claim 20, wherein the step of adjusting the operational parameter comprises: summing the integral contribution with a sum of previously- calculated integral proportions to calculate an integral sum; summing the proportional contribution with the integral sum to determine an operating percentage; and adjusting the operational parameter based on the operating percentage.
22. The method of claim 21 , wherein the operational parameter is chosen from the group consisting of first gas density and chamber pressure.
23. The method of claim 22, wherein the step of adjusting the operational parameter based on the operating percentage comprises opening a flow path into the chamber by a percentage equal to the operating percentage.
24. The method of claim 22, wherein the step of adjusting the operational parameter based on the operating percentage comprises introducing a second gas into the chamber.
25. The method of claim 24, wherein: the first gas is oxygen; and the second gas is nitrogen.
26. The method of claim 21 , further comprising: determining whether the first gas density exceeds a maximum first gas density; and in the event the first gas density exceeds the maximum first gas density, reducing the first gas density.
27. The method of claim 21 , further comprising: determining whether the first gas density is less than a warning first gas density; and in the event the first gas density is less than the warning first gas density, increasing the first gas density.
28. The method of claim 20, further comprising: determining whether the chamber pressure exceeds a safety threshold; in the event the chamber pressure exceeds the safety threshold, reducing the chamber pressure; and further in response to the chamber pressure exceeding the safety threshold, failing to adjust the operational parameter based on the proportional contribution and integral contribution.
PCT/US2003/021646 2002-07-15 2003-07-10 Control of a gaseous environment in a wafer loading chamber WO2004008008A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003249029A AU2003249029A1 (en) 2002-07-15 2003-07-10 Control of a gaseous environment in a wafer loading chamber

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US60/396,536 2002-07-15
US42852602P 2002-11-22 2002-11-22
US60/428,526 2002-11-22

Publications (2)

Publication Number Publication Date
WO2004008008A2 true WO2004008008A2 (en) 2004-01-22
WO2004008008A3 WO2004008008A3 (en) 2004-12-16

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
PCT/US2003/021648 WO2004008054A1 (en) 2002-07-15 2003-07-10 Variable heater element for low to high temperature ranges
PCT/US2003/021644 WO2004007800A1 (en) 2002-07-15 2003-07-10 Thermal processing apparatus and method for evacuating a process chamber
PCT/US2003/021647 WO2004008494A2 (en) 2002-07-15 2003-07-10 Servomotor control system and method in a semiconductor manufacturing environment
PCT/US2003/021646 WO2004008008A2 (en) 2002-07-15 2003-07-10 Control of a gaseous environment in a wafer loading chamber
PCT/US2003/021645 WO2004008052A2 (en) 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus
PCT/US2003/021642 WO2004008493A2 (en) 2002-07-15 2003-07-10 Method and apparatus for supporting semiconductor wafers
PCT/US2003/021575 WO2004008491A2 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber
PCT/US2003/021641 WO2004007105A1 (en) 2002-07-15 2003-07-10 Apparatus and method for backfilling a semiconductor wafer process chamber
PCT/US2003/021973 WO2004007318A2 (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof

Family Applications Before (3)

Application Number Title Priority Date Filing Date
PCT/US2003/021648 WO2004008054A1 (en) 2002-07-15 2003-07-10 Variable heater element for low to high temperature ranges
PCT/US2003/021644 WO2004007800A1 (en) 2002-07-15 2003-07-10 Thermal processing apparatus and method for evacuating a process chamber
PCT/US2003/021647 WO2004008494A2 (en) 2002-07-15 2003-07-10 Servomotor control system and method in a semiconductor manufacturing environment

Family Applications After (5)

Application Number Title Priority Date Filing Date
PCT/US2003/021645 WO2004008052A2 (en) 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus
PCT/US2003/021642 WO2004008493A2 (en) 2002-07-15 2003-07-10 Method and apparatus for supporting semiconductor wafers
PCT/US2003/021575 WO2004008491A2 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber
PCT/US2003/021641 WO2004007105A1 (en) 2002-07-15 2003-07-10 Apparatus and method for backfilling a semiconductor wafer process chamber
PCT/US2003/021973 WO2004007318A2 (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof

Country Status (6)

Country Link
EP (2) EP1522090A4 (en)
JP (2) JP2005533378A (en)
CN (1) CN1643322A (en)
AU (9) AU2003249030A1 (en)
TW (9) TW200419890A (en)
WO (9) WO2004008054A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014151475A1 (en) * 2013-03-15 2014-09-25 Watkins Bobby G Ii Flow control and gas metering process
US10818525B2 (en) 2017-03-03 2020-10-27 Applied Materials, Inc. Ambient controlled transfer module and process system

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (en) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusion furnace and method for generating a gas flow
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (en) * 2008-03-31 2012-02-29 株式会社山武 Flow control system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (en) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 Linkage system
KR101877494B1 (en) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 Vacuum heat treatment apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (en) * 2012-09-17 2014-09-18 주식회사 유진테크 Apparatus for processing substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20160348240A1 (en) * 2014-01-27 2016-12-01 Applied Materials, Inc High speed epi system and chamber concepts
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (en) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
JP6143964B1 (en) * 2016-01-25 2017-06-07 三菱電機株式会社 Control device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
FR3057391B1 (en) * 2016-10-11 2019-03-29 Soitec THERMAL TREATMENT EQUIPMENT WITH COLLECTING DEVICE
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102127130B1 (en) * 2017-02-17 2020-06-26 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing device, manufacturing method and program of semiconductor device
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (en) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019099125A1 (en) * 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (en) * 2018-07-30 2023-01-18 Tdk株式会社 Load port device, semiconductor manufacturing device, and method for controlling atmosphere in pod
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy Substrate processing apparatus and method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (en) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 High Pressure Chamber to Improve Cooling Efficiency
CN114990299B (en) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788448A (en) * 1994-12-08 1998-08-04 Tokyo Electron Limited Processing apparatus
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPH088220B2 (en) * 1988-09-05 1996-01-29 株式会社日立製作所 Semiconductor wafer heat treatment apparatus and heat treatment method
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
DE3885833T2 (en) * 1987-09-22 1994-03-24 Nec Corp Chemical vapor deposition apparatus for the production of high quality epitaxial layers with a uniform density.
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH02130943A (en) * 1988-11-11 1990-05-18 Tel Sagami Ltd Accommodation jig
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (en) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch METHOD FOR THERMALLY TREATING SEMICONDUCTOR MATERIALS AND DEVICE FOR CARRYING OUT THE SAME
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (en) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 Method of forming doped thin film
JP3040212B2 (en) * 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
DE69221152T2 (en) * 1992-05-15 1998-02-19 Shinetsu Quartz Prod VERTICAL HEAT TREATMENT DEVICE AND HEAT INSULATION MATERIAL
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (en) * 1993-07-24 2000-03-21 ヤマハ株式会社 Vertical heat treatment furnace and heat treatment method
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (en) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (en) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat insulation structure thereof, and heat shield plate
JP3423131B2 (en) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 Heat treatment equipment and treatment equipment
JPH09306980A (en) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd Vertical wafer boat
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
DE69940161D1 (en) * 1998-06-18 2009-02-05 Kline & Walker L L C AUTOMATIC DEVICE FOR MONITORING EQUIPPED OPTIONS AND MACHINES WORLDWIDE
JP3487497B2 (en) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 Object to be processed accommodation jig and heat treatment apparatus using the same
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (en) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd Substrate treating system
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (en) * 2000-01-21 2003-05-12 株式会社真空技研 Ultra high temperature heat treatment equipment
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (en) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (en) * 2002-04-01 2012-02-08 株式会社エフティーエル Semiconductor device manufacturing equipment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788448A (en) * 1994-12-08 1998-08-04 Tokyo Electron Limited Processing apparatus
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014151475A1 (en) * 2013-03-15 2014-09-25 Watkins Bobby G Ii Flow control and gas metering process
US10386019B2 (en) 2013-03-15 2019-08-20 Southwire Company, Llc Flow control and gas metering process
US10969060B2 (en) 2013-03-15 2021-04-06 Southwire Company, Llc Flow control and gas metering process
US10818525B2 (en) 2017-03-03 2020-10-27 Applied Materials, Inc. Ambient controlled transfer module and process system

Also Published As

Publication number Publication date
TW200416775A (en) 2004-09-01
AU2003249028A1 (en) 2004-02-02
EP1522090A2 (en) 2005-04-13
TW200416774A (en) 2004-09-01
AU2003253874A1 (en) 2004-02-02
TW200406818A (en) 2004-05-01
AU2003256486A8 (en) 2004-02-02
WO2004008491A2 (en) 2004-01-22
CN1643322A (en) 2005-07-20
WO2004008008A3 (en) 2004-12-16
EP1522090A4 (en) 2006-04-05
AU2003259104A1 (en) 2004-02-02
EP1540258A1 (en) 2005-06-15
JP2005533232A (en) 2005-11-04
WO2004008054A9 (en) 2005-01-13
WO2004008493A3 (en) 2004-05-27
AU2003253907A1 (en) 2004-02-02
WO2004008494A2 (en) 2004-01-22
AU2003256487A1 (en) 2004-02-02
AU2003253874A8 (en) 2004-02-02
AU2003249029A8 (en) 2004-02-02
TW200419890A (en) 2004-10-01
AU2003249029A1 (en) 2004-02-02
TW200411717A (en) 2004-07-01
TW200405401A (en) 2004-04-01
AU2003259104A8 (en) 2004-02-02
WO2004007318A3 (en) 2004-08-05
WO2004008493A2 (en) 2004-01-22
WO2004008054A1 (en) 2004-01-22
WO2004007800A9 (en) 2005-01-13
TW200416773A (en) 2004-09-01
WO2004008052A3 (en) 2004-05-13
WO2004007318A2 (en) 2004-01-22
AU2003249030A8 (en) 2004-02-02
AU2003253873A1 (en) 2004-02-02
AU2003256486A1 (en) 2004-02-02
TW200411960A (en) 2004-07-01
WO2004008491A3 (en) 2004-06-03
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
WO2004007105A1 (en) 2004-01-22
WO2004008493A9 (en) 2004-07-22
AU2003253907A8 (en) 2004-02-02
JP2005533378A (en) 2005-11-04
TW200409176A (en) 2004-06-01
AU2003249030A1 (en) 2004-02-02
WO2004008052A2 (en) 2004-01-22

Similar Documents

Publication Publication Date Title
WO2004008008A2 (en) Control of a gaseous environment in a wafer loading chamber
US6494959B1 (en) Process and apparatus for cleaning a silicon surface
KR101003446B1 (en) Substrate processing apparatus and substrate processing method
US20230383411A1 (en) Substrate processing apparatus and non-transitory computer-readable recording medium
JP5075819B2 (en) Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
JP2004510221A (en) Apparatus and method for maintaining pressure in a controlled environment chamber
US20190267267A1 (en) Method of manufacturing semiconductor device, method of controlling temperature and non-transitory computer-readable recording medium
US20230377914A1 (en) Annealing apparatus and method of operating the same
KR102281717B1 (en) Vacuum processing apparatus and method of controlling vacuum processing apparatus
EP1235262B1 (en) Heat treatment device
JP2003045867A (en) Heat treatment system
JP7138238B2 (en) SUBSTRATE PROCESSING APPARATUS, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND PROGRAM
JPH08172084A (en) Formation of semiconductor film and device thereof
KR102585505B1 (en) Exhaust device, processing system, and processing method
US7211514B2 (en) Heat-processing method for semiconductor process under a vacuum pressure
US7125811B2 (en) Oxidation method for semiconductor process
US7615251B2 (en) Processing device using shower head structure and processing method
US20070128878A1 (en) Substrate processing apparatus and method for producing a semiconductor device
JP2005136370A (en) Substrate-processing equipment
KR200264228Y1 (en) Process chamber of a rapid thermal processing apparatus
JP4610908B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
WO2023238707A1 (en) Substrate processing device, control system, and control method
JP2003017434A (en) Method and device for heat treatment
JP2007234935A (en) Manufacturing method of semiconductor device, and substrate-treating device
JPH03225824A (en) Single wafer surface processing system for semiconductor substrate

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase in:

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP