TW200416774A - Apparatus and method for backfilling a semiconductor wafer process chamber - Google Patents

Apparatus and method for backfilling a semiconductor wafer process chamber Download PDF

Info

Publication number
TW200416774A
TW200416774A TW92119299A TW92119299A TW200416774A TW 200416774 A TW200416774 A TW 200416774A TW 92119299 A TW92119299 A TW 92119299A TW 92119299 A TW92119299 A TW 92119299A TW 200416774 A TW200416774 A TW 200416774A
Authority
TW
Taiwan
Prior art keywords
gas
processing chamber
item
patent application
scope
Prior art date
Application number
TW92119299A
Other languages
Chinese (zh)
Inventor
Amir Torkaman
Original Assignee
Asml Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Us Inc filed Critical Asml Us Inc
Publication of TW200416774A publication Critical patent/TW200416774A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Abstract

Various backfill injectors that provide upflowing gas and have improved uniformity of distribution of gas into the perimeter of the process chamber are provided for use in semiconductor wafer processing apparatus. Suitably optimized backfill trajectories that avoid excessive injection velocity of the purge gas are also provided. The backfill injectors and/or other types of injectors as well as the optimized injection trajectories significantly reduce processing cycle times and improve process uniformity.

Description

200416774 ⑴ 玖、發明說明 【發明所屬之技術領域】 本發明乃有關一種用以回塡半導體晶圓處理室之裝置 及方法。 發明部份 本發明係有關半導體裝備及處理,且更特別有關用 以回塡半導體晶圓處理室之裝置及方法。 【先前技術】 有關技藝之說明 具有處理室之多種裝置用於製造半導體晶圓上之積體 電路(’’1 c π)。半導體晶圓之熱處理涉及諸如沉積,蝕刻 ,熱處理,退火,擴散等之處理。所有此等在處理室中執 行。一些處理,諸如蝕刻及化學蒸氣沉積(,,CVd,,)在 處理室中在低壓或真空條件下執行。 在涉及低壓或真空條件之處理中,在晶圓裝上並推 進於處理室中後,處理室自初始壓力抽氣至操作壓力。例 如’處理室初始可在大氣壓力,以裝入晶圓,然後抽氣至 數t 〇 rr範圍之操作壓力。處理之初始抽氣週期有時稱 爲”抽降及穩定週期。 當完成晶圓處理時’執行一 ’,洗滌及冷卻”週期 ,隨後一 ”回塡及冷卻’’週期。在此等週期中,處 理室中之壓力自操作壓力回升至初始壓力,例如回升至大 -4 - (2) (2)200416774 氣壓力,俾可自處理室中拉出已處理之晶圓。洗滌及回塡 週期普通由注射惰性氣體,諸如氮於處理室中達成,處理 室在回塡週期中回升至所需之壓力。 需儘速完成回塡程序,俾在製造程序期間中,達成 最少之整個週期時間。普通方法欲由增加洗滌氣體注入於 處理室中之速度,提高洗滌及回塡之速度。但此提高量因 需要避免由太快之洗滌氣體注射速度產生微粒污染而受限 制。在回塡程序之期間中,微粒污染對晶圓具有重大有害 之物理影響。 【發明內容】 本發明之一實施例爲一種半導體晶圓處理裝置,包 含一處理室用以處理一批至少一半導體晶圓;一氣體注射 器包含一大致均勻環形分佈之氣體注射位置,用以注射氣 體於處理室中該批晶圓周圍;及一空腔與氣體注射位置在 氣體流上連通;及一氣體進入口與該空腔在氣體流上連通 〇 本發明之另一實施例爲一種半導體晶圓處理裝置,包 含一垂直處理室;一環形及大致均勻分佈之氣體注射位置 與處理室在垂直氣體流上連通;一氣體流槽道與氣體注射 位置在氣體流上連通;及一氣體進入口與氣體流槽道在氣 體流上連通。 本發明之另一實施例爲一種半導體晶圓處理裝置, 包含:一垂直處理室具有一內管及外管,內管界定一垂直 (3) (3)200416774 反應區,及內及外管界定一環形通道,用以排出反應區中 之氣體;一充氣間具有一短圓筒形狀,具有一開口通過用 以引進晶圓載具,一外環形支持座用以支持外管,及一內 環形支持座用以支持內管;一注射器包含一大體環形氣體 流槽道置於充氣間內,在其中開口周圍;及多個注射口大 致均勻分佈於氣體流槽道頂上,並與其在氣體流上連通, 並置於內管內,用以引進氣體於反應區中;一氣體入口與 氣體流槽道在氣體流上連通,用以提供一氣體於此;及 —排放口與環形通道在氣體流上連通。 本發明之另一實施例爲一種在回塡周期之期間中回塡 半導體晶圓處理裝置之處理室之方法,包括決定不產生不 可接受之微粒污染於處理室中之最大流動量;在回塡週期 之期間中,自多個注射位置引進惰性氣於處理室中;及在 整個回塡週期中’大致以最大流動量供應惰性氣體於注射 位置,俾在處理室中之壓力約以第二階多項式隨時間增加 〇 本發明之另一實施例爲一種在回塡周期之期間中回塡 半導體晶圓處理裝置之處理室之方法,包括決定不產生不 可接受之微粒污染於處理室中之最大流速;在回塡週期之 期間中,自多個注射位置引進惰性氣體於處理室中;及在 整個回塡週期中,大致以最大流速供應惰性氣體於注射位 置’俾在處理室中之壓力成指數隨時間增加。 本發明之另一實施例爲一種在回塡周期之期間中回塡 半導體晶圓處理裝置之處理室之方法,包括決定不產生不 -6- (4) (4)200416774 可接受之微粒污染於處理室中之最大Reynolds數;在回塡 週期之期間中,自多個注射位置引進惰性氣於處理室中; 及在整個回塡週期中,大致以最大 R e y η ο 1 d s數供應惰 性氣體於注射位置,俾在處理室中之壓力約成線性隨時間 增力口。 本發明之另一實施例爲一種回塡處理室之方法,包 含決定不產生微粒污染於處理室中之最大流速;及在回塡 期間中,大致以最大流速引進惰性氣體於處理室中。在另 一實施例,引進步驟包含依以下控制通過導管之質量流率 m = (P〇AV/RT)e(AV/v)l 本發明之另一實施例爲一種回塡處理室之方法,包 含決定不產生微粒污染於處理室中之最大動量;及在回塡 期間中,大致以最大流動量引進惰性氣體於處理室中。在 另一實施例,引進步驟包含依以下控制通過導管之質量流 率: m = (MA/2 V)t 本發明之另一實施例爲一種回塡處理室之方法,包 含決定不產生微粒污染於處理室中之最大 Reynolds數; 及在回塡期間中,大致以最大 Reynolds數引進惰性氣 體於處理室中。在另一實施例,引進步驟包含依以下控制 (5) (5)200416774 通過導管之質量流率: m =常數 【實施方式】 此處說明各種創新之回塡注射器,用於半導體晶圓 處理裝置,此提供上流氣體,並具有改良之均勻分佈氣體 於處理室之周邊中,以及適當最佳化之回塡軌道,此避免 洗滌氣體之過度注射速度。此處所述之回塡注射器及/ 或其他型式之注射器以及注射軌道大爲減少處理週期時間 ,並提高處理均勻性。 圖 1顯示一圖解之熱處理裝置 100,具有一普通充 氣間 1〇1。熱處理裝置 100具有一垂直處理室 102 封 閉於一外管 1 2 2內(圖解一石英鐘罐),及充氣室 101 ,外管 122 由適當之密封件,諸如 0 環密封於此。 外管 122可爲任何材料所製,此能耐熱及高溫之機械應 力及真空操作,並抵抗處理期間所用或釋放之氣體及蒸氣 之腐鈾。外管 1 22宜爲石英或碳化矽所製。充氣間 1 〇 ] 可爲任何材料所製,此能耐高溫之熱及機械應力及真空操 作,並抵抗處理期間所用或所釋放之氣體及蒸氣之腐蝕。 充氣間1〇1宜爲不銹鋼或石英所製。一入口設置於處理 室 1 02之底部,用以輸送在可移動基座 n 8上攜帶 一批晶圓 116之載具或船 114進出處理室 ]〇2。 雖 批次之大小可自]至約 2 0 0晶圓个等,但所示之批次 (6) (6)200416774 大小爲 25產品晶圓,3監視晶圓,及 2阻隔晶圓。 當在升高位置,以成封閉之處理室 1 02時,基座 118 氣密密封於充氣間 1 0 1中。 處理室 1 0 2包含一內管或襯裏 1 2 0,此在下端開放 ,並由密封件,諸如 0 環氣密密封於充氣間 1 〇 1。襯 裏 1 2 0亦在其上端至少部份開放。攜帶晶圓1 1 6之船 114包圍於襯裏 120內。一環形通道 124形成於內 及外管1 2 0及1 22之間,用以向下排放處理及洗滌氣體 〇 圖 2另詳細顯示一充氣間 1 〇 4,具有充氣間 1 0 1 ( 圖 1 )之許多特色,但另具有注射器 1 〇 6安裝於氣體流 槽道 140(圖 3)上方。充氣間 104製成短圓筒形狀 ,具有向外伸出之上凸緣 128,一側壁 132, 及一向 內伸出之底座130。 上凸緣 128適於接受並支持外管 1 2 2,並包含一 〇環 1 2 6,以氣密密封外管1 2 2於上 凸緣 128。底座 130適於接受並支持襯裏 120’並包 含一注射器1 〇 6安裝於支持襯裏1 2 0處之內側。注射 器 106均勻引進洗滌氣體於處理室1〇2中’且如需要 ,可在處理期間中用以引進處理氣體於處理室 102中° 注射器 1 06 設置用以注射洗滌氣體於船 1 1 4 及@胃 1 2 0間之處理室1 〇2之部份中。 充氣間 1 0 4包含各種埠口。璋口 1 3 8及 1 3 9爲 回塡及洗滌氣體進入口。 埠口 134爲來自反應室102 之廢氣之排放口。排放口 ] 3 4設置與內及外管I 2 0及 冬 (7) (7)200416774 122 間所形成之環形通道 124 相通,並連接至一真空 管線及一泵系統(未顯示)。埠口 1 3 5及 1 3 6設置 用以循環冷卻流體於充氣間1 0 4中。埠口 1 3 7爲壓力 口,用以監視處理室 1 0 2內之壓力。埠口 1 3 ](大爲部 爲排放口 1 3 4所掩蔽)及埠口 1 3 3爲垂直注射氣體進 入口。埠口 1 0 3 (圖 1 )爲三點輪廓熱電偶口。雖各埠 口可設計用於各種氣體流率,但注射口 1 3 1及 1 3 3及 回塡/洗滌口 1 3 8及 1 3 9各可高至每分鐘 1 0標準升 ,以協助室回塡,室洗滌,及晶圓冷卻。 回塡 /洗滌口 138及 139設置於充氣間 104之 側壁 132。 主要在引進氣體自供應源(未顯示)至注 射器 1 06。一質量流率控制器(未顯示)或任何其他適 當流率控制器置於氣體供應源及埠口 1 3 3 之間,以控 制氣體流進注射器 106中。注射器 106 可用以引進 上流構造中之氣體於處理室 1 〇 7中,以洗滌及冷卻處理 室 1〇2,以及回塡處理室 102自處理操作壓力至大氣壓 力。然而,注射器 106亦可用以引進處理氣體於上流 構造中,以處理例如在 CDV處理中之半導體晶圓。 注射器 106包含一大致環形氣體流槽道 140(圖 3)及一環 143,其中安裝若干注射口 144 大致均勻分 佈於氣體流槽道 1 40頂上。例如,該環 143熔接於充 氣間 104。多個注射口 144用以提供均勻引進氣體於處 理室 102中。可使用任何所需數量之注射口,以達成預 定之目的,例如8至1 2注射器適用於設計用以處理 -10- (8) (8)200416774 一批次約 1至 2 0 0半導體晶圓之熱處理裝置。注射 口 144例如爲管形口式,具有內直徑爲 0.381毫米 及長度爲 2 5毫米,具有 1 1此埠口均勻間隔安排於直 徑 3 5 . 6 9 厘米之一圓周圍。氣體流槽道 1 4 0沿底座 130之內周邊 142設置,且與回塡氣體入口 138及 1 3 9在氣體流上連通,俾以均勻壓力移送氣體至注射P I44。 使用二回塡 /洗滌氣體入口, 因爲氣體流槽道 1 4 〇在排放口 1 3 4之區域中並不連續,即是,此並不延 伸於排放口 1 3 4下方。如需要,可使用連續之氣體流槽 道。可使用任何橫斷面形狀之氣體流槽道 1 40,包括圖 4 所示之方形,圖 4至圖 6所示之正方形,及其他 形狀,諸如圓或橢圓形(未顯示)。例如,在圖 3之實 施例中,氣體流槽道具有 1 3 · 7 4 吋內直徑,]4.3 6 4吋 外直徑,及 〇. 5 吋深度。 注射器 1 〇 6可由多種不同方法之任〜製造,以達成 均勻引進氣體於處理室 102中之用途。在一實施例( 未顯示),使用多孔性材料,諸如繞結材料之插塞,以取 代管形孔1 44。 多個開口在氣體流槽道1 4 0頂上大致 均勻分開,且具有直徑大於氣體流槽道1 40之寬度, 俾可相對配合及支持燒結材料插塞於此等開口中。插塞 可壓方配合於相對開口中或熔接於開口。氣體流槽道 140中所存在之氣體自插塞射出,並均勻引進於處理室 1 02 中。 使用一或更多連續多孔性環之其他實施例顯示於圖 -11 - (9) 200416774 4 至圖 6。在此等實施例中 1 5 0於整個環形氣體流槽道 ’宜設置一連續環形開口 1 4 0 頂上。連續開口 150 具有一橫斷面,具有較之氣體流槽道〗4〇爲大之寬度 15 〇內。處理或洗滌氣 處理室 102 內。在圖 4 所製之一單個連續環。例 具有寬度 0.25 吋及寬 俾連續環裝配並受支持於開Q 體自連續環注射,並均勻引進於 中,多孔性環 1 4 5 爲燒結材料 如,可使用 2微米級燒結金壩200416774 ⑴ 发明, description of the invention [Technical field to which the invention belongs] The present invention relates to an apparatus and method for returning a semiconductor wafer processing chamber. SUMMARY OF THE INVENTION The present invention relates to semiconductor equipment and processing, and more particularly, to an apparatus and method for rewinding a semiconductor wafer processing chamber. [Prior art] Description of technology Various devices with processing chambers are used to manufacture integrated circuits ('' 1 c π) on semiconductor wafers. Heat treatment of semiconductor wafers involves processes such as deposition, etching, heat treatment, annealing, and diffusion. All this is performed in a processing room. Some processes, such as etching and chemical vapor deposition (,, CVd ,,) are performed in a process chamber under low pressure or vacuum conditions. In processing involving low pressure or vacuum conditions, after the wafer is loaded and pushed into the processing chamber, the processing chamber is evacuated from the initial pressure to the operating pressure. For example, the processing chamber may be initially loaded at atmospheric pressure to load a wafer, and then evacuated to an operating pressure in the range of several ton rr. The initial pumping cycle of a process is sometimes referred to as the "pump down and stabilization cycle. When the wafer processing is complete, the" execute one ", wash and cool" cycle is followed by a "return and cool" cycle. Among these cycles The pressure in the processing chamber rises from the operating pressure to the initial pressure, for example, to -4-(2) (2) 200416774 gas pressure, and the processed wafer can be pulled out of the processing chamber. Washing and returning cycles It is usually achieved by injecting an inert gas, such as nitrogen, in the processing chamber, and the processing chamber rises to the required pressure during the recovery cycle. The recovery process needs to be completed as soon as possible, and the minimum total cycle time is achieved during the manufacturing process. The common method is to increase the speed of washing gas injection into the processing chamber, and increase the washing and returning speed. However, this increase amount is limited because of the need to avoid particulate pollution caused by too fast washing gas injection speed. During this period, particulate contamination has a significant harmful physical effect on the wafer. [Summary of the Invention] An embodiment of the present invention is a semiconductor wafer processing apparatus including a processing chamber. To process a batch of at least one semiconductor wafer; a gas injector including a gas injection location in a substantially uniform annular distribution for injecting gas around the batch of wafers in a processing chamber; and a cavity communicating with the gas injection location on a gas flow And a gas inlet communicates with the cavity on the gas flow. Another embodiment of the present invention is a semiconductor wafer processing apparatus including a vertical processing chamber; a circular and substantially uniformly distributed gas injection position and processing chamber; A gas flow channel communicates with the gas injection location on the gas flow; and a gas inlet communicates with the gas flow channel on the gas flow. Another embodiment of the present invention is a semiconductor wafer The processing device includes: a vertical processing chamber having an inner pipe and an outer pipe, the inner pipe defining a vertical (3) (3) 200416774 reaction zone, and the inner and outer pipes defining an annular passage for exhausting gas in the reaction zone ; An inflatable chamber has a short cylindrical shape, has an opening to introduce wafer carriers, an outer ring support seat to support the outer tube, and an inner ring The support seat is used to support the inner tube; a syringe includes a generally annular gas flow channel placed in the inflation chamber around the opening therein; and a plurality of injection ports are approximately evenly distributed on the top of the gas flow channel and are on the gas flow with it Connected and placed in the inner pipe for introducing gas into the reaction zone; a gas inlet communicates with the gas flow channel on the gas flow to provide a gas there; and-the discharge port and the annular channel are on the gas flow Another embodiment of the present invention is a method of resurrecting a processing chamber of a semiconductor wafer processing apparatus during a resurgence cycle, including determining a maximum flow rate that does not generate unacceptable particulate contamination in the processing chamber; During the recovery cycle, inert gas was introduced into the processing chamber from multiple injection locations; and throughout the recovery cycle, the inert gas was supplied to the injection location approximately at the maximum flow rate, and the pressure in the processing chamber was about The second-order polynomial increases with time. Another embodiment of the present invention is a method of rewinding a processing chamber of a semiconductor wafer processing device during a rewind cycle, This includes determining the maximum flow rate that does not produce unacceptable particulate contamination in the processing chamber; introducing inert gas into the processing chamber from multiple injection locations during the recovery cycle; and approximately the maximum flow rate throughout the recovery cycle The supply of inert gas at the injection site 'pressure in the processing chamber increases exponentially over time. Another embodiment of the present invention is a method of retreating a processing chamber of a semiconductor wafer processing apparatus during a resurgence cycle, including deciding not to generate non-contaminant pollution that is not acceptable in the (-6) (4) (4) 200416774. The maximum number of Reynolds in the processing chamber; the introduction of inert gas into the processing chamber from multiple injection positions during the recovery cycle; and the supply of inert gas at a maximum number of Rey η ο 1 ds throughout the recovery cycle At the injection position, the pressure of the thorium in the processing chamber increases approximately linearly with time. Another embodiment of the present invention is a method for returning to a processing chamber, which includes determining a maximum flow rate in the processing chamber that does not generate particulate pollution; and introducing an inert gas into the processing chamber at a maximum flow rate during the returning period. In another embodiment, the introducing step includes controlling the mass flow rate m = (P0AV / RT) e (AV / v) through the catheter according to the following. Another embodiment of the present invention is a method for returning to a processing chamber. This includes determining the maximum momentum in which no particulate pollution occurs in the processing chamber; and the introduction of an inert gas into the processing chamber at approximately the maximum flow rate during the recovery period. In another embodiment, the introducing step includes controlling the mass flow rate through the conduit as follows: m = (MA / 2 V) t Another embodiment of the present invention is a method for reprocessing a processing chamber, which includes determining that no particulate pollution is generated The maximum number of Reynolds in the processing chamber; and the inert gas is introduced into the processing chamber at approximately the maximum number of Reynolds during the recovery period. In another embodiment, the introduction step includes controlling the mass flow rate through the catheter according to (5) (5) 200416774: m = constant [Embodiment] Here is a description of various innovative loopback syringes for semiconductor wafer processing equipment This provides upstream gas and has an improved uniformly distributed gas in the periphery of the processing chamber, as well as an appropriately optimized return loop, which avoids excessive injection speed of the scrubbing gas. The return syringe and / or other types of syringes and the injection track described here greatly reduce the processing cycle time and improve the processing uniformity. FIG. 1 shows a diagrammatic heat treatment apparatus 100 having a general gas chamber 101. The heat treatment apparatus 100 has a vertical processing chamber 102 enclosed in an outer tube 1 2 2 (illustrated as a quartz clock jar), and a plenum 101, and the outer tube 122 is sealed here by a suitable seal such as a 0 ring. The outer tube 122 can be made of any material. This heat-resistant and high-temperature mechanical stress and vacuum operation can resist the rotten uranium of gases and vapors used or released during processing. The outer tube 1 22 should be made of quartz or silicon carbide. Inflatable room 1 0] can be made of any material, which is resistant to high temperature heat and mechanical stress and vacuum operation, and resistant to the corrosion of gases and vapors used or released during processing. The inflatable room 101 should be made of stainless steel or quartz. An entrance is provided at the bottom of the processing chamber 102 for conveying a carrier or boat 114 carrying a batch of wafers 116 on a movable base n 8 into and out of the processing chamber] 〇2. Although the batch size can be from] to about 200 wafers, etc., the batch shown (6) (6) 200416774 is 25 product wafers, 3 monitor wafers, and 2 barrier wafers. When in the elevated position, the closed processing chamber 102 is sealed in a gas-filled room 101. The processing chamber 102 contains an inner tube or lining 120, which is open at the lower end and is hermetically sealed in the inflation chamber 101 by a seal, such as a 0-ring. The lining 1 2 0 is also at least partially open at its upper end. A ship 114 carrying wafers 116 is enclosed in a lining 120. A ring-shaped channel 124 is formed between the inner and outer pipes 120 and 122 to discharge the processing and washing gas downwards. FIG. 2 also shows an inflatable room 1 104 in detail, which has an inflatable room 1 0 1 (FIG. 1 ), But also has a syringe 106 installed above the gas flow channel 140 (FIG. 3). The inflatable chamber 104 is formed into a short cylindrical shape, and has an upper flange 128 protruding outward, a side wall 132, and a base 130 protruding inward. The upper flange 128 is adapted to receive and support the outer tube 1 2 2 and includes an O-ring 1 2 6 to hermetically seal the outer tube 1 2 2 to the upper flange 128. The base 130 is adapted to receive and support the liner 120 'and includes a syringe 106 mounted inside the support liner 120. The injector 106 uniformly introduces the scrubbing gas into the processing chamber 102, and can be used during the processing period to introduce the scrubbing gas into the processing chamber 102. Syringe 1 06 is provided to inject the scrubbing gas into the vessel 1 1 4 and @ Part of the treatment room 100 in the stomach 120. Inflatable room 104 contains various ports. The inlets 1 3 and 1 9 are inlets for returning and washing gas. The port 134 is an exhaust port for exhaust gas from the reaction chamber 102. Drain port] 3 4 is connected to the annular channel 124 formed between the inner and outer pipes I 2 0 and the winter (7) (7) 200416774 122, and is connected to a vacuum line and a pump system (not shown). Ports 1 3 5 and 1 3 6 are provided to circulate cooling fluid in the aeration chamber 104. Port 1 3 7 is a pressure port for monitoring the pressure in the processing chamber 102. Port 1 3] (mostly covered by discharge port 1 3 4) and port 1 3 3 are vertical injection gas inlets. Port 10 (Figure 1) is a three-point contour thermocouple port. Although each port can be designed for a variety of gas flow rates, the injection ports 1 3 1 and 1 3 3 and the return / wash ports 1 3 8 and 1 3 9 can each be as high as 10 standard liters per minute to assist the room Returning, chamber washing, and wafer cooling. The return / wash ports 138 and 139 are provided on the side wall 132 of the inflatable room 104. Mainly the introduction of gas from a supply source (not shown) to the injector 106. A mass flow controller (not shown) or any other appropriate flow controller is placed between the gas supply and the port 1 3 3 to control the flow of gas into the injector 106. The syringe 106 can be used to introduce the gas in the upper structure into the processing chamber 107, to wash and cool the processing chamber 102, and to return to the processing chamber 102 from the processing operation pressure to atmospheric pressure. However, the injector 106 can also be used to introduce a process gas into an upstream structure to process semiconductor wafers, such as in a CDV process. The syringe 106 includes a generally annular gas flow channel 140 (FIG. 3) and a ring 143, in which a plurality of injection ports 144 are installed to be distributed approximately evenly on top of the gas flow channel 140. For example, the ring 143 is welded to the filling chamber 104. A plurality of injection ports 144 are used to provide a uniform introduction of gas into the processing chamber 102. Any desired number of injection ports can be used to achieve the intended purpose, such as 8 to 12 syringes suitable for processing -10- (8) (8) 200416774 a batch of about 1 to 2 0 semiconductor wafers Heat treatment equipment. The injection port 144 is, for example, a tube-shaped port, having an inner diameter of 0.381 mm and a length of 25 mm. The port has a space of 11 evenly spaced around a circle having a diameter of 35.69 cm. The gas flow channel 140 is provided along the inner periphery 142 of the base 130, and communicates with the gas return gas inlets 138 and 139 on the gas flow, and the gas is transferred to the injection PI 44 at a uniform pressure. The double loop / wash gas inlet is used because the gas flow channel 140 is not continuous in the area of the discharge port 134, that is, this does not extend below the discharge port 134. If desired, continuous gas flow channels can be used. Any cross-sectional shape of the gas flow channel 1 40 can be used, including the squares shown in Figure 4, the squares shown in Figures 4 to 6, and other shapes such as circles or ovals (not shown). For example, in the embodiment of FIG. 3, the gas flow channel has an inner diameter of 13.7 inches, a outer diameter of 4.3 inches, and a depth of 0.5 inches. The syringe 106 can be manufactured by any of a variety of methods to achieve the purpose of uniformly introducing gas into the processing chamber 102. In one embodiment (not shown), a porous material, such as a plug of tangled material, is used to replace the tubular hole 144. The plurality of openings are substantially evenly spaced on the top of the gas flow channel 140, and have a diameter larger than the width of the gas flow channel 140. The sintered material can be relatively fitted and supported to plug in these openings. The plug can be pressed into the opposite opening or welded to the opening. The gas existing in the gas flow channel 140 is ejected from the plug and uniformly introduced into the processing chamber 102. Other embodiments using one or more continuous porous rings are shown in FIGS. -11-(9) 200416774 4 to FIG. 6. In these embodiments, 150 is preferably provided with a continuous annular opening 1 40 on the entire annular gas flow channel. The continuous opening 150 has a cross-section and has a width that is larger than that of the gas flow channel 40 by 150. Processing or scrubbing gas processing chamber 102. One single continuous ring made in Figure 4. For example, it has a width of 0.25 inches and a wide 俾 continuous ring assembly and is supported by the open Q body self-continuous ring injection, and is evenly introduced in the middle, and the porous ring 1 4 5 is a sintered material.

度0.5吋。在圖5中,多孔性環】46爲一連續燒結 金屬環溶接於一金屬環]47’設有孔(未顯示)。環 裝配並受支持於開口]50內,及氣體自氣體流槽道 流過環中之孔,並進入燒結金屬環14〇中,此進 一步分散氣體。在圖6中,多孔性環149爲—連續 燒結金屬環,熔接於相對之金屬環148及151上。 環151設有孔(未顯示)’與金屬環147同樣,同時 環1 4 8爲連繪金屬。環I 5〗裝配並受支持於開口Degree 0.5 inches. In Fig. 5, a porous ring 46 is a continuous sintered metal ring which is fused to a metal ring 47 'and is provided with holes (not shown). The ring is assembled and supported in the opening 50, and the gas flows from the gas flow channel through the holes in the ring and into the sintered metal ring 14, which further disperses the gas. In Fig. 6, the porous ring 149 is a continuous sintered metal ring which is welded to the opposite metal rings 148 and 151. The ring 151 is provided with a hole (not shown) 'in the same manner as the metal ring 147, while the ring 1 4 8 is a continuous drawing metal. Ring I 5〗 Assembled and supported by opening

150內,及氣體自氣體流槽道流過環151中之孔, 並進入燒結之金屬環149中,此進一步分散氣體。由金 屬環之阻堵限制分散之氣體在側方離開燒結之金屬環 149,但氣體其後向上轉彎,並提供上流氣體自充氣間 104 進入處理室102。 在又另一實施例(未顯示)中,一單個金屬環可設 有選定大小之若千孔,以提供均勻引進氣體於處理室 1 0 2中。例如,穿孔之環熔接於充氣間]〇 4。可使用任 何所需大小之任何所需數目之孔,只要達成預定用途;例 -12 - (10)200416774 如, 上相 管 何適 以均 ,如 熱處 7 174 13 1 ,以 。雖 用僅 1 60 件 加熱 因爲 表面 容納 污染 TBD 案號 可設置11孔於環中,孔各〇 . 〇 1 5吋直徑。 注入口 1 3 1及 1 3 3分別與二垂直注射管在氣體流 通’其一由參考編號1 5 6顯示於圖1。垂直注射 1 5 6置於船 Π 4及內管或襯裏1 2 0之間,並爲任 當材料,諸如石英所製,並設有許多小孔1 5 8,用 句分佈處理氣體,宜成水平橫流構形橫過晶圓 u 6 更許細說明於PCT專利申請書序號TBD,題爲,, 理系統及可構形之垂直室”中,此在律師案號FP -8 -p C下與此同日提出,且其整個列作參考。注射口 及1 3 3亦可用以供應回塡/洗滌氣體於垂直注射器 取代或補充自注射口 1 44注射之回塡 /洗滌氣體 二垂直管實施於充氣間1 0 4中,但如需要,可使 〜垂直注射器或二以上垂直注射器。 如顯示於圖1,熱處理裝置 1 0 0亦可包含加熱元件 ,置於處理室1 02之頂,側,及下部附近。加熱元 1 60 提供良好之等溫反應區,用以處理晶圓 116。 元件 1 6 0之安排最大化晶圓 1 1 6之視野因素, 加熱元件160置於處理室 102外之熱絕緣 162之 及基座 118處。設有一倒石英坩堝 164 ,用以 埋置於基座 Π 8中之加熱元件 1 6 0,以降低或消除 。等溫反應區更詳細說明於 PCT專利申請書序號 , 題爲熱處理系統及可構形之垂直室”,此在律師 FP- 7 1 74 8 -PC下與此同時提出,且其整個列作參考 -13- (11) (11)200416774 熱處理室 1 00可另包含一或更多光或電溫度感測元 件 1 66,置於內及外管120及122之間,用以監測處 理室1 〇2內之溫度,及/或控制加熱元件丨6〇之操作 ° 溫度感測元件 1 6 6 可爲電阻溫度裝置(” RTD,,)或輪 廓熱電偶(,,TC,,),具有多個獨立之溫度或感測節點或點 ,以偵測處理室1 02內多個位置之溫度。 現說明一方法,用以迅速回塡處理室,而不產生不 可接受之微粒污染。一旦處理,諸如蝕刻或C V D沉積 完成時’調整處理室之壓力至環境壓力,俾經處理之晶圓 可推出該室’或至一中間壓力,供進一步處理。回塡程序 需儘速完成’俾在製造程序之期間中達成最少整個週期時 間’唯引進洗滌氣體之方式及洗滌氣體之速度應不大至擾 亂微粒,且從而產生不可接受之微粒污染程度。一些回塡 程序花費多至 2 5分鐘或以上,且此程序之一例說明於 下。比較上’以下說明一快速回塡程序,此在約8分鐘 以內使處理室自約200毫torr升至約7 60t〇rr。 爲更佳明瞭在回塡周期之期間中之微粒污染,注意 此微粒污染普通注要由三部份引起,其中,薄膜或微粒最 可能在處理期間中沉積或形成於已知型式之熱晶圓處理裝 置中。第一部份在氣體注射於室中之處,在本藝所知之一 些型式之熱晶圓處理裝置中,此係通過單個噴嘴。由在許 多位置上分佈洗條熟體之注射於處理室 1 0 2中,大爲降 低此部份之問題。此方法可增加總流率,而氣體注射之 任一分佈位置無過度之流率。第二部份在充氣間處,在此 -14 - (12) (12)200416774 ,本藝所知之一些型式之熱晶圓處理裝置之充氣間內之洗 滌氣體流具有重大之水平分部份。由分佈洗滌氣體之注射 於處理室〗〇2中,俾氣體流注要在上流方向,亦大爲 減輕此方面之問題,如以上有關各實施例所述。第三部份 在處理室本身,在此,洗滌氣體垂直流於充氣間,在晶圓 及內壁之間及在各晶圓之間。 由分類室微粒問題爲三獨立部份,硏究熱晶圓處理 裝置中之氣體流,在噴嘴處之氣體流,在充氣間周圍之水 平氣體流,及在晶圓及內壁間之氣體流。塑造回塡程序之 流體流之重要流體參數爲Reynolds數(區別層流及渦流 )及流速。如此處所用,術語’’質量流率”或 ’’m ” 指每單位時間流於封閉流體槽道中之氣體之質量。 Reynolds數與質量流率依以下表示式成正比: p VI/// 其中,Re爲 Reynolds數,p爲流體密度,V 爲氣體 速度,1爲重要長度,及/i爲氣體流黏度。如此處所用 ,術語”流速’’或 ” V ”指一流出物流過一導管之橫 斷面區之平均速度,且普通以每秒米 (m/s)或每分呎 (fpm)量度。如此處所用,術語”流動量”定義爲質 量流率及流速之乘積。 依據普通氣體定律,室壓力與溫度及氣體流率成以下 等式關係: -15 - (13) (13)200416774 P V = mRT (1) 其中,P 爲壓力,V 爲室之容量,m 爲氣體質量,R 爲全球氣體常數,及 T爲溫度。在特定容量 (V)之處 理室,壓力之改變可由於溫度 (T)或室內之氣體質量 (m)之改變所引起。故此,在壓力改變時,普通氣體定律 可表示爲: dP/dt = RT/V*m (2) 其中,m等於dm/dt。 洗滌氣體之質量流率亦與該室之氣體流之速度,壓 力,及溫度有關,依以下等式關係: m= p AV ( 3 ) 其中,A 爲橫斷面之面積,V爲氣體流過該橫斷面之速 度,及P爲密度,此與壓力及溫度成以下等式關係: p = P / RT (4) 其中,P,R,及 T定義如上。 爲確認回塡程序之發展模型,使用 Anzona州 -16 - (14) (14)200416774Within 150, and the gas flows through the holes in the ring 151 from the gas flow channel and enters the sintered metal ring 149, which further disperses the gas. The blocking of the metal ring restricts the dispersed gas from leaving the sintered metal ring 149 on the side, but the gas then turns upwards and provides the upstream gas from the inflation chamber 104 to the processing chamber 102. In yet another embodiment (not shown), a single metal ring may be provided with thousands of holes of a selected size to provide a uniform introduction of gas into the processing chamber 102. For example, the perforated ring is welded to the inflatable room] 04. Any desired number of holes of any desired size can be used, as long as the intended use is achieved; for example, -12-(10) 200416774, for example, the above-mentioned tube is suitable, such as hot place 7 174 13 1. Although only 1 60 pieces are used for heating because the surface contains contaminated TBD case No. 11 holes can be set in the ring, each hole has a diameter of 1.5 inches. Note that the inlets 1 3 1 and 1 3 3 are in gas flow with two vertical injection tubes, respectively. One of them is shown in FIG. 1 by the reference number 1 5 6. The vertical injection 1 5 6 is placed between the boat 4 and the inner tube or lining 1 2 0. It is made of any material, such as quartz, and is provided with many small holes 1 5 8. The processing gas is distributed in sentences. The horizontal cross-flow configuration traverses the wafer u 6 is described in more detail in PCT patent application serial number TBD, entitled, "Physical system and configurable vertical chamber", which is under lawyer case number FP -8 -p C It was proposed on the same day, and its entirety is listed as a reference. The injection port and 1 3 3 can also be used to supply loop / wash gas in a vertical syringe to replace or supplement the loop / wash gas two vertical tubes injected from the injection port 1 44. Inflatable room 104, but if necessary, can be ~ vertical syringe or two or more vertical syringes. As shown in Figure 1, the heat treatment device 100 can also include a heating element, placed on the top and side of the processing chamber 102, And the lower part. The heating element 160 provides a good isothermal reaction zone for processing the wafer 116. The arrangement of the components 160 maximizes the field of view of the wafer 116, and the heating element 160 is placed outside the processing chamber 102. Thermal insulation 162 and base 118. There is an inverted quartz crucible 164, The heating element 160 embedded in the pedestal Π 8 is used to reduce or eliminate. The isothermal reaction zone is described in more detail in the PCT patent application serial number, entitled Heat treatment system and configurable vertical chamber. Attorney FP- 7 1 74 8-At the same time under PC, and its entire list is for reference-13- (11) (11) 200416774 Heat treatment room 1 00 may additionally contain one or more optical or electrical temperature sensing elements 1 66, placed between the inner and outer pipes 120 and 122, used to monitor the temperature in the processing chamber 1 0 2 and / or control the operation of the heating element 6 60 ° The temperature sensing element 1 6 6 can be a resistance temperature device ("RTD ,,") or contour thermocouple (,, TC ,,), with multiple independent temperature or sensing nodes or points to detect the temperature at multiple locations in the processing chamber 102. A method is now described, It is used to quickly return to the processing chamber without generating unacceptable particulate contamination. Once processing, such as etching or CVD deposition is complete, 'adjust the pressure of the processing chamber to ambient pressure, the processed wafer can be pushed out of the chamber' or to An intermediate pressure for further processing. The return process needs to be done as soon as possible Completion of “俾 Achieving a minimum of the entire cycle time during the manufacturing process”, except that the method of introducing the scrubbing gas and the speed of the scrubbing gas should not be so large as to disturb the particles and thus produce an unacceptable level of particulate contamination. Some of the loopback procedures cost up 25 minutes or more, and an example of this procedure is described below. Compared to the above, the following is a quick recovery procedure, which raises the processing chamber from about 200 millitorr to about 7 60 t〇rr in about 8 minutes. Jiaming understands the particle contamination during the recovery cycle. Note that this particle contamination is generally caused by three parts. Among them, the thin film or particles are most likely to be deposited during the processing period or formed in a known type of thermal wafer processing device. in. The first part is where the gas is injected into the chamber. In some types of thermal wafer processing equipment known in the art, this is through a single nozzle. The problem of this part is greatly reduced by the injection of the washed strips into the processing chamber 102 in many places. This method can increase the total flow rate without excessive flow rate at any distribution location of the gas injection. The second part is at the aeration room. Here -14-(12) (12) 200416774, the cleaning gas flow in the aeration room of some types of thermal wafer processing equipment known in the art has a significant level. . By injecting the scrubbing gas into the processing chamber, the plutonium gas injection should be in the upstream direction, which also greatly reduces the problem in this respect, as described in the above embodiments. The third part is in the processing chamber itself, where the cleaning gas flows vertically in the aeration chamber, between the wafer and the inner wall and between the wafers. The particle problem in the classification room is divided into three independent parts. The gas flow in the thermal wafer processing device, the gas flow at the nozzle, the horizontal gas flow around the gas filling chamber, and the gas flow between the wafer and the inner wall are studied. . The important fluid parameters that shape the fluid flow of the reentry program are the Reynolds number (distinguish laminar and vortex) and flow velocity. As used herein, the term '' mass flow rate 'or' 'm' refers to the mass of a gas flowing in a closed fluid channel per unit time. The Reynolds number is proportional to the mass flow rate according to the following expression: p VI // // where Re is the Reynolds number, p is the fluid density, V is the gas velocity, 1 is the significant length, and / i is the viscosity of the gas flow. As used herein, the terms "flow rate" or "V" refer to the average velocity of the outflow through a cross-sectional area of a conduit, and are typically measured in meters per second (m / s) or minute per minute (fpm). As used herein, the term "flow" is defined as the product of mass flow rate and flow rate. According to the general gas law, the pressure of the chamber is related to the temperature and gas flow rate by the following equation: -15-(13) (13) 200416774 PV = mRT (1) where P is the pressure, V is the capacity of the chamber, m is the mass of the gas, R is the global gas constant, and T is the temperature. In a processing chamber of a specific capacity (V), the pressure can be changed due to the temperature (T) Or caused by the change of gas mass (m) in the room. Therefore, when the pressure changes, the general gas law can be expressed as: dP / dt = RT / V * m (2) where m is equal to dm / dt. The mass flow rate is also related to the velocity, pressure, and temperature of the gas flow in the chamber, according to the following equation: m = p AV (3) where A is the area of the cross section and V is the gas flowing through the cross section The surface velocity, and P is the density, which is related to the pressure and temperature as follows: p = P / RT (4) Among them, P, R, and T are defined as above. To confirm the development model of the recovery process, use Anzona State -16-(14) (14) 200416774

Tempe城之 ASML所供應之型 RVP- 3 0 0 TM快速垂直處 理器熱反應器執行硏究。使用傳統之單點回塡注射器, 室壓力自初始 4〇〇miorr逐漸升高至大氣壓力。洗滌氣 體經多階段引進於室中,在每一階段之期間中,質量流率 大致不變。當質量流率在洗滌程序之期間中保持恆定時, 自以上等式 (2),(3),及(4)獲得’室之壓力依以下等 式改變: P = P〇 + RT/Vmt (5) 其中,P〇爲該室之初始壓力,及 R,T,V,m,及 t 疋義如上。CVD處理之初始壓力普通在多 tor r範圍 〇 故此,當質量流率m由質量流率控制器維持恆定, 且回塡程序在與處理溫度相同之溫度(T)上實施時,室 之壓力改變爲時間之一函數。明確言之,壓力隨時間呈 線性增加。 依據此模型,整個回塡程序可分爲一或更多階段, 且質量流率可在階段之間變化’但在每一階段中恒定不變 。例如,圖7顯示依此模型之回塡壓力軌道,以及來 自已知型式之熱晶圓處理置之§式驗資料。整個洗游程序 分爲三階段執行’以回塡處理室自真空至大氣壓力。在稱 爲”緩慢回塡”之第一階段之期間中,控制流率大致 1豆定於約]· 7 5 L /m i η。在此流率,在噴嘴處之R e y η 0 ] d s (15) (15)200416774 數約爲 5 9,在充氣間處約爲 5 . 1,及在室內約爲丨.8。 在 12 分鐘後,室壓力呈線性增加自 0 至約 lOOtorr。 在稱爲”快速回塡”之第二階段之期間中,控制質量 流率大致恆定於約 1,75L/min。在此流率,在噴嘴處之 R e y η ο 1 d s 數約爲 4 0 9,在充氣間處約爲 3 5.6,及在室 內約爲 12.50。 室壓力在 1 〇 分鐘中呈線性增加自 100至約 75〇t〇rr。 在稱爲’’軟回塡”之第三階段之 期間中,控制質量流率大致恆定於約 0.6 1 5 L/mi η。 在此 流率,在噴嘴處之 Reynolds數約爲 33, 在充氣間處 約爲 3,及在室內約爲 1.0。 壓力自約 7 5 0呈線性增 加至 760torr。 圖 8 顯不流速曲線,及圖 9顯示在第一模型之 回塡程序之期間中氣體流動量曲線,在此,質量流率在每 一階段內保持恆定,但自階段至階段之間改變。在圖 8 中,曲線 800代表充氣間速度,曲線 802代表噴嘴速 度’及曲線8〇4代表室速度。在圖 9中,曲線 900 代表充氣間速度,曲線 902 代表噴嘴速度,及曲線 9〇4 代表室速度。流速及低動量在三階段之期間中各成指數 下降。 在可用以產生晶圓處理裝置,包括圖1及圖 2 所示之熱晶圓處理裝置之最佳回塡壓力軌道之回塡程序之 一第二模型之發展中,不假設洗滌氣體以大致恆定之質量 流率引進,而假設洗滌氣體以大致恆定之流速引進於室中 。在洗滌程序之期間中,當流速保持恆定時,自以上等式 •18- (16) (16)200416774 (3)及 (4)獲得,室壓力之改變‘遵循以下等式: P = P〇e(AV/v)l (6) 其中,PG,A,V,V,及 t定義如上。故此,當流速維 持恆定時,室之壓力改變爲時間之指數函數。 在實際上,由置於氣體供應源及洗滌氣體注射器之 間之控制器可控制變化質量流率維持流速恆定。自等式 (2)獲得,質量流率可由以下等式表示: m = (P〇AV/RT)e(AV/v)t (7) 其中,m,P〇,A,V,R,T,V,及 t定義如上。依據 等式 (7),當流速(V)恆定時,質量流率隋時間成指數增 加。 最大流速定義爲在產生微粒污染以上之速度。需由 最大流速最佳化回塡程序,以減少製造程序之整個週期時 間至最低程度,而不產生微粒污染。最大流率使用常規技 術試驗決定。 質量流率控制器可由微處理器及可程式記憶器達成, 此可設計程式,以達成控制器所需之操作模式。一旦由試 驗對特定型式之晶圓處理裝置決定最大流速時,質量流 率控制器依等式(7)設計程式,即質量流率隨時間呈指 數增加。當質量流率控制器依所需模式操作時,在整個回 - 19- (17)200416774 塡程 (6) 1000 模型 該室 及圖 用洗 動量 ,該 序之期間中,維持最^、在、丨h 取入流速恆疋,及室壓力依等式 隨時間呈指數增加。 圖顯示依第二模型之一回塡室壓力軌道曲線 。在此’假設^:整個回塡程序之期間中流速恆定。此 之優點爲該室通風非常快速。費時㈣6分鐘回塡 自近於 〇至約 76〇tQn.。 第二模型亦可用以產生晶圓處理裝置’包括圖】 2所示之熱晶圓處理裝置之最佳回塡壓力軌道,使 滌氣體以大致恆定之流動量引進於室中之假定。當流 在回塡程序期間中保持恆定時,自理想氣體定律獲得 室之壓力改變遵照以下等$ : P = P〇 + (mV* ART/4V2)t2 ⑴ ,P 〇,m,V,A,R,τ,V,及t定義如上。故此 流動量在回塡程序期間中保持恆定時,該室之壓力改 時間之函數。明確言之,在此實施例中,壓力隨時間 二階多項式增加。 在實際上’流動量由置於一氣體供應源及洗滌氣體 器間之一控制器可控制變化質量流率保持恆定。自 (2)獲得,質量流率可由以下等式表示: m = (MA/2 V)tTempe City's ASVP-type RVP-3 0 0 TM fast vertical processor thermal reactor performs research. Using a traditional single-point breech syringe, the chamber pressure gradually increased from the initial 400 miorr to atmospheric pressure. The scrubbing gas is introduced into the chamber in multiple stages, and the mass flow rate is approximately constant during each stage. When the mass flow rate remains constant during the washing program, the pressure in the chamber obtained from the above equations (2), (3), and (4) is changed according to the following equation: P = P0 + RT / Vmt ( 5) where P0 is the initial pressure of the chamber, and R, T, V, m, and t are as defined above. The initial pressure of the CVD process is usually in the range of multiple tor r. Therefore, when the mass flow rate m is maintained constant by the mass flow rate controller, and the recovery process is performed at the same temperature (T) as the processing temperature, the pressure in the chamber changes Is a function of time. Specifically, the pressure increases linearly over time. According to this model, the entire loopback process can be divided into one or more stages, and the mass flow rate can be changed between stages' but constant in each stage. For example, Figure 7 shows the return pressure trajectory according to this model, and the § inspection data from a known type of thermal wafer processing facility. The entire washing process is performed in three stages' to return the processing chamber from vacuum to atmospheric pressure. During the first phase called "slow recovery", the control flow rate was set to approximately 1 · 7 5 L / m i η. At this flow rate, the number R e y η 0] d s at the nozzle (15) (15) 200416774 is approximately 5 9, approximately 5.1 at the aeration chamber, and approximately 1.8 at the room. After 12 minutes, the chamber pressure increases linearly from 0 to about 100 Torr. During the second phase, called "quick recovery", the controlled mass flow rate was approximately constant at approximately 1,75 L / min. At this flow rate, the number of R e y η ο 1 d s at the nozzle is approximately 409, approximately 3 5.6 at the inflation chamber, and approximately 12.50 in the chamber. The chamber pressure increased linearly from 100 to about 75 torr in 10 minutes. During the third phase called "soft loopback", the controlled mass flow rate is approximately constant at approximately 0.6 1 5 L / mi η. At this flow rate, the number of Reynolds at the nozzle is approximately 33, and at the time of inflation The interval is about 3, and the indoor temperature is about 1.0. The pressure increases linearly from about 7 50 to 760 torr. Figure 8 shows the flow velocity curve, and Figure 9 shows the gas flow during the recovery process of the first model. Curve, here, the mass flow rate remains constant in each stage, but changes from stage to stage. In Figure 8, curve 800 represents the inflation chamber speed, curve 802 represents the nozzle speed 'and curve 804 represents the chamber. Velocity. In Figure 9, curve 900 represents the inflation chamber velocity, curve 902 represents the nozzle velocity, and curve 904 represents the chamber velocity. The flow rate and low momentum each decrease exponentially during the three phases. It is available to produce wafers The processing device, which includes one of the recovery procedures of the optimal recovery pressure orbit of the thermal wafer processing device shown in Figs. 1 and 2, does not assume that the scrubbing gas is introduced at a substantially constant mass flow rate. And suppose The scrubbing gas is introduced into the chamber at a substantially constant flow rate. During the washing program, when the flow rate is kept constant, obtained from the above equations • 18- (16) (16) 200416774 (3) and (4), the chamber pressure The change 'follows the equation: P = Poe (AV / v) l (6) where PG, A, V, V, and t are defined as above. Therefore, when the flow rate is maintained constant, the pressure in the chamber changes to An exponential function of time. In fact, the controller placed between the gas supply source and the scrubbing gas injector can control the changing mass flow rate to maintain a constant flow rate. Obtained from equation (2), the mass flow rate can be expressed by the following equation : M = (P0AV / RT) e (AV / v) t (7) where m, P0, A, V, R, T, V, and t are defined as above. According to equation (7), when When the flow rate (V) is constant, the mass flow rate increases exponentially. The maximum flow rate is defined as the speed above which particulate pollution is generated. The maximum flow rate needs to be optimized back to the process to reduce the entire cycle time of the manufacturing process to the lowest level. Without generating particulate pollution. The maximum flow rate is determined using conventional technical tests. The mass flow rate controller can be determined by The microprocessor and programmable memory are used to design the program to achieve the required operating mode of the controller. Once the maximum flow rate is determined by a test for a specific type of wafer processing device, the mass flow rate controller follows the equation ( 7) Design the program, that is, the mass flow rate increases exponentially with time. When the mass flow rate controller is operating in the desired mode, the entire back-19- (17) 200416774 process (6) 1000 model The amount of washing momentum, during which the flow rate is maintained at the maximum, and the constant flow velocity is taken, and the chamber pressure increases exponentially with time according to the equation. The figure shows the pressure orbit curve of the chamber in accordance with one of the second models. Here's assuming ^: The flow rate is constant during the entire hydration procedure. This has the advantage that the room is ventilated very quickly. It takes time ㈣ 6 minutes to return 塡 From nearly 0 to about 76 tQn. The second model can also be used to generate the hypothesis that the optimal return pressure track of the thermal wafer processing apparatus shown in Figure 2 includes the hot wafer processing apparatus, so that the scrubbing gas is introduced into the chamber at a substantially constant flow rate. When the flow remains constant during the loop cycle, the pressure change from the ideal gas law acquisition chamber follows the following equation: P = P〇 + (mV * ART / 4V2) t2 ⑴, P 〇, m, V, A, R, τ, V, and t are defined as above. Therefore, when the flow volume is kept constant during the recovery procedure, the pressure in the chamber changes as a function of time. Specifically, in this embodiment, the pressure increases with the second-order polynomial over time. In practice, the flow rate is controlled by a controller placed between a gas supply source and a scrubber to maintain a constant mass flow rate. Obtained from (2), the mass flow rate can be expressed by the following equation: m = (MA / 2 V) t

其中 ,當 變爲 以第 注射 等式 (9) -20- (18) (18)200416774 其中,Μ 爲流動量,及 m,A,V,及t定義如上。 依據等式(9 ),當氣體流動量恆定時,質量流率隨時間呈 線性增加。 . 最大流動量可使用常規技術,由試驗決定。一旦決 定最大流動量之値時,質量流率控制器依據等式(9) 設1十程式’即質量流率隨時間呈線性增加。當質量流率控 制器依所需之模式操作時,在整個回塡程序之期間中,流 動量保持恆定,及室壓力依等式(8 )由第二階多項式隨 時間增加。 圖1〇並顯示依此模型之回塡室壓力軌道曲線,在 此’假設在整個回塡程序之期間中,流動量恒定不變。 此模型優點爲室之通風快速。費時約8分鐘回塡該室自 〇 至約 7 6 01 〇 r 1·。 旦決疋回塡室Μ力軌道曲線1 〇 〇 2時,質量流率 控制器依之δ又5十式。當質量流率控制器依據回塡室壓力 軌道曲線1002操作,即大致依等式(9)設計程式時, 在整個回塡程序期間中’流動纛維持恆定,及室壓力約由 第一階多項式依等式(8)隨時間增加。或且,質量流 率控制器可約依回塡室壓力軌道曲線1 〇 〇 〇操作,即大 致依等式(7)設計程式,由此,在整個回塡程序期間 中,維持最大流速恆定,及室壓力依據等式(6)約隨時 間呈指數增加。 圖1 0並顯示依據恆定流動量之此模型提議之最佳 回塡室壓力軌道劭線】0 0 4 。所提議之最佳回塡室壓力 ^21 - (19) (19)200416774 軌道曲線 1 0 〇 4 之室 R e y η ο 1 d S數爲 3 0。與此相較, 回塡室壓力軌道曲線 1 〇〇 6(此相當於圖 7之曲線 ) 之室 R e y η ο 1 d s數爲12 。發現提議之最佳回塡室壓力軌 道曲線 1 0 0 4有些保守,僅在回塡室壓力軌道曲線1〇〇2 之稍右方,且在回塡室壓力軌道曲線 1 〇 〇 〇之更右方。 在提議之最佳回塡室壓力軌道曲線 ]上操作爲高速 及低微粒污染間之非常良好之折衷。然而,可確實操作 於回塡室壓力軌道曲線 1 〇 〇 2,或如需要,甚至高至回塡 室壓力軌道曲線 1 000 上。 圖 1 1繪出圖 1 〇所示之最佳壓力曲線之對應之質 量流率。質量流率控制器可此圖設計程式,以達成最佳 之回塡室壓力軌道。指數曲線 1008相當於圖 10之曲 線及等式 (7 ),此假設在整個回塡週期中流速恆定。線 性曲線 1010相當於曲線1002及等式 (9), 此假設在整 個回塡週期中流動量恆定。實線1 0 1 2所示之曲線相當 於所提議之最佳回塡室壓力軌道曲線 1 〇 〇 4。曲線 1004 較之 1〇〇〇及 1 002保守,且此假設在整個週期不同時 間之質量流率恆定,此由簡單改變質量流率控制器之設定 點達成,而無任何程式設計。 如此處所提,本發明及其應用之說明爲例解性,且 非意在限製本發明之範圍。此處所述之實施可有各種改變 及修改’且精於本藝之人士於閱讀此詳細說明後,可明瞭 實施例之各種元件之實際代替及相等者。此處所述之實施 例之此等及其他改變及修改不脫離本發明之範圍及精神。 -22- (20) (20)200416774 【圖式簡單說明】 圖1爲斷面圖,顯示本發明之一實施例之熱處理裝 置。 圖 2爲可用於圖1所示之熱處理裝置中之充氣間 之透視圖。 圖 3 爲圖 2所示充氣間之斷面圖。 圖 4爲本發明之一例之注射器實施例之斷面圖。 圖 5爲另一注射器實施例之斷面圖。 圖 6爲另一注射器實施例之斷面圖。 圖 7爲曲線,顯示自模型預測及試驗所獲得之多階 段回塡壓力軌道。 Η 8 爲曲線’顯不與圖 7所不之回塡壓力軌道 相對應之流速及Reynolds數。 圖 9爲曲線,顯示與圖 7所示回塡壓力軌道相對 應之流動量曲線。 圖〗〇爲曲線,顯示由模型預測所獲得最佳化回塡 壓力軌道。 Η 11爲曲線’顯不與圖 1 〇所不回塡壓力軌道 相當之最佳回塡氣體流軌道。 主要元件對照表 10 0 熱處理裝置 充氣間 -23- (21) 處理室 注射器 載具 晶圓 基座 襯裏 外管Where, when becomes the injection equation (9) -20- (18) (18) 200416774 where M is the flow volume, and m, A, V, and t are defined as above. According to equation (9), when the gas flow is constant, the mass flow rate increases linearly with time. The maximum flow rate can be determined by experiment using conventional techniques. Once the maximum flow rate is determined, the mass flow rate controller sets a ten-step formula according to equation (9), that is, the mass flow rate increases linearly with time. When the mass flow rate controller is operating in the desired mode, the flow volume is kept constant throughout the duration of the recovery process, and the chamber pressure is increased over time from the second-order polynomial according to equation (8). Fig. 10 also shows the pressure orbit curve of the chamber in accordance with this model. Here, it is assumed that the flow volume is constant during the entire period of the cycle. The advantage of this model is the rapid ventilation of the room. It took about 8 minutes to return to the room from 〇 to about 7 6 01 〇 r 1 ·. Once the M-force orbit curve of the chamber has been determined to be 0.02, the mass flow rate controller follows the δ and 50 formulas. When the mass flow rate controller operates according to the pressure chamber orbit curve 1002, that is, when the program is designed roughly according to equation (9), the 'flow rate' is maintained constant during the entire recovery process, and the chamber pressure is about the first order polynomial It increases with time according to equation (8). Or, the mass flow rate controller may operate according to the pressure curve of the loop chamber pressure 1000, that is, the program is designed approximately according to equation (7), so that the maximum flow rate is maintained constant during the entire loop process. The chamber pressure increases exponentially with time according to equation (6). Figure 10 also shows the optimal recoil chamber pressure orbit line proposed by this model based on a constant flow volume] 0 0 4. The proposed optimal plenum chamber pressure ^ 21-(19) (19) 200416774 The orbit curve of the room 10 0 4 R e y η ο 1 d S number is 30. In contrast, the number of chambers R e y η ο 1 d s of the pressure curve curve of the breeching chamber 106 (this is equivalent to the curve of FIG. 7) is 12. It was found that the proposed optimal breech chamber pressure orbit curve 1 0 4 is somewhat conservative, only slightly to the right of the breech chamber pressure orbit curve 1002, and further to the right of the breech chamber pressure orbit curve 1 000 square. Operating on the proposed optimal loop chamber pressure orbit curve] is a very good compromise between high speed and low particulate contamination. However, it can indeed be operated on the pressure chamber orbit curve of the chamber, or as high as 1,000 on the chamber pressure orbit curve if required. Figure 11 plots the mass flow rate corresponding to the optimal pressure curve shown in Figure 10. The mass flow rate controller can design the program to achieve the best return chamber pressure orbit. The exponential curve 1008 is equivalent to the curve in Fig. 10 and equation (7), which assumes that the flow velocity is constant throughout the cycle. The linear curve 1010 is equivalent to curve 1002 and equation (9). This assumption assumes that the flow volume is constant throughout the cycle. The curve shown by the solid line 10 12 is equivalent to the proposed optimal loop chamber pressure orbit curve 10 4. The curve 1004 is more conservative than 1000 and 1 002, and this assumption assumes that the mass flow rate is constant at different times throughout the cycle. This is achieved by simply changing the set point of the mass flow rate controller without any programming. As mentioned herein, the description of the invention and its applications is illustrative and is not intended to limit the scope of the invention. The implementation described herein may have various changes and modifications', and those skilled in the art may understand the actual substitutions and equivalents of the various elements of the embodiment after reading this detailed description. These and other changes and modifications to the embodiments described herein do not depart from the scope and spirit of the invention. -22- (20) (20) 200416774 [Brief description of the drawings] Fig. 1 is a sectional view showing a heat treatment device according to an embodiment of the present invention. FIG. 2 is a perspective view of an aeration chamber that can be used in the heat treatment apparatus shown in FIG. 1. FIG. FIG. 3 is a sectional view of the inflatable chamber shown in FIG. 2. Fig. 4 is a sectional view of an embodiment of a syringe according to the present invention. Fig. 5 is a sectional view of another syringe embodiment. Fig. 6 is a sectional view of another embodiment of a syringe. Figure 7 is a curve showing the multi-stage recovery pressure orbits obtained from model predictions and experiments. Η 8 is the flow velocity and Reynolds number corresponding to the curve ′, which does not correspond to the return pressure orbit shown in FIG. 7. Fig. 9 is a graph showing a flow volume curve corresponding to the return pressure orbit shown in Fig. 7. Figure 〖〇 is a curve showing the optimized return pressure orbit obtained by model prediction. Η11 is the best trajectory of gas flow which shows that the curve is not equivalent to the pressure trajectory shown in Fig. 10. Comparison Table of Main Components 10 0 Heat Treatment Device Inflatable Room -23- (21) Processing Room Syringe Carrier Wafer Base Liner Outer Tube

環形通道 上凸緣 底座 側壁 注射口 排出口 回塡/洗滌口 氣體流槽道Annular channel Upper flange Base Side Wall Injection port Discharge port Return / wash port Gas flow channel

環 多孔性環 燒結金屬環 金屬環 環形開口 加熱元件 -ZA -Ring Porous ring Sintered metal ring Metal ring Ring-shaped opening Heating element -ZA-

Claims (1)

(1) (1)200416774 拾、申請專利範圍 1 . 一種半導體晶圓處理裝置,包含: 一處理室,用以在一反應區內處理一批至少一半導體 晶圓; 一氣體注射器,包含: 一大致均勻環形分佈之氣體注射位置,用以勻勻引進 氣體於反應區周圍;及 一空腔,與氣體注射位置在氣體流上連通;及 一氣體進入口,與該空腔在氣體流上連通。 2. 如申請專利範圍第 1項所述之半導體晶圓處理 裝置,其中,空腔爲一氣體流槽道;及 氣體注射器包含多個注射口大致均勻分佈於氣體流槽 道頂上。 3. 如申請專利範圍第 2項所述之半導體晶圓處理 裝置,其中,注射口爲孔口式。 4. 如申請專利範圍第 3 項所述之半導體晶圓處理 裝置,其中,注射口爲短管構件。 5 .如申請專利範圍第 3項所述之半導體晶圓處理 裝置,其中,注射口爲孔。 6 .如申請專利範圍第 2 項所述之半導體晶圓處理 裝置,其中,注射口爲燒結金屬式。 7. 如申請專利範圍第 1項所述之半導體晶圓處理 裝置,其中,氣體注射器包含多孔性構件,置於空腔上。 8. 如申請專利範圍第 7項所述之半導體晶圓處理 -25- (2) (2)200416774 裝置,其中,多孔性構件包含燒結之金屬。 9. 如申請專利範圍第 1項所述之半導體晶圓處理 裝置,其中: 處理室大體爲管形;及 氣體注射器大致爲圓形,並大致與處理室共軸。 10. 如申請專利範圍第 9項所述之半導體晶圓處理 裝置,其中: 空腔大致爲圓形氣體流槽道,此大致與處理室共軸; 及 氣體注射器包含一多孔性環,置於整個氣體流槽道上 ,並與此在氣體流上連通。 · 1 1 .如申請專利範圍第 1 0項所述之半導體晶圓處 理裝置,其中,多孔性環包含燒結之金屬。 1 2 ·如申請專利範圍第 U 項所述之半導體晶圓處 理裝置,其中,氣體注射器另包含一穿孔之金屬環,沿一 第一表面上匹配於燒結之金屬,穿孔之金屬環安裝於氣體 流槽道上。 1 3 .如申請專利範圍第 1 2 項所述之半導體晶圓處 理裝置,其中,氣體注射器另包含一連續金屬環,沿與第 一表面相反之一第二表面上匹配於燒結金屬上。 14.如申請專利範圍第 1項所述之半導體晶圓處理 裝置,其中,該批每次不多於 2 0 0晶圓。 1 5 .如申請專利範圍第 1項所述之半導體晶圓處理 裝置,另包含一額外氣注射器,延伸於反應區鄰近。 -26- (3) (3)200416774 i 6 .—種半導體晶圓處理裝置,包含: 一垂直處理室; 一環形及大致均勻分佈之氣體注射位置,與處理室在 垂直氣體流上連通; 一氣體流槽道,與氣體注射位置在氣體流上連通;及 一氣體進入口,與氣體流槽道在氣體流上連通。 1 7 . —種半導體晶圓處理裝置,包含: 一垂直處理室,具有一內管及外管,內管界定一垂直 反應區,及內及外管界定一環形通道,用以排出反應區中 之氣體; 一充氣間,具有一短圓筒形狀,具有一開口通過其中 ,用以引進晶圓載具,一外環形支持座用以支持外管,及 一內環形支持座用以支持內管; 一注射器,包含: 一大體環形氣體流槽道,置於充氣間內,在其中開口 周圍;及 多個注射口,大致均勻分佈於氣體流槽道頂上,並與 其在氣體流上連通,並置於內管內,用以引進氣體於反應 區中; 一氣體入口與氣體流槽道在氣體流上連通,用以提供 氣體於此;及 一排放□,與環形通道在氣體流上連通。 18.如申請專利範圍第 1 7項所述之半導體晶圓處 理裝置,另包含一長管形注射器置於內管內,並自充氣間 -2Ί - (4) (4)200416774 延伸大致鄰近垂直反應區,其中,該注射器包含多個氣體 出口。 19.如申請專利範圍第 18 項所述之半導體晶圓處 理裝置,另包含一額外長管注射器霞於內管內,並自充氣 間延伸大致鄰近垂直反應區,其中,該額外注射器包含多 個氣體出口。 2 〇 . —種在回塡週期之期間中回塡半導體晶圓處理裝 置之處理室之方法,包括: 決疋不產生不可接受之微粒污染於處理室中之最大流 動量; 在回塡週期之期間中,自多個注射位置引進惰性氣體 於處理室中;及 在整個回塡週期中,大致以最大流動量供應惰性氣體 於注射位置,俾在處理室中之壓力約以第二階多項式隨時 間增加。 2】·如申請專利範圍第 2 0項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自延伸大致鄰近處理 室之反應區之一長管之多個氣體出口引進惰性氣體於處理 室中。 22·如申請專利範圍第 20項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自多個氣體出口引進 惰性氣體於處理室中,該等氣體出口沿一氣體流槽道大致 均勻分佈’並與此在氣體流上連通,氣體流槽道置於處理 室中反應區外。 -28- (5) (5)200416774 23.如申請專利範圍第 2 0項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自延伸大致鄰近處理 室之反應區之多個長管之氣體出口,及自沿處理室之反應 區外所設置之一氣體流槽道大致均勻分佈並與其在氣體流 上連通之多個氣體出口引進惰性氣體於處理室中。 2 4 . —種在回塡周期之期間中回塡半導體晶圓處理裝 置之處理室之方法,包括: 決定不產生不可接受之微粒污染於處理室中之最大流 速; 在回塡週期之期間中,自多個注射位置引進惰性氣體 於處理室中;及 在整個回塡週期中,大致以最大流速供應惰性氣體於 注射位置,俾在處理室中之壓力約成指數隨時間增加。 2 5.如申請專利範圍第 2 4項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自延伸大致鄰近處理 室之反應區之一長管之多個氣體出口引進惰性氣體於處理 室中。 26. 如申請專利範圍第 24項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自多個氣體出口引進 惰性氣體於處理室中,該等氣體出口沿一氣體流槽道大致 均勻分佈,並與此在氣體流上連通’氣體流槽道置於處理 室中反應區外。 27. 如申請專利範圍第 2 4項所述之方法,其中, 引進步驟包含在回塡週期之期間中’自延伸大致鄰近處理 (6) (6)200416774 室之反應區之多個長管之氣體出口,及自沿處理室之反應 區外所設置之一氣體流槽道大致均勻分佈並與其在氣體流 上連通之多個氣體出口引進惰性氣體於處理室中。 2 8 . —種回塡處理室之方法,包含: 決定不產生微粒污染於處理室中之最大流速;及 在回塡期間中’大致以最大流速引進惰性氣體於處理 室中。 29.如申請專利範圍第 28項所述之方法,其中, 引進步驟包含隨時間成指數控制通過一導管之質量流率。 3 0.如申請專利範圍第29項所述之方法,其中, 依以下控制通過導管之質量流率: m = (P〇AV/R丁)e (AV/V)t 其中’ Ρ ο代表處理室之初始壓力,A代表導管之橫斷面 積,V代表通過該橫斷面之流速,R代表全球氣體常 數,T代表溫度,V代表處理室之容量,及t代表時間 〇 3 1·如申請專利範圍第2 8項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自延伸大致鄰近處理 室之反應區之一長管之多個氣體出口引進惰性氣體於處理 室中。 3 2.如申請專利範圍第2 8項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自多個氣體出口引進 -30- (7) (7)200416774 惰性氣體於處理室中,該等氣體出口沿一氣體流槽道大致 均勻分佈,並與此在氣體流上連通,氣體流槽道置於處理 室中反應區外。 3 3·如申請專利範圍第 30 項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自延伸大致鄰近處理 室之反應區之多個長管之氣體出口,及自均勻分佈於處理 室之反應區外所設置之一氣體流槽道頂上並與其在氣體流 上連通之多個氣體出口引進惰性氣體於處理室中。 3 4 . —種回塡處理室之方法,包含: 決定不產生微粒污染於處理室中之最大流動量;及 在回塡期間中,大致以最大流動量引進惰性氣體於處 理室中。 3 5 ·如申請專利範圍第 3 4項所述之方法,其中, 引進步驟包含隨時間成線性控制通過一導管之質量流率。 36. 如申請專利範圍第 3 5 項所述之方法,其中, 依以下控制通過導管之質量流率: m = (MA/2 V)t 其中,m代表質量流率,Μ代表流動量,A代表面積, V代表處理室之容量,及t代表時間。 37. 如申請專利範圍第 34 項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自延伸大致鄰近處理 室之反應區之一長管之多個氣體出口引進惰性氣體於處理 -31 - (8) (8)200416774 室中。 3 8.如申請專利範圍第 3 4項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自多個氣體出口引進 惰性氣體於處理室中,該等氣體出口沿一氣體流槽道大致 均勻分佈,並與此在氣體流上連通,氣體流槽道置於處理 室中反應區外。 3 9.如申請專利範圍第 3 4項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自延伸大致鄰近處理 室之反應區之多個長管之氣體出口,及自均勻分佈於處理 室之反應區外所設置之一氣體流槽道頂上並與其在氣體流 上連通之多個氣體出口引進惰性氣體於處理室中。 4 〇 . —種在回塡周期之期間中回塡半導體晶圓處理裝 置之處理室之方法,包括: 決定不產生不可接受之微粒污染於處理室中之最大 Reynolds 數; 在回塡週期之期間中,自多個注射位置引進惰性氣於 處理室中;及 在整個回塡週期中,大致以最大 Reynolds數供應 惰性氣體於注射位置,俾在處理室中之壓力約以第二階多 項式隨時間增加。 4 1 .如申請專利範圍第 4 0項所述之方法,其中, 引進步驟包含在回塡週期之期間中自延伸大致鄰近處理室 之反應區之一長管之多個氣體出口引進惰性氣體於處理室 中 。 -32 - (9) (9)200416774 42.如申請專利範圍第4 〇項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自多個氣體出口引進 惰性氣體於處理室中,該等氣體出口沿一氣體流槽道大4 均勻分佈,並與此在氣體流上連通,氣體流槽道置纟、^ — 室中反應區外。 4 3.如申請專利範圍第 4 〇項所述之方法’其中’ 引進步驟包含在回塡週期之期間中,自延伸大致鄰近處理 室之反應區之多個長管之氣體出口,及自沿處理室之反應 區外所設置之一氣體流槽道大致均勻分佈並與其在氣體流 上連通之多個氣體出口引進惰性氣體於處理室中。 4 4 . 一種回塡處理室之方法,包括: 決定不產生不可接受之微粒污染於處理室中之最大 R e y η ο 1 d s 數; 在回塡週期之期間中,大致以最大 Reynolds數引 進惰性氣體於處理室中。 4 5 .如申請專利範圍第 4 4 項所述之方法,其中, 引進步驟包含依據在時間上之多個恆定質量流率控制通過 導管之質量流率。 4 6.如申請專利範圍第4 5項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自延伸大致鄰近處理 室之反應區之多個氣體出口引進惰性氣體於處理室中。 4 7·如申請專利範圍第4 5項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自多個氣體出口引進 惰性氣體於處理室中’該等氣體出口沿一氣體流槽道大致 -33 - (10) (10)200416774 均勻分佈,並與此在氣體流上連通,氣體流槽道置於處理 室中反應區外。 . 4 8.如申請專利範圍第 4 5 項所述之方法,其中, 引進步驟包含在回塡週期之期間中,自延伸大致鄰近處理 室之反應區之多個長管之氣體出口,及自大致均勻分佈於 處理室之反應區外所設置之一氣體流槽道頂上並與其在氣 體流上連通之多個氣體出口引進惰性氣體於處理室中。(1) (1) 200416774 Patent application scope 1. A semiconductor wafer processing device comprising: a processing chamber for processing a batch of at least one semiconductor wafer in a reaction zone; a gas injector including: a A gas injection position in a substantially uniform annular distribution is used to evenly introduce the gas around the reaction zone; and a cavity communicates with the gas injection position on the gas flow; and a gas inlet port communicates with the cavity on the gas flow. 2. The semiconductor wafer processing apparatus according to item 1 of the scope of the patent application, wherein the cavity is a gas flow channel; and the gas injector includes a plurality of injection ports that are approximately evenly distributed on the top of the gas flow channel. 3. The semiconductor wafer processing apparatus according to item 2 of the scope of patent application, wherein the injection port is an orifice type. 4. The semiconductor wafer processing apparatus according to item 3 of the scope of patent application, wherein the injection port is a short tube member. 5. The semiconductor wafer processing apparatus according to item 3 of the scope of patent application, wherein the injection port is a hole. 6. The semiconductor wafer processing apparatus according to item 2 of the scope of patent application, wherein the injection port is a sintered metal type. 7. The semiconductor wafer processing apparatus according to item 1 of the scope of patent application, wherein the gas injector includes a porous member and is placed on the cavity. 8. The semiconductor wafer processing device described in item 7 of the scope of the patent application. -25- (2) (2) 200416774 device, wherein the porous member contains sintered metal. 9. The semiconductor wafer processing apparatus described in item 1 of the scope of patent application, wherein: the processing chamber is generally tubular; and the gas injector is substantially circular and is substantially coaxial with the processing chamber. 10. The semiconductor wafer processing apparatus according to item 9 of the scope of the patent application, wherein: the cavity is a substantially circular gas flow channel, which is substantially coaxial with the processing chamber; and the gas injector includes a porous ring, which It is on the entire gas flow channel and communicates with it on the gas flow. · 11. The semiconductor wafer processing apparatus according to item 10 of the patent application scope, wherein the porous ring comprises a sintered metal. 1 2 · The semiconductor wafer processing device as described in item U of the patent application scope, wherein the gas injector further includes a perforated metal ring that matches the sintered metal along a first surface, and the perforated metal ring is mounted on the gas Flow channel. 13. The semiconductor wafer processing apparatus according to item 12 of the scope of patent application, wherein the gas injector further comprises a continuous metal ring, which is matched with the sintered metal along a second surface opposite to the first surface. 14. The semiconductor wafer processing device according to item 1 of the scope of patent application, wherein the batch is not more than 200 wafers at a time. 15. The semiconductor wafer processing apparatus described in item 1 of the scope of patent application, further comprising an additional gas injector extending adjacent to the reaction zone. -26- (3) (3) 200416774 i 6. A semiconductor wafer processing device, comprising: a vertical processing chamber; a circular and substantially uniformly distributed gas injection position, which communicates with the processing chamber on a vertical gas flow; a The gas flow channel communicates with the gas injection position on the gas flow; and a gas inlet port communicates with the gas flow channel on the gas flow. 17. A semiconductor wafer processing apparatus includes: a vertical processing chamber having an inner tube and an outer tube, the inner tube defining a vertical reaction zone, and the inner and outer tubes defining an annular channel for exhausting the reaction zone Gas; an inflatable chamber with a short cylindrical shape with an opening through it for introducing wafer carriers, an outer annular support seat to support the outer tube, and an inner annular support seat to support the inner tube; A syringe includes: a generally annular gas flow channel placed in a gas-filled room around the opening therein; and a plurality of injection ports that are approximately evenly distributed on the top of the gas flow channel and communicate with it on the gas flow and placed The inner tube is used to introduce gas into the reaction zone; a gas inlet communicates with the gas flow channel on the gas flow to provide gas there; and a discharge □ communicates with the annular channel on the gas flow. 18. The semiconductor wafer processing device as described in item 17 of the scope of the patent application, further comprising a long tubular syringe placed in the inner tube, and extending from the inflation chamber -2Ί-(4) (4) 200416774 extending approximately adjacent to the vertical A reaction zone, wherein the syringe contains a plurality of gas outlets. 19. The semiconductor wafer processing device as described in item 18 of the scope of patent application, further comprising an extra long tube syringe inside the inner tube, and extending from the inflation chamber substantially adjacent to the vertical reaction zone, wherein the additional syringe includes a plurality of Gas outlet. 2 〇. — A method of resurrecting a processing chamber of a semiconductor wafer processing apparatus during a resurgence cycle, including: never producing an unacceptable maximum amount of particulate contamination in the processing chamber; During this period, inert gas was introduced into the processing chamber from multiple injection positions; and during the entire recovery cycle, the inert gas was supplied to the injection position at approximately the maximum flow rate. The pressure in the processing chamber was approximately Time increases. 2] The method as described in item 20 of the scope of the patent application, wherein the introducing step includes introducing an inert gas from a plurality of gas outlets of a long tube extending one of the reaction zones approximately adjacent to the processing chamber during the reentry cycle. In the processing room. 22. The method according to item 20 of the scope of patent application, wherein the introducing step includes introducing an inert gas into the processing chamber from a plurality of gas outlets during the recovery cycle, and the gas outlets are along a gas flow channel It is substantially uniformly distributed and communicates with the gas flow, and the gas flow channel is placed outside the reaction zone in the processing chamber. -28- (5) (5) 200416774 23. The method as described in item 20 of the scope of patent application, wherein the introducing step includes a plurality of reaction zones extending substantially adjacent to the reaction zone of the processing chamber during the recovery cycle The gas outlet of the long tube and a gas flow channel provided outside the reaction zone along the processing chamber are substantially uniformly distributed and communicate with the gas outlets on the gas flow to introduce an inert gas into the processing chamber. 2 4. — A method of resurfacing a processing chamber of a semiconductor wafer processing apparatus during a resurgence cycle, including: determining a maximum flow rate that does not generate unacceptable particulate contamination in the processing chamber; during the resurgence cycle Introduce inert gas into the processing chamber from multiple injection positions; and supply inert gas to the injection position at approximately the maximum flow rate during the entire loop cycle. The pressure in the processing chamber increases exponentially with time. 25. The method as described in item 24 of the scope of patent application, wherein the introducing step includes introducing an inert gas from a plurality of gas outlets of a long tube extending one of the reaction zones approximately adjacent to the processing chamber during the recovery cycle. In the processing room. 26. The method as described in item 24 of the scope of patent application, wherein the introducing step includes introducing an inert gas into the processing chamber from a plurality of gas outlets during a recovery cycle, the gas outlets along a gas flow channel It is approximately uniformly distributed and communicates with this on the gas flow. The gas flow channel is placed outside the reaction zone in the processing chamber. 27. The method as described in item 24 of the scope of patent application, wherein the introduction step includes the step of 'self-extending approximately adjacent to the processing zone of the (6) (6) 200416774 chamber in the period of the recovery cycle. The gas outlet and a plurality of gas outlets which are arranged substantially uniformly and are connected to the gas flow channel outside the reaction zone along the processing chamber introduce inert gas into the processing chamber. 2 8. A method of returning to the treatment chamber, including: determining a maximum flow rate in which no particulate pollution occurs in the treatment chamber; and introducing an inert gas into the treatment chamber at approximately the maximum flow rate during the return period. 29. The method of claim 28, wherein the introducing step includes exponentially controlling the mass flow rate through a conduit over time. 30. The method as described in item 29 of the scope of patent application, wherein the mass flow rate through the catheter is controlled as follows: m = (P〇AV / R 丁) e (AV / V) t where 'ρ represents processing The initial pressure of the chamber, A is the cross-sectional area of the duct, V is the flow velocity through the cross-section, R is the global gas constant, T is the temperature, V is the capacity of the processing chamber, and t is the time. The method according to item 28 of the patent scope, wherein the introducing step includes introducing an inert gas into the processing chamber from a plurality of gas outlets of a long tube extending substantially adjacent to a reaction zone of the processing chamber during the recovery cycle. 3 2. The method according to item 28 of the scope of patent application, wherein the introducing step includes introducing -30- (7) (7) 200416774 inert gas from the multiple gas outlets into the processing chamber during the recovery cycle. The gas outlets are substantially uniformly distributed along a gas flow channel and communicate with the gas flow. The gas flow channel is placed outside the reaction zone in the processing chamber. 3 3. The method as described in item 30 of the scope of patent application, wherein the introduction step includes the gas outlets of a plurality of long tubes extending from the reaction zone substantially adjacent to the processing chamber during the recovery cycle, and self-uniformly distributed An inert gas is introduced into the processing chamber by a plurality of gas outlets provided on the top of a gas flow channel outside the reaction zone of the processing chamber and communicating with the gas flow. 3 4. A method of recirculating the processing chamber, including: determining a maximum flow amount in the processing chamber that does not generate particulate pollution; and introducing an inert gas into the processing chamber at approximately the maximum flow rate during the recirculation period. 35. The method of claim 34, wherein the introducing step includes linearly controlling the mass flow rate through a conduit over time. 36. The method as described in item 35 of the scope of patent application, wherein the mass flow rate through the catheter is controlled as follows: m = (MA / 2 V) t where m represents the mass flow rate, M represents the flow rate, and A Represents the area, V represents the capacity of the processing chamber, and t represents time. 37. The method as described in item 34 of the scope of patent application, wherein the introducing step includes introducing an inert gas from a plurality of gas outlets of a long tube extending a reaction zone approximately adjacent to the processing chamber during the reentry cycle. -31-(8) (8) 200416774. 38. The method according to item 34 of the scope of patent application, wherein the introducing step includes introducing an inert gas into the processing chamber from a plurality of gas outlets during the recovery cycle, and the gas outlets flow along a gas stream. The channels are approximately evenly distributed and communicate with this on the gas flow. The gas flow channels are placed outside the reaction zone in the processing chamber. 39. The method according to item 34 of the scope of patent application, wherein the introduction step includes a gas outlet from a plurality of long tubes extending substantially adjacent to the reaction zone of the processing chamber during the recovery cycle, and a self-uniform A plurality of gas outlets located on the top of a gas flow channel arranged outside the reaction zone of the processing chamber and communicating with the gas flow channel introduce an inert gas into the processing chamber. 4 〇. — A method of retrieving the processing chamber of a semiconductor wafer processing device during the resurgence cycle, including: determining the maximum number of Reynolds that does not generate unacceptable particulate pollution in the processing chamber; during the resurgence cycle Introduce inert gas into the processing chamber from multiple injection positions; and supply inert gas to the injection position approximately at the maximum Reynolds number during the entire retort cycle. increase. 41. The method as described in item 40 of the scope of patent application, wherein the introducing step includes introducing an inert gas from a plurality of gas outlets of a long tube extending from a reaction zone approximately adjacent to the processing chamber during the reentry cycle. Processing room. -32-(9) (9) 200416774 42. The method as described in item 40 of the scope of the patent application, wherein the introducing step includes introducing an inert gas into the processing chamber from a plurality of gas outlets during the recovery cycle. These gas outlets are evenly distributed along a large gas flow channel, and communicate with this on the gas flow. The gas flow channel is located outside the reaction zone in the chamber. 4 3. The method 'wherein' as described in item 40 of the patent application scope includes the step of introducing a gas outlet from a plurality of long tubes extending substantially adjacent to the reaction zone of the processing chamber during the recovery cycle, and A gas flow channel provided outside the reaction zone of the processing chamber is substantially uniformly distributed and a plurality of gas outlets communicating with the gas flow channel introduce an inert gas into the processing chamber. 4 4. A method of returning to the processing chamber, comprising: determining the maximum number of Rey η ο 1 ds that does not produce unacceptable particulate contamination in the processing chamber; during the recovery cycle, introducing inertia approximately at the maximum Reynolds The gas is in the processing chamber. 4 5. The method as described in item 44 of the scope of patent application, wherein the introducing step includes controlling the mass flow rate through the catheter based on a plurality of constant mass flow rates over time. 4 6. The method as described in item 45 of the scope of patent application, wherein the introducing step includes introducing an inert gas into the processing chamber from a plurality of gas outlets extending in a reaction zone substantially adjacent to the processing chamber during the recovery cycle. . 47. The method as described in item 45 of the scope of patent application, wherein the introducing step includes introducing an inert gas from a plurality of gas outlets into the processing chamber during the recovery cycle. The gas outlets are along a gas flow The channel is approximately -33-(10) (10) 200416774 evenly distributed and communicates with this on the gas flow. The gas flow channel is placed outside the reaction zone in the processing chamber. 4 8. The method as described in item 45 of the scope of patent application, wherein the introducing step includes a gas outlet from a plurality of long tubes extending substantially adjacent to a reaction zone of the processing chamber during the recovery cycle, and A plurality of gas outlets which are substantially uniformly distributed on the top of a gas flow channel provided outside the reaction zone of the processing chamber and communicate with it on the gas flow introduce an inert gas into the processing chamber. -34 --34-
TW92119299A 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber TW200416774A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US42852602P 2002-11-22 2002-11-22

Publications (1)

Publication Number Publication Date
TW200416774A true TW200416774A (en) 2004-09-01

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment
TW92119297A TW200409176A (en) 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus
TW92119303A TW200406818A (en) 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber
TW92119298A TW200416773A (en) 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119301A TW200416775A (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges
TW92119299A TW200416774A (en) 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber

Family Applications Before (8)

Application Number Title Priority Date Filing Date
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment
TW92119297A TW200409176A (en) 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus
TW92119303A TW200406818A (en) 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber
TW92119298A TW200416773A (en) 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119301A TW200416775A (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges

Country Status (6)

Country Link
EP (2) EP1522090A4 (en)
JP (2) JP2005533378A (en)
CN (1) CN1643322A (en)
AU (9) AU2003249030A1 (en)
TW (9) TW200419890A (en)
WO (9) WO2004008054A1 (en)

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (en) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusion furnace and method for generating a gas flow
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (en) * 2008-03-31 2012-02-29 株式会社山武 Flow control system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (en) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 Linkage system
KR101877494B1 (en) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 Vacuum heat treatment apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (en) * 2012-09-17 2014-09-18 주식회사 유진테크 Apparatus for processing substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10386019B2 (en) 2013-03-15 2019-08-20 Southwire Company, Llc Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20160348240A1 (en) * 2014-01-27 2016-12-01 Applied Materials, Inc High speed epi system and chamber concepts
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (en) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
JP6143964B1 (en) * 2016-01-25 2017-06-07 三菱電機株式会社 Control device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
FR3057391B1 (en) * 2016-10-11 2019-03-29 Soitec THERMAL TREATMENT EQUIPMENT WITH COLLECTING DEVICE
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102127130B1 (en) * 2017-02-17 2020-06-26 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing device, manufacturing method and program of semiconductor device
JP7158133B2 (en) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (en) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019099125A1 (en) * 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (en) * 2018-07-30 2023-01-18 Tdk株式会社 Load port device, semiconductor manufacturing device, and method for controlling atmosphere in pod
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy Substrate processing apparatus and method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (en) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 High Pressure Chamber to Improve Cooling Efficiency
CN114990299B (en) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPH088220B2 (en) * 1988-09-05 1996-01-29 株式会社日立製作所 Semiconductor wafer heat treatment apparatus and heat treatment method
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
DE3885833T2 (en) * 1987-09-22 1994-03-24 Nec Corp Chemical vapor deposition apparatus for the production of high quality epitaxial layers with a uniform density.
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH02130943A (en) * 1988-11-11 1990-05-18 Tel Sagami Ltd Accommodation jig
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (en) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch METHOD FOR THERMALLY TREATING SEMICONDUCTOR MATERIALS AND DEVICE FOR CARRYING OUT THE SAME
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (en) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 Method of forming doped thin film
JP3040212B2 (en) * 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
DE69221152T2 (en) * 1992-05-15 1998-02-19 Shinetsu Quartz Prod VERTICAL HEAT TREATMENT DEVICE AND HEAT INSULATION MATERIAL
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (en) * 1993-07-24 2000-03-21 ヤマハ株式会社 Vertical heat treatment furnace and heat treatment method
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (en) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
JPH08213446A (en) * 1994-12-08 1996-08-20 Tokyo Electron Ltd Processing equipment
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (en) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat insulation structure thereof, and heat shield plate
JP3423131B2 (en) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 Heat treatment equipment and treatment equipment
JPH09306980A (en) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd Vertical wafer boat
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
DE69940161D1 (en) * 1998-06-18 2009-02-05 Kline & Walker L L C AUTOMATIC DEVICE FOR MONITORING EQUIPPED OPTIONS AND MACHINES WORLDWIDE
JP3487497B2 (en) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 Object to be processed accommodation jig and heat treatment apparatus using the same
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (en) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd Substrate treating system
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (en) * 2000-01-21 2003-05-12 株式会社真空技研 Ultra high temperature heat treatment equipment
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (en) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (en) * 2002-04-01 2012-02-08 株式会社エフティーエル Semiconductor device manufacturing equipment

Also Published As

Publication number Publication date
TW200416775A (en) 2004-09-01
AU2003249028A1 (en) 2004-02-02
EP1522090A2 (en) 2005-04-13
AU2003253874A1 (en) 2004-02-02
TW200406818A (en) 2004-05-01
AU2003256486A8 (en) 2004-02-02
WO2004008491A2 (en) 2004-01-22
CN1643322A (en) 2005-07-20
WO2004008008A3 (en) 2004-12-16
EP1522090A4 (en) 2006-04-05
AU2003259104A1 (en) 2004-02-02
EP1540258A1 (en) 2005-06-15
JP2005533232A (en) 2005-11-04
WO2004008008A2 (en) 2004-01-22
WO2004008054A9 (en) 2005-01-13
WO2004008493A3 (en) 2004-05-27
AU2003253907A1 (en) 2004-02-02
WO2004008494A2 (en) 2004-01-22
AU2003256487A1 (en) 2004-02-02
AU2003253874A8 (en) 2004-02-02
AU2003249029A8 (en) 2004-02-02
TW200419890A (en) 2004-10-01
AU2003249029A1 (en) 2004-02-02
TW200411717A (en) 2004-07-01
TW200405401A (en) 2004-04-01
AU2003259104A8 (en) 2004-02-02
WO2004007318A3 (en) 2004-08-05
WO2004008493A2 (en) 2004-01-22
WO2004008054A1 (en) 2004-01-22
WO2004007800A9 (en) 2005-01-13
TW200416773A (en) 2004-09-01
WO2004008052A3 (en) 2004-05-13
WO2004007318A2 (en) 2004-01-22
AU2003249030A8 (en) 2004-02-02
AU2003253873A1 (en) 2004-02-02
AU2003256486A1 (en) 2004-02-02
TW200411960A (en) 2004-07-01
WO2004008491A3 (en) 2004-06-03
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
WO2004007105A1 (en) 2004-01-22
WO2004008493A9 (en) 2004-07-22
AU2003253907A8 (en) 2004-02-02
JP2005533378A (en) 2005-11-04
TW200409176A (en) 2004-06-01
AU2003249030A1 (en) 2004-02-02
WO2004008052A2 (en) 2004-01-22

Similar Documents

Publication Publication Date Title
TW200416774A (en) Apparatus and method for backfilling a semiconductor wafer process chamber
TWI697579B (en) Atomic layer deposition chamber with thermal lid
TWI276698B (en) Chemical vapor deposition reactor
KR880000472B1 (en) Chemical vapor deposition apparatus
TWI524371B (en) Batch processing chamber with diffuser plate and injector assembly
US7632354B2 (en) Thermal processing system with improved process gas flow and method for injecting a process gas into a thermal processing system
EP3659175A1 (en) Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US4640223A (en) Chemical vapor deposition reactor
JP5619164B2 (en) CVD method and CVD reactor
JP2007525017A (en) Heat treatment system with cross-flow liner
JPS61143578A (en) Chemical vapor deposition reactor
EP0051677A1 (en) Process and apparatus for chemical vapor deposition of films on silicon wafers
JP6088659B2 (en) Substrate processing apparatus and heater temperature control method
CN105531392A (en) Carburizing device
WO2019161109A1 (en) Plasma spreading apparatus and system, and method for spreading plasma in process ovens
JPH0261067A (en) Heat-treating device
US20040175956A1 (en) Method and device for doping, diffusion and oxidation of silicon wafers under reduced pressure
KR100639712B1 (en) Furnace apparatus and heat treatment method using the apparatus
JP4031601B2 (en) Vertical heat treatment equipment
JP2022541373A (en) porous inlet
JPS62136810A (en) Treater
KR20050058842A (en) Apparatus for manufacturing semiconductors
TWI681087B (en) Method for manufacturing silicon single crystal and pulling device for silicon single crystal
JPS60236216A (en) Vapor growth apparatus
JP2012248675A (en) Gas preheating cylinder, substrate processing apparatus, and substrate processing method