WO2004007318A2 - Loadport apparatus and method for use thereof - Google Patents

Loadport apparatus and method for use thereof Download PDF

Info

Publication number
WO2004007318A2
WO2004007318A2 PCT/US2003/021973 US0321973W WO2004007318A2 WO 2004007318 A2 WO2004007318 A2 WO 2004007318A2 US 0321973 W US0321973 W US 0321973W WO 2004007318 A2 WO2004007318 A2 WO 2004007318A2
Authority
WO
WIPO (PCT)
Prior art keywords
door
foup
loadport
opening
mini
Prior art date
Application number
PCT/US2003/021973
Other languages
French (fr)
Other versions
WO2004007318A3 (en
Inventor
Jeffery Edwards Kowalski
Original Assignee
Aviza Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Technology, Inc. filed Critical Aviza Technology, Inc.
Priority to AU2003253907A priority Critical patent/AU2003253907A1/en
Publication of WO2004007318A2 publication Critical patent/WO2004007318A2/en
Publication of WO2004007318A3 publication Critical patent/WO2004007318A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Definitions

  • the present invention relates generally to semiconductor processing equipment.
  • the present invention relates to a loadport apparatus and method for use in semiconductor processing equipment.
  • a large variety of processing equipment and apparatus are commonly used in the manufacture of integrated circuits (ICs) and semiconductor wafers. Such equipment is typically located in a semiconductor fabrication facility ("fab") and the semiconductor wafers are transported throughout the fab to the appropriate equipment for processing.
  • fab semiconductor fabrication facility
  • integrated circuits and semiconductor devices have become increasingly complex and typically include the fabrication of multiple layers of intricate devices and interconnects.
  • the size of the devices have greatly decreased, thereby significantly increasing the number of devices fabricated on a single semiconductor wafers.
  • the value of a semiconductor wafer increases substantially as a wafer progresses through the processing equipment in the fab.
  • U.S. Patent No. 4,770,590 discloses one example of a large batch vertical furnace having a wafer transfer mechanism and a boat exchange unit.
  • a cassette holder system 27 has a number of cassette support shelves 61 supported on a vertical rods 63, which in turn are operated by respective drive mechanisms 65 to facilitate the loading and unloading of cassettes into and out of the cassette holder system 27.
  • a wafer transfer module mechanism 29 handles the transfer of finished wafers from the boat 39 to empty cassettes after processing, as well as the transfer of unfinished wafers from other cassettes to the boat 39 for processing.
  • each process cycle usually requires a substantial amount of time, so the boat exchange unit 25 enables one boat 39 to be within the process chamber while wafers are transferred between the other boat 39 and the cassettes 37.
  • 6,428,262 directed to an ion implantation system, where the transfer design has load locks that are collocated with a vacuum robot section 32, in an attempt to decrease the volume and optimizing operations undertaken throughout the travel distance between a FOUP and the ion implantation chamber 14.
  • Throughput improvement is attempted when a group of wafers are moved from the FOUP by a first end effector and loaded into a load lock by raising the first end effector and by lowering a first load lock door of the load lock at a first atmosphere opened position (see Abstract).
  • the first load lock is then sealed to its sealed position by raising the first load lock door.
  • the load lock is then evacuated; and a second load lock door of the load lock is raised to a vacuum opened position.
  • a 3-axis robot moves one of the wafers from the load lock to the ion processing chamber.
  • a loadport apparatus particularly for batch processing, and method for use thereof, which facilitates improved wafer transfer speed and overcomes the above and other disadvantages of known loadports is needed.
  • the present invention advantageously provides desired throughput in semiconductor processing equipment, such as a small batch vertical furnace system, without the use of multiple load lock systems of the prior art.
  • the present invention provides transfer of wafers from a FOUP directly to a wafer carrier associated with the processing equipment.
  • An isolated loadlock allows for isolated sealing and purging and can be combined with a standard loadport. The combination can reduce the complexity and footprint of the system.
  • the typical two-stage load-lock can be combined into a common loadport.
  • This "load-lock" loadport of the present invention isolates two separate environments and is configured to match a first and second environment, such as for example the environment inside the FOUP and the environment inside the furnace.
  • pneumatic and or electric control of the loadport is provided which promotes maintaining the air-tight environment within the FOUP, whether the loadport is in an open or closed position.
  • the loadport apparatus includes a platform, a housing, a loadport door, a loadport door seal and a conditioning system.
  • the platform is configured for securably receiving the FOUP.
  • the housing includes an opening to a second chamber.
  • the housing is configured for sealably engaging the FOUP when the FOUP is secured to the platform.
  • the loadport door includes a door access mechanism for opening the door of the FOUP.
  • the loadport door is movable between an open position in which said opening is in direct communication with the second chamber, and a closed position.
  • the loadport door seal supports selectively sealing the opening from the second chamber when the loadport door is in the closed position.
  • a conditioning system is provided and in communication with the loadport apparatus. More specifically the conditioning system provides for conditioning a mini-environment chamber, said mini-environment chamber being defined by an the loadport door, the seal, the opening, and the interior of the FOUP when the loadport door is in the closed position, the loadport door seal is sealed, and the FOUP door is open.
  • a method of transferring a wafer from the FOUP to the processing equipment is also disclosed.
  • FIG. 1 generally illustrates a perspective view of a small batch vertical furnace system having four units, in accordance with one illustrative embodiment.
  • FIG. 2 generally illustrates a side plan view in accordance with FIG. 1 of one unit.
  • FIG. 3 generally illustrates a top view in accordance with FIG. 1 of one unit.
  • FIG. 4 generally illustrates a front plan view of the loadport in accordance with FIG. 2.
  • FIG. 5 generally illustrates a cross-sectional view of the loadport in accordance with FIG. 2.
  • FIG. 6 is a schematic view of a loadport apparatus including a FOUP coupled with a movable container mount, in accordance with one illustrative embodiment of the present invention.
  • FIG. 7 is a schematic view in accordance with FIG. 6 illustrating the loadport apparatus including a FOUP in sealed communication with the housing.
  • FIG. 8 is a schematic view in accordance with FIG. 6 illustrating the door access mechanism in an extended position.
  • FIG. 9 is a schematic view in accordance with FIG. 6 illustrating extending the door access mechanism and engaging a FOUP door.
  • FIG. 10 is a schematic view in accordance with FIG. 6 illustrating retracting the FOUP door access mechanism coupled with a removable door of the FOUP.
  • FIG. 11 is a schematic view in accordance with FIG. 6 illustrating a mini- environment chamber according to one embodiment of the present invention.
  • FIG. 12 is a schematic view in accordance with FIG. 6 illustrating a loadport door in an open position.
  • An illustrative semiconductor processing equipment 100 in this example a small batch vertical furnace system, having the loadport apparatus of the present invention is shown in perspective view in FIG. 1, in a side plan view in FIG. 2, and a top view in FIG. 3. While the furnace system 100 is shown with four units 110, 120, 130 and 140, it will be appreciated that a system may have only one or any number of units, as desired.
  • the units may be any type of processing unit and may be identical to one another, different from one another, or a combination of both.
  • all of the units 110, 120, 130 and 140 are comprised of vertical batch furnace systems. While processing equipment described herein are small batch vertical furnace units, the invention is not limited to such, and may be employed with many other types of semiconductor processing equipment.
  • each unit includes a process chamber 111, 121, 131, 141, in which various thermal processes are carried out.
  • an elevator 112 is used to move a wafer carrier 113 containing a plurality of semiconductor wafers into and out of the process chamber 111.
  • each of the other units 120, 130, 140 also include associated elevators (only 142 shown) and wafer carriers 123, 133, 143 for conveying wafers in and out of the respective process chambers 121, 131, 141.
  • associated elevators only 142 shown
  • wafer carriers 123, 133, 143 for conveying wafers in and out of the respective process chambers 121, 131, 141.
  • one unit will describe in detail and it is understood that such description is applicable to the other units.
  • wafer is used broadly herein to indicate any substrate containing a plurality of integrated circuits, one or more flat panel displays, and the like. Wafers are transported between one or more FOUP and the units. As shown in Fig. 1 two FOUPs are associated with each unit. FOUPs 116, 118 are associated with unit 110, FOUPs 126, 128 are associated with unit 120, FOUPs 136, 138 are associated with unit 130, and FOUPs 146, 148 are associated with unit 140. Other arrangements and number of FOUPs may be used. FOUPs are well known and are highly standardized in the industry. The FOUP is generally a pod like shaped sealed container which houses a plurality of wafers in the interior of the FOUP.
  • the FOUP has a FOUP door to allow access to the wafers. As FOUPs are well know, it is not described in further detail herein. [0025) Referring to Fig. 2 wafers are transported between FOUP 118 and the wafer carrier 113 via a wafer transfer unit 114.
  • the interior of the FOUP represents a first environment, and the general interior of the processing equipment (such as at the wafer carrier 113) represents a second environment.
  • the FOUP 118 is supported on a platform 119 coupled to the loadport 200.
  • the loadport 200 (FIG. 2) is configured to securable received the FOUP. While the loadport 200 is visible in the side view it should be understood that each chamber 111, 121, 131, 141 has an associated loadport.
  • An automated and or manual process may be used to couple the FOUP 118 with the loadport 200.
  • the elevator 112 is lowered so that the carrier 113 is generally positioned opposite the FOUP 118 when mated to the loadport 200.
  • the first environment is typically comprised of a volume of gas having a concentration of approximately 21% oxygen by volume
  • the second environment is typically comprised of a volume of gas having a concentration of approximately 5ppm oxygen.
  • the present invention provides for conditioning the wafers, or allowing for exposure of the wafers from one environment to the other as needed during processing.
  • the loadport 200 generally includes a housing 240, a loadport door 250, an loadport door seal 260, and a conditioning system 270.
  • a mini-environment chamber 271 is defined by the loadport door 250, load port door seal 260, a FOUP seal 246, an opening 241, and an interior 213 of the FOUP 210 when the loadport door 250 is in the closed position 253, the loadport door seal 260 is sealed, and the FOUP door 211 is open.
  • the conditioning system 270 includes a gas inlet port 273, a gas exhaust port 274, and is in communication with the mini-environment chamber 271 for conditioning the mini- environment chamber 271.
  • the conditioning system 270 includes one gas inlet port 273 and one gas exhaust port 274 provided within the housing 240.
  • gas inlet ports and gas exhaust ports may be configured and used as desired.
  • gas inlet ports and gas exhaust ports may be coupled with the movable loadport door 250, a recess 254, and/or the FOUP 210, as desired.
  • the loadport door seal 260 is preferably a compression seal that engages the loadport door 250 and the housing 240 for selectively sealing the opening 241 from the second chamber 220. As illustrated in FIG. 5 the loadport door seal 260 seals the opening 241 from the second chamber 220. As illustrated in FIG. 9 the loadport door seal 260 is deflated and moved with the load port door 150 to an open position (FIG. 12). One should appreciate that any number of configurations may be used as a seal, including an inflatable seal. [0030] As illustrated in FIG. 6 the FOUP platform 230 is configured for receiving and securing the FOUP 210.
  • the FOUP platform 230 illustratively includes a pair of kinematic pins 231-1 and 231-2, a pair of position sensors 232-1 and 232-2 illustratively located adjacent to the kinematic pins 231-1 and 231-2, and a FOUP locking mechanism 233.
  • One or more kinematic pins may be used for receiving the FOUP 210.
  • One or more position sensors may be positioned adjacent to one or more kinematic pins for sensing the position of the FOUP 210.
  • three kinematic pins (only pins 231-1 and 231-2 are shown), corresponding with three kinematic pin sensors (only sensors 232-1 and 232-2 are shown) are included in the platform 230.
  • each kinematic pin sensor is positioned adjacent to a corresponding kinematic pin to determine if a FOUP 210 has been received.
  • kinematic pins and/or kinematic pin sensors may be used within the teaching of the present invention.
  • locking mechanism 233 is illustratively an angular latch that is received by FOUP 210, such that rotational actuation of the angular latch secures the FOUP 210 to the platform 230.
  • locking mechanisms are typically defined by a specification corresponding to a given loadport.
  • a moveable container mounting system 239 may be defined to include the platform 230, a platform base 234, and a dock actuation mechanism 237.
  • the moveable container mounting system 239 secures the FOUP 210 to the platform 230, while locking mechanisms 242 (such as, for example FOUP clamps 242-2, and 242-2 illustrated in FIG. 4) engage corresponding pins (not shown) that project from the FOUP 210 to secure the FOUP 210 to the housing 240.
  • the platform 230 is mounted on a platform base 234 to support movement of the FOUP platform 230 between two or more docking positions.
  • a dock actuation mechanism 237 between the FOUP platform 230 and the platform base 234 supports the movement of platform 230.
  • the docking positions as illustrated includes an undocked position 235 (FIG. 6) and a docked position 236 (FIG. 7).
  • a dock sensor 238 may be used to sense the position of the FOUP platform 230.
  • the dock actuation mechanism 237 includes an air cylinder for actuating movement between the docking positions 235 and 236.
  • the housing 240 includes an opening 241 to the second chamber 220.
  • the second chamber 220 is generally defined herein as where processing takes place, such as a furnace environment; however, the second chamber is not necessary a processing chamber and may be any other chamber employed in the system.
  • the FOUP 210 transitions from an undocked position 235 to a docked position 236 adjacent the housing 240.
  • One or more locking mechanisms 242 such as, for example, clamps 242-1 and 242-2 (FIG. 4) engage the FOUP 210 to ensure an air tight seal between FOUP 210 and the housing 240.
  • the locking mechanism 242 is a pair of clamps that engage pins protruding from opposite sides of the FOUP 210 in proximity to a top surface of the FOUP 210.
  • the locking mechanism 242 engage and bias the FOUP 210 toward the housing 240.
  • the FOUP 210 is secured to the platform 230 and sealed with the housing 240.
  • a FOUP seal 246 is positioned adjacent the opening 241 for sealing the FOUP 210 in the docked position 236.
  • Locking mechanism 242 engage and bias the FOUP 210 toward the housing opening 241 such that the FOUP seal 246 seals the housing of the FOUP 210 with respect to the housing 240.
  • the FOUP seal is an o-ring seal residing in the housing 240.
  • a container engagement sensor 243 is mounted to the housing 240 and is used to determine if the FOUP 210 is engaged with the housing 240.
  • a container engagement sensor 243 is mounted to the housing 240 and is used to determine if the FOUP 210 is engaged with the housing 240.
  • any configuration and number of container engagement sensors 244 may be used as desired.
  • loadport door 250 is movable in any direction as desired between an open position (FIG. 12) and a closed position 253.
  • the loadport door 250 includes a recess 254 containing the FOUP door access mechanism 255 and having sufficient room to store the FOUP door 211.
  • loadport door 250 is in the closed position 253 with respect to the opening 241 thereby sealing the second chamber 220 from the external ambient. Moving the loadport door 250 to the closed position 253 and actuating the loadport door seal 260 seals the second chamber 220 from the opening 241.
  • the recess 254 of the loadport door 250 is adjacent to the opening 241 while the loadport door 250 is in the closed position 253. While the FOUP 210 is engaged with the housing, the FOUP door 211 is accessible through the opening 241.
  • the FOUP door access mechanism 255 is extended from the recess 254 and engages the FOUP door 211 for opening and/or closing the FOUP door 211.
  • the FOUP door access mechanism 255 is mounted within the recess 254 and is moved with the loadport door 250 between the open position 252 (FIG. 12) and the closed position 253 (FIG. 11).
  • FOUP door access mechanism 255 may be used for engaging the FOUP door 211, such as, for example a FOUP door access mechanism causes the FOUP door to retract into the FOUP.
  • the FOUP door access mechanism 255 includes an illustrative latching assembly 256 having one or more turn keys such as a turn key 257, an alignment pin 258, and a sensor 259.
  • the latching assembly 256 engages the FOUP door 211 through opening 241.
  • One or more turn keys 257 are used to secure the FOUP door 211 to the FOUP door access mechanism 255.
  • One or more alignment pins 258 are used to align the removable FOUP door 211 with respect to the latching assembly 256.
  • One or more sensors 259 may be used to confirm the position of the removable door with respect to the latching assembly 256.
  • a turn key pair 258 is used to engage the FOUP door 211 using a single actuation device capable of twisting the pair of turn keys simultaneously between an engaging position and a releasing position.
  • the FOUP door access mechanism 255 is retractably extended from the recess 254 to engage the FOUP door 211 as illustrated in Fig. 9. As the FOUP door access mechanism 255 is extended the alignment pin 258 aligns the FOUP door 211 and latching assembly 256. The sensor 259 indicates the proximity of the removable door and the latching assembly 256. One or more turn key 257 are actuated to engage the FOUP door 211. The FOUP door access mechanism 255 retracts into the recess 254 along with the FOUP door 211 as shown in FIGs. 10 and 11. Opening the FOUP door 211 allows access to the interior 213 of the FOUP 210.
  • the interior 213 of the FOUP 210 is purged and this is typically performed while the loadport door 250 is in the closed position 253 (as shown in FIG. 11), loadport door seal 260 is sealed, and FOUP seal 264 is sealed.
  • the FOUP 210 (such as FOUP 118) is secured to the housing 240 of a wafer processing apparatus that typically includes a second chamber 220.
  • FOUP 210 is secured to the housing 240 about the opening 241, using for example the FOUP seal 264.
  • FOUP 210 is opened after the FOUP 118 is in sealed engagement with the housing 240 about an opening 241.
  • Opening the FOUP door 211 is performed by extending the FOUP door access mechanism 255 through the opening 241 to engage and open the FOUP door 211. Opening the FOUP door 211 includes coupling the FOUP door access mechanism 255 with a removable FOUP door 211 and retracting both the FOUP door access mechanism 255 and the removable FOUP door 211 into a recess defined within the loadport door 250.
  • the mini-environment chamber is purged by dispensing a first gas into the mini-environment through a gas inlet, and discharging the first gas from the mini-environment through a gas outlet.
  • the mini-environment chamber is defined for gas flow through one or more gas inlets 273, through a portion of the interior 213 of the FOUP 210, and through one or more gas outlets 274.
  • the mini-environment chamber 271 is conditioned by a purge gas entering the mini-environment chamber 271 through a gas inlet port 273.
  • the purge gas and undesirable material are discharged through the gas outlet port 274 with any undesirable material, such as, for example, oxygen, moisture, and particulate material.
  • the amount of purge gas expended is determined in part by the size of the chamber.
  • the mini-environment chamber 271 provides a smaller chamber that typically consumes less purge gas during a purging process.
  • the loadport door 250 is opened by unsealing the loadport door seal 260 and then moving 251 the loadport door 250 from the closed position 253 (FIG. 11) to an open position 252 (FIG. 12) to support communication between the interior 213 of the FOUP 210 and the second chamber 220 through the opening 241.
  • a wafer 212 contained within the FOUP 210 may be moved from the FOUP 210 into the second chamber 220. After processing, the wafer is moved into the same FOUP or into another FOUP. According to one illustrative embodiment, the wafer is subsequently be placed in another FOUP such as for example FOUP 116.
  • the loadport door 250 is closed by moving the loadport door 250 from the open position 253 (FIG. 12) to a closed position 252 (FIG. 11) and sealing the loadport door seal 260 to seal the opening 241 from the second chamber 220.
  • Closing the FOUP door 211 is performed by extending the FOUP door access mechanism 255 through the opening 241 to return the FOUP door 211 to the FOUP 210.
  • closing the FOUP door 211 involves extending both the FOUP door access mechanism 255 and the FOUP door 211 from the recess 254 defined within the loadport door 250, closing the FOUP door 211 to the FOUP 210, releasing the FOUP door 211 from the FOUP door access mechanism 255, and retracting the FOUP door access mechanism 255 into the recess 254.
  • FOUP 210 then is released from the housing 240 and the FOUP platform 234.

Abstract

A loadport apparatus for conditioning the interior of a FOUP having a FOUP door. The apparatus includes platform, a housing, an loadport door, a loadport door seal and a conditioning system. The FOUP platform receives the FOUP. The housing includes an opening to a second chamber. The housing is configured for sealably engaging the FOUP. The loadport door includes a FOUP door access mechanism for opening the FOUP. The loadport door is movable between an open position and a closed position. The loadport door seal selectively seals the opening from the second chamber when the loadport door is in the closed position. The conditioning system communications with the opening for conditioning a mini-environment chamber defined by the loadport door, the seal, the opening, and an interior of the FOUP when the loadport door is in the closed position, the loadport door seal is sealed, and the FOUP door is open. A method is also disclosed.

Description

LOADPORT APPARATUS AND METHOD FOR USE THEREOF
CROSS REFERENCE TO RELATED APPLICATION
[oooi] This application claims the benefit of and priority to U.S. Provisional Patent
Application Serial No. 60/396,536 entitled "Thermal Processing System" filed July 15, 2002 and U.S. Provisional Patent Application Serial No. 60/428,526 entitled "Thermal Processing System and Method for Using the Same" filed November 22, 2002, both of which are hereby incorporated herein in their entirety.
BACKGROUND OF THE INVENTION
Field of the Invention
10002] The present invention relates generally to semiconductor processing equipment.
In particular, the present invention relates to a loadport apparatus and method for use in semiconductor processing equipment.
Description of Related Art
[0003] A large variety of processing equipment and apparatus are commonly used in the manufacture of integrated circuits (ICs) and semiconductor wafers. Such equipment is typically located in a semiconductor fabrication facility ("fab") and the semiconductor wafers are transported throughout the fab to the appropriate equipment for processing. With advances in the industry, integrated circuits and semiconductor devices have become increasingly complex and typically include the fabrication of multiple layers of intricate devices and interconnects. The size of the devices have greatly decreased, thereby significantly increasing the number of devices fabricated on a single semiconductor wafers. As a result, the value of a semiconductor wafer increases substantially as a wafer progresses through the processing equipment in the fab.
[0004] Considerable care must be taken to reduce the risk of damaging and/or contaminating the wafers when moving semiconductor wafers through the fab and when transferring the wafers to and from processing equipment. Further, the wafers must be retained in a clean room environment and often must be processed in a controlled atmosphere. A batch of wafers are typically conveyed throughout the fab and to and from the processing equipment via a sealed front opening unified pod (FOUP) which is well know and highly standardized by the industry. The batch of wafers are housed in the interior of the FOUP which is typically maintained at a high level of cleanliness. While considerable care must be taken in the transport of wafers, speed and throughput are of utmost importance. Thus, the semiconductor industry has invested significant efforts in the development of wafer transfer designs and systems.
[00051 In certain applications, large numbers of wafers are processed together in large batches. For example, large vertical furnaces are often used for thermal processing of wafers to carry out thermal treatment such as heat treatment, anneal, diffusion or dopant implantation. U.S. Patent No. 4,770,590 discloses one example of a large batch vertical furnace having a wafer transfer mechanism and a boat exchange unit. A cassette holder system 27 has a number of cassette support shelves 61 supported on a vertical rods 63, which in turn are operated by respective drive mechanisms 65 to facilitate the loading and unloading of cassettes into and out of the cassette holder system 27. A wafer transfer module mechanism 29 handles the transfer of finished wafers from the boat 39 to empty cassettes after processing, as well as the transfer of unfinished wafers from other cassettes to the boat 39 for processing. In such a large batch furnace, each process cycle usually requires a substantial amount of time, so the boat exchange unit 25 enables one boat 39 to be within the process chamber while wafers are transferred between the other boat 39 and the cassettes 37.
[ooo6| More recently, semiconductor processing equipment has been designed to process small batches of wafers. One example of such a "mini-batch" type system is described in detail in PCT patent application serial no. (Attorney Docket no. FP-
71748/MSS/WEN) filed simultaneously herewith, and claiming the benefit of U.S. Provisional Patent Application Serial Nos. 60/396,539 filed July 15, 2003 and 60/428,526 filed November 22, 2002, both of which are incorporated herein by reference in their entirety. The smaller number of wafers allow for faster transport between the FOUP and the processing equipment; however, the environment of the wafers in the FOUP and the environment in the processing equipment is typically different and providing for transfer of wafer in this situation requires complexity and time. A number of approaches to improve on transfer designs have been developed in the art. One example of a system is disclosed in U.S. Patent No. 6,428,262, directed to an ion implantation system, where the transfer design has load locks that are collocated with a vacuum robot section 32, in an attempt to decrease the volume and optimizing operations undertaken throughout the travel distance between a FOUP and the ion implantation chamber 14. Throughput improvement is attempted when a group of wafers are moved from the FOUP by a first end effector and loaded into a load lock by raising the first end effector and by lowering a first load lock door of the load lock at a first atmosphere opened position (see Abstract). The first load lock is then sealed to its sealed position by raising the first load lock door. The load lock is then evacuated; and a second load lock door of the load lock is raised to a vacuum opened position. Finally, a 3-axis robot moves one of the wafers from the load lock to the ion processing chamber.
[0007] Unfortunately, potential semiconductor processing speed is limited by the number of times each wafer is moved between the FOUP 12 and ion processing chamber 14. Each movement adds additional time and increases the complexity of the semiconductor processing system. A loadport apparatus, particularly for batch processing, and method for use thereof, which facilitates improved wafer transfer speed and overcomes the above and other disadvantages of known loadports is needed.
BRIEF SUMMARY OF THE INVENTION
[0008] In one aspect, the present invention advantageously provides desired throughput in semiconductor processing equipment, such as a small batch vertical furnace system, without the use of multiple load lock systems of the prior art. The present invention provides transfer of wafers from a FOUP directly to a wafer carrier associated with the processing equipment. An isolated loadlock allows for isolated sealing and purging and can be combined with a standard loadport. The combination can reduce the complexity and footprint of the system. The typical two-stage load-lock can be combined into a common loadport. This "load-lock" loadport of the present invention isolates two separate environments and is configured to match a first and second environment, such as for example the environment inside the FOUP and the environment inside the furnace. In another aspect of the present invention, pneumatic and or electric control of the loadport is provided which promotes maintaining the air-tight environment within the FOUP, whether the loadport is in an open or closed position.
[0009] One embodiment of the present invention is directed to a loadport apparatus which facilitates the transfer of wafers from a FOUP to the processing equipment. In general, the loadport apparatus includes a platform, a housing, a loadport door, a loadport door seal and a conditioning system. The platform is configured for securably receiving the FOUP. The housing includes an opening to a second chamber. The housing is configured for sealably engaging the FOUP when the FOUP is secured to the platform. The loadport door includes a door access mechanism for opening the door of the FOUP. The loadport door is movable between an open position in which said opening is in direct communication with the second chamber, and a closed position. The loadport door seal supports selectively sealing the opening from the second chamber when the loadport door is in the closed position. A conditioning system is provided and in communication with the loadport apparatus. More specifically the conditioning system provides for conditioning a mini-environment chamber, said mini-environment chamber being defined by an the loadport door, the seal, the opening, and the interior of the FOUP when the loadport door is in the closed position, the loadport door seal is sealed, and the FOUP door is open. A method of transferring a wafer from the FOUP to the processing equipment is also disclosed.
[ooio] The loadport and method for use thereof has other features and advantages which will be apparent from or are set forth in more detail in the accompanying drawings, which are incorporated in and form a part of this specification, and the following Detailed Description of the Invention, which together serve to explain the principles of the present invention.
BRIEF DESCRIPTION OF THE DRAWINGS
[ooiii FIG. 1 generally illustrates a perspective view of a small batch vertical furnace system having four units, in accordance with one illustrative embodiment.
[ooi2| FIG. 2 generally illustrates a side plan view in accordance with FIG. 1 of one unit.
[ooi3| FIG. 3 generally illustrates a top view in accordance with FIG. 1 of one unit.
[001 1 FIG. 4 generally illustrates a front plan view of the loadport in accordance with FIG. 2.
|ooi5] FIG. 5 generally illustrates a cross-sectional view of the loadport in accordance with FIG. 2.
[ooi6| FIG. 6 is a schematic view of a loadport apparatus including a FOUP coupled with a movable container mount, in accordance with one illustrative embodiment of the present invention. [ooi7] FIG. 7 is a schematic view in accordance with FIG. 6 illustrating the loadport apparatus including a FOUP in sealed communication with the housing.
[0018] FIG. 8 is a schematic view in accordance with FIG. 6 illustrating the door access mechanism in an extended position.
[ooi9[ FIG. 9 is a schematic view in accordance with FIG. 6 illustrating extending the door access mechanism and engaging a FOUP door.
[0020[ FIG. 10 is a schematic view in accordance with FIG. 6 illustrating retracting the FOUP door access mechanism coupled with a removable door of the FOUP.
[002i] FIG. 11 is a schematic view in accordance with FIG. 6 illustrating a mini- environment chamber according to one embodiment of the present invention.
[0022] FIG. 12 is a schematic view in accordance with FIG. 6 illustrating a loadport door in an open position.
DETAILED DESCRIPTION OF THE INVENTION
[0023] An illustrative semiconductor processing equipment 100, in this example a small batch vertical furnace system, having the loadport apparatus of the present invention is shown in perspective view in FIG. 1, in a side plan view in FIG. 2, and a top view in FIG. 3. While the furnace system 100 is shown with four units 110, 120, 130 and 140, it will be appreciated that a system may have only one or any number of units, as desired. The units may be any type of processing unit and may be identical to one another, different from one another, or a combination of both. In the illustrative embodiment, all of the units 110, 120, 130 and 140 are comprised of vertical batch furnace systems. While processing equipment described herein are small batch vertical furnace units, the invention is not limited to such, and may be employed with many other types of semiconductor processing equipment.
[0024] In this example, each unit includes a process chamber 111, 121, 131, 141, in which various thermal processes are carried out. Referring only to unit 110 an elevator 112 is used to move a wafer carrier 113 containing a plurality of semiconductor wafers into and out of the process chamber 111. As shown in Fig. 1, each of the other units 120, 130, 140 also include associated elevators (only 142 shown) and wafer carriers 123, 133, 143 for conveying wafers in and out of the respective process chambers 121, 131, 141. For simplicity, one unit will describe in detail and it is understood that such description is applicable to the other units. The term wafer is used broadly herein to indicate any substrate containing a plurality of integrated circuits, one or more flat panel displays, and the like. Wafers are transported between one or more FOUP and the units. As shown in Fig. 1 two FOUPs are associated with each unit. FOUPs 116, 118 are associated with unit 110, FOUPs 126, 128 are associated with unit 120, FOUPs 136, 138 are associated with unit 130, and FOUPs 146, 148 are associated with unit 140. Other arrangements and number of FOUPs may be used. FOUPs are well known and are highly standardized in the industry. The FOUP is generally a pod like shaped sealed container which houses a plurality of wafers in the interior of the FOUP. The FOUP has a FOUP door to allow access to the wafers. As FOUPs are well know, it is not described in further detail herein. [0025) Referring to Fig. 2 wafers are transported between FOUP 118 and the wafer carrier 113 via a wafer transfer unit 114. The interior of the FOUP represents a first environment, and the general interior of the processing equipment (such as at the wafer carrier 113) represents a second environment. To facilitate wafer transport, the FOUP 118 is supported on a platform 119 coupled to the loadport 200. The loadport 200 (FIG. 2) is configured to securable received the FOUP. While the loadport 200 is visible in the side view it should be understood that each chamber 111, 121, 131, 141 has an associated loadport. An automated and or manual process may be used to couple the FOUP 118 with the loadport 200. During wafer transport, the elevator 112 is lowered so that the carrier 113 is generally positioned opposite the FOUP 118 when mated to the loadport 200. According to one embodiment, the first environment (interior of the FOUP) is typically comprised of a volume of gas having a concentration of approximately 21% oxygen by volume, and the second environment (inside the processing equipment) is typically comprised of a volume of gas having a concentration of approximately 5ppm oxygen. Thus, it is shown that the environment within the FOUP is usually quite different from the environment the wafers are exposed to in the processing equipment. Of significant advantage, the present invention provides for conditioning the wafers, or allowing for exposure of the wafers from one environment to the other as needed during processing.
[0026] Referring to FIG. 4 a front plan view, and FIG. 5 a cross-sectional view, the loadport 200 is illustrated in more detail. Various sensors and control keys for the loadport 200 are omitted from FIG. 4 and FIG. 5 to preserve clarity, but are shown in the schematic drawings of FIG. 6 through FIG. 12. [0027] The loadport 200 generally includes a housing 240, a loadport door 250, an loadport door seal 260, and a conditioning system 270. A mini-environment chamber 271 is defined by the loadport door 250, load port door seal 260, a FOUP seal 246, an opening 241, and an interior 213 of the FOUP 210 when the loadport door 250 is in the closed position 253, the loadport door seal 260 is sealed, and the FOUP door 211 is open. The conditioning system 270 includes a gas inlet port 273, a gas exhaust port 274, and is in communication with the mini-environment chamber 271 for conditioning the mini- environment chamber 271.
[0028] In the illustrated embodiment, the conditioning system 270 includes one gas inlet port 273 and one gas exhaust port 274 provided within the housing 240. However, one should appreciate that any number of gas inlet ports and any number of gas exhaust ports, may be configured and used as desired. Further, gas inlet ports and gas exhaust ports may be coupled with the movable loadport door 250, a recess 254, and/or the FOUP 210, as desired.
[0029] As illustrated in FIG. 4 and FIG. 5 the loadport door seal 260 is preferably a compression seal that engages the loadport door 250 and the housing 240 for selectively sealing the opening 241 from the second chamber 220. As illustrated in FIG. 5 the loadport door seal 260 seals the opening 241 from the second chamber 220. As illustrated in FIG. 9 the loadport door seal 260 is deflated and moved with the load port door 150 to an open position (FIG. 12). One should appreciate that any number of configurations may be used as a seal, including an inflatable seal. [0030] As illustrated in FIG. 6 the FOUP platform 230 is configured for receiving and securing the FOUP 210. The FOUP platform 230 illustratively includes a pair of kinematic pins 231-1 and 231-2, a pair of position sensors 232-1 and 232-2 illustratively located adjacent to the kinematic pins 231-1 and 231-2, and a FOUP locking mechanism 233. One or more kinematic pins may be used for receiving the FOUP 210. One or more position sensors may be positioned adjacent to one or more kinematic pins for sensing the position of the FOUP 210. In the illustrated embodiment, three kinematic pins (only pins 231-1 and 231-2 are shown), corresponding with three kinematic pin sensors (only sensors 232-1 and 232-2 are shown) are included in the platform 230. Preferably, each kinematic pin sensor is positioned adjacent to a corresponding kinematic pin to determine if a FOUP 210 has been received. One should appreciate that any number and configuration of kinematic pins and/or kinematic pin sensors may be used within the teaching of the present invention.
[00311 As shown in FIG. 6, locking mechanism 233 is illustratively an angular latch that is received by FOUP 210, such that rotational actuation of the angular latch secures the FOUP 210 to the platform 230. One should appreciate that any configuration and number of locking mechanisms may be used as desired, and that locking mechanisms are typically defined by a specification corresponding to a given loadport.
[0032| As illustrated in FIG. 6, a moveable container mounting system 239 may be defined to include the platform 230, a platform base 234, and a dock actuation mechanism 237. The moveable container mounting system 239 secures the FOUP 210 to the platform 230, while locking mechanisms 242 (such as, for example FOUP clamps 242-2, and 242-2 illustrated in FIG. 4) engage corresponding pins (not shown) that project from the FOUP 210 to secure the FOUP 210 to the housing 240. The platform 230 is mounted on a platform base 234 to support movement of the FOUP platform 230 between two or more docking positions. A dock actuation mechanism 237 between the FOUP platform 230 and the platform base 234 supports the movement of platform 230. The docking positions as illustrated includes an undocked position 235 (FIG. 6) and a docked position 236 (FIG. 7). A dock sensor 238 may be used to sense the position of the FOUP platform 230. One should appreciate that any configuration for moving the FOUP platform 230 back and forth between the undocked position 235 and the docked position 236 may be used within the teaching of the present invention. According to one illustrative embodiment, the dock actuation mechanism 237 includes an air cylinder for actuating movement between the docking positions 235 and 236.
[0033] As illustrated in FIG. 7 the housing 240 includes an opening 241 to the second chamber 220. The second chamber 220 is generally defined herein as where processing takes place, such as a furnace environment; however, the second chamber is not necessary a processing chamber and may be any other chamber employed in the system. As illustrated by FIG. 6 and FIG. 7, the FOUP 210 transitions from an undocked position 235 to a docked position 236 adjacent the housing 240. One or more locking mechanisms 242 such as, for example, clamps 242-1 and 242-2 (FIG. 4) engage the FOUP 210 to ensure an air tight seal between FOUP 210 and the housing 240. According to one illustrative embodiment, the locking mechanism 242 is a pair of clamps that engage pins protruding from opposite sides of the FOUP 210 in proximity to a top surface of the FOUP 210. The locking mechanism 242 engage and bias the FOUP 210 toward the housing 240. One should appreciate that other configuration and number of locking mechanisms 242 may be used within the teaching of the present invention . As illustrated in FIG. 7, the FOUP 210 is secured to the platform 230 and sealed with the housing 240.
[0034] According to one illustrative embodiment shown in Fig. 7, a FOUP seal 246 is positioned adjacent the opening 241 for sealing the FOUP 210 in the docked position 236. Locking mechanism 242 engage and bias the FOUP 210 toward the housing opening 241 such that the FOUP seal 246 seals the housing of the FOUP 210 with respect to the housing 240. One should appreciate that any configuration for engaging the FOUP 210 to the housing 240 in an airtight manner may be used. According to one illustrative embodiment, the FOUP seal is an o-ring seal residing in the housing 240.
10035] Referring again to FIG. 7, a container engagement sensor 243 is mounted to the housing 240 and is used to determine if the FOUP 210 is engaged with the housing 240. One should appreciate that any configuration and number of container engagement sensors 244 may be used as desired.
10036] As illustrated in FIG. 8 loadport door 250 is movable in any direction as desired between an open position (FIG. 12) and a closed position 253. When the loadport door 250 is in the open position, the opening 241 is in direct communication with the second chamber 220. The loadport door 250 includes a recess 254 containing the FOUP door access mechanism 255 and having sufficient room to store the FOUP door 211. As illustrated in FIG. 7, loadport door 250 is in the closed position 253 with respect to the opening 241 thereby sealing the second chamber 220 from the external ambient. Moving the loadport door 250 to the closed position 253 and actuating the loadport door seal 260 seals the second chamber 220 from the opening 241.
[0037] Referring again to FIG. 8, the recess 254 of the loadport door 250 is adjacent to the opening 241 while the loadport door 250 is in the closed position 253. While the FOUP 210 is engaged with the housing, the FOUP door 211 is accessible through the opening 241. The FOUP door access mechanism 255 is extended from the recess 254 and engages the FOUP door 211 for opening and/or closing the FOUP door 211. According to one illustrative embodiment, the FOUP door access mechanism 255 is mounted within the recess 254 and is moved with the loadport door 250 between the open position 252 (FIG. 12) and the closed position 253 (FIG. 11). One of ordinary skill in the art will appreciate that within the scope of the invention other configuration for the FOUP door access mechanism 255 may be used for engaging the FOUP door 211, such as, for example a FOUP door access mechanism causes the FOUP door to retract into the FOUP.
[0038[ As illustrated in FIG. 8 the FOUP door access mechanism 255 includes an illustrative latching assembly 256 having one or more turn keys such as a turn key 257, an alignment pin 258, and a sensor 259. The latching assembly 256 engages the FOUP door 211 through opening 241. One or more turn keys 257 are used to secure the FOUP door 211 to the FOUP door access mechanism 255. One or more alignment pins 258 are used to align the removable FOUP door 211 with respect to the latching assembly 256. One or more sensors 259 may be used to confirm the position of the removable door with respect to the latching assembly 256. According to one illustrative embodiment, a turn key pair 258 is used to engage the FOUP door 211 using a single actuation device capable of twisting the pair of turn keys simultaneously between an engaging position and a releasing position.
10039] The FOUP door access mechanism 255 is retractably extended from the recess 254 to engage the FOUP door 211 as illustrated in Fig. 9. As the FOUP door access mechanism 255 is extended the alignment pin 258 aligns the FOUP door 211 and latching assembly 256. The sensor 259 indicates the proximity of the removable door and the latching assembly 256. One or more turn key 257 are actuated to engage the FOUP door 211. The FOUP door access mechanism 255 retracts into the recess 254 along with the FOUP door 211 as shown in FIGs. 10 and 11. Opening the FOUP door 211 allows access to the interior 213 of the FOUP 210.
[0040] To condition the wafers, or to move the wafers from the first to the second environment, the interior 213 of the FOUP 210 is purged and this is typically performed while the loadport door 250 is in the closed position 253 (as shown in FIG. 11), loadport door seal 260 is sealed, and FOUP seal 264 is sealed. According to one illustrative embodiment, the FOUP 210 (such as FOUP 118) is secured to the housing 240 of a wafer processing apparatus that typically includes a second chamber 220. FOUP 210 is secured to the housing 240 about the opening 241, using for example the FOUP seal 264. FOUP 210 is opened after the FOUP 118 is in sealed engagement with the housing 240 about an opening 241. Opening the FOUP door 211 is performed by extending the FOUP door access mechanism 255 through the opening 241 to engage and open the FOUP door 211. Opening the FOUP door 211 includes coupling the FOUP door access mechanism 255 with a removable FOUP door 211 and retracting both the FOUP door access mechanism 255 and the removable FOUP door 211 into a recess defined within the loadport door 250.
[004i] According to one illustrative embodiment, after the FOUP door is open, the mini- environment chamber is purged by dispensing a first gas into the mini-environment through a gas inlet, and discharging the first gas from the mini-environment through a gas outlet. According to one illustrative embodiment, the mini-environment chamber is defined for gas flow through one or more gas inlets 273, through a portion of the interior 213 of the FOUP 210, and through one or more gas outlets 274.
10042] Alternatively, the mini-environment chamber 271 is conditioned by a purge gas entering the mini-environment chamber 271 through a gas inlet port 273. The purge gas and undesirable material are discharged through the gas outlet port 274 with any undesirable material, such as, for example, oxygen, moisture, and particulate material. The amount of purge gas expended is determined in part by the size of the chamber. Advantageously, the mini-environment chamber 271 provides a smaller chamber that typically consumes less purge gas during a purging process.
[0043] According to one illustrative embodiment, the loadport door 250 is opened by unsealing the loadport door seal 260 and then moving 251 the loadport door 250 from the closed position 253 (FIG. 11) to an open position 252 (FIG. 12) to support communication between the interior 213 of the FOUP 210 and the second chamber 220 through the opening 241. Accordingly, a wafer 212 contained within the FOUP 210 may be moved from the FOUP 210 into the second chamber 220. After processing, the wafer is moved into the same FOUP or into another FOUP. According to one illustrative embodiment, the wafer is subsequently be placed in another FOUP such as for example FOUP 116.
[0044] The loadport door 250 is closed by moving the loadport door 250 from the open position 253 (FIG. 12) to a closed position 252 (FIG. 11) and sealing the loadport door seal 260 to seal the opening 241 from the second chamber 220. Closing the FOUP door 211 is performed by extending the FOUP door access mechanism 255 through the opening 241 to return the FOUP door 211 to the FOUP 210. According to one illustrative embodiment, closing the FOUP door 211 involves extending both the FOUP door access mechanism 255 and the FOUP door 211 from the recess 254 defined within the loadport door 250, closing the FOUP door 211 to the FOUP 210, releasing the FOUP door 211 from the FOUP door access mechanism 255, and retracting the FOUP door access mechanism 255 into the recess 254. FOUP 210 then is released from the housing 240 and the FOUP platform 234.
[0045] The foregoing descriptions of specific embodiments of the present invention have been presented for purposes of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and obviously many modifications and variations are possible in light of the above teaching. The embodiments were chosen and described in order to best explain the principles of the invention and its practical application, to thereby enable others skilled in the art to best utilize the invention and various embodiments with various modifications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the Claims appended hereto and their equivalents.

Claims

WHAT IS CLAIMED IS:
1. A loadport apparatus for transferring semiconductor wafers from the interior of a FOUP having a FOUP door to a semiconductor processing equipment, comprising: a platform configured for securably receiving the FOUP; a housing including an opening to a second chamber, said housing configured for sealably engaging said FOUP when the FOUP is secured to said platform; a loadport door including a FOUP door access mechanism for opening the FOUP door, said loadport door movable between an open position and a closed position and where the opening is in direct communication with said second chamber; a loadport door seal for selectively sealing said opening from said second chamber when said loadport door is in said closed position; and a conditioning system in communication with said openings for conditioning a mini-environment chamber defined by an said loadport door, said opening, and the interior of the FOUP when said loadport door is in said closed position, said loadport door seal is sealed, and said FOUP door is open.
2. The apparatus of claim 1 wherein said loadport door further includes an loadport door recess and said mini-environment chamber is further defined by said loadport door recess.
3. The apparatus of claim 2 wherein said FOUP door access mechanism retractably extends from said loadport door recess.
4. The apparatus of claim 3 wherein said FOUP door access mechanism includes a door latching capable of extending toward the FOUP door having a removable door, engaging the removable door, and retracting with the removable door into said loadport door recess.
5. The apparatus of claim 4 wherein said FOUP door access mechanism further includes a latching assembly for releasably engaging said removable door.
6. The apparatus of claim 1 wherein said loadport door seal is coupled with said loadport door.
7. The apparatus of claim 6 wherein said loadport door seal is an inflatable seal coupled with said loadport door for sealingly engaging said housing while said loadport door is in said closed position.
8. The apparatus of claim 1 wherein said is conditioning system includes a gas inlet for providing a first gas to said mini-environment chamber and a gas outlet for discharging the gas from said mini-environment chamber.
9. A method for conditioning the interior of a FOUP having a FOUP door, said method comprising: extending a FOUP door access mechanism through said opening for engaging and opening said FOUP door; conditioning a mini-environment chamber defined by an loadport door of the process apparatus in a closed position, said opening, and an interior of said FOUP when said FOUP door of said FOUP is open; and unsealing and moving said loadport door from the closed position to an open position thereby communicating the interior with a second chamber through said opening.
10. The method of claim 10 further including: moving and sealing said loadport door from said open position to said closed position in sealed engagement with said housing.
11. The method of claim 10 further including: extending said FOUP door access mechanism through said housing for closing said FOUP door; and releasing said FOUP from said FOUP platform.
12. The method of claim 10 wherein said purging further includes: dispensing a first gas into said mini-environment through a gas inlet; and discharging said first gas from said mini-environment through a gas outlet, wherein said mini-environment chamber is defined for a gas flow between said gas inlet and said gas outlet.
13. The method of claim 10 wherein said purging further includes: dispensing a first gas into said mini-environment through a gas inlet on said housing; and discharging said first gas from said mini-environment through a gas outlet on said housing, wherein said mini-environment chamber is defined for a gas flow between said gas inlet and said gas outlet.
14. The method of claim 10 further including: accessing said FOUP through said opening.
PCT/US2003/021973 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof WO2004007318A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003253907A AU2003253907A1 (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US60/396,536 2002-07-15
US42852602P 2002-11-22 2002-11-22
US60/428,526 2002-11-22

Publications (2)

Publication Number Publication Date
WO2004007318A2 true WO2004007318A2 (en) 2004-01-22
WO2004007318A3 WO2004007318A3 (en) 2004-08-05

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
PCT/US2003/021648 WO2004008054A1 (en) 2002-07-15 2003-07-10 Variable heater element for low to high temperature ranges
PCT/US2003/021644 WO2004007800A1 (en) 2002-07-15 2003-07-10 Thermal processing apparatus and method for evacuating a process chamber
PCT/US2003/021647 WO2004008494A2 (en) 2002-07-15 2003-07-10 Servomotor control system and method in a semiconductor manufacturing environment
PCT/US2003/021646 WO2004008008A2 (en) 2002-07-15 2003-07-10 Control of a gaseous environment in a wafer loading chamber
PCT/US2003/021645 WO2004008052A2 (en) 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus
PCT/US2003/021642 WO2004008493A2 (en) 2002-07-15 2003-07-10 Method and apparatus for supporting semiconductor wafers
PCT/US2003/021575 WO2004008491A2 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber
PCT/US2003/021641 WO2004007105A1 (en) 2002-07-15 2003-07-10 Apparatus and method for backfilling a semiconductor wafer process chamber
PCT/US2003/021973 WO2004007318A2 (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof

Family Applications Before (8)

Application Number Title Priority Date Filing Date
PCT/US2003/021648 WO2004008054A1 (en) 2002-07-15 2003-07-10 Variable heater element for low to high temperature ranges
PCT/US2003/021644 WO2004007800A1 (en) 2002-07-15 2003-07-10 Thermal processing apparatus and method for evacuating a process chamber
PCT/US2003/021647 WO2004008494A2 (en) 2002-07-15 2003-07-10 Servomotor control system and method in a semiconductor manufacturing environment
PCT/US2003/021646 WO2004008008A2 (en) 2002-07-15 2003-07-10 Control of a gaseous environment in a wafer loading chamber
PCT/US2003/021645 WO2004008052A2 (en) 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus
PCT/US2003/021642 WO2004008493A2 (en) 2002-07-15 2003-07-10 Method and apparatus for supporting semiconductor wafers
PCT/US2003/021575 WO2004008491A2 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber
PCT/US2003/021641 WO2004007105A1 (en) 2002-07-15 2003-07-10 Apparatus and method for backfilling a semiconductor wafer process chamber

Country Status (6)

Country Link
EP (2) EP1522090A4 (en)
JP (2) JP2005533378A (en)
CN (1) CN1643322A (en)
AU (9) AU2003249030A1 (en)
TW (9) TW200419890A (en)
WO (9) WO2004008054A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2581931A1 (en) * 2010-06-08 2013-04-17 National Institute of Advanced Industrial Science And Technology Connecting system

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (en) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusion furnace and method for generating a gas flow
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (en) * 2008-03-31 2012-02-29 株式会社山武 Flow control system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101877494B1 (en) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 Vacuum heat treatment apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (en) * 2012-09-17 2014-09-18 주식회사 유진테크 Apparatus for processing substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10386019B2 (en) 2013-03-15 2019-08-20 Southwire Company, Llc Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20160348240A1 (en) * 2014-01-27 2016-12-01 Applied Materials, Inc High speed epi system and chamber concepts
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (en) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
JP6143964B1 (en) * 2016-01-25 2017-06-07 三菱電機株式会社 Control device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
FR3057391B1 (en) * 2016-10-11 2019-03-29 Soitec THERMAL TREATMENT EQUIPMENT WITH COLLECTING DEVICE
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102127130B1 (en) * 2017-02-17 2020-06-26 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing device, manufacturing method and program of semiconductor device
JP7158133B2 (en) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (en) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019099125A1 (en) * 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (en) * 2018-07-30 2023-01-18 Tdk株式会社 Load port device, semiconductor manufacturing device, and method for controlling atmosphere in pod
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy Substrate processing apparatus and method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (en) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 High Pressure Chamber to Improve Cooling Efficiency
CN114990299B (en) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPH088220B2 (en) * 1988-09-05 1996-01-29 株式会社日立製作所 Semiconductor wafer heat treatment apparatus and heat treatment method
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
DE3885833T2 (en) * 1987-09-22 1994-03-24 Nec Corp Chemical vapor deposition apparatus for the production of high quality epitaxial layers with a uniform density.
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH02130943A (en) * 1988-11-11 1990-05-18 Tel Sagami Ltd Accommodation jig
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (en) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch METHOD FOR THERMALLY TREATING SEMICONDUCTOR MATERIALS AND DEVICE FOR CARRYING OUT THE SAME
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (en) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 Method of forming doped thin film
JP3040212B2 (en) * 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
DE69221152T2 (en) * 1992-05-15 1998-02-19 Shinetsu Quartz Prod VERTICAL HEAT TREATMENT DEVICE AND HEAT INSULATION MATERIAL
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (en) * 1993-07-24 2000-03-21 ヤマハ株式会社 Vertical heat treatment furnace and heat treatment method
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (en) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
JPH08213446A (en) * 1994-12-08 1996-08-20 Tokyo Electron Ltd Processing equipment
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (en) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat insulation structure thereof, and heat shield plate
JP3423131B2 (en) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 Heat treatment equipment and treatment equipment
JPH09306980A (en) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd Vertical wafer boat
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
DE69940161D1 (en) * 1998-06-18 2009-02-05 Kline & Walker L L C AUTOMATIC DEVICE FOR MONITORING EQUIPPED OPTIONS AND MACHINES WORLDWIDE
JP3487497B2 (en) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 Object to be processed accommodation jig and heat treatment apparatus using the same
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (en) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd Substrate treating system
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (en) * 2000-01-21 2003-05-12 株式会社真空技研 Ultra high temperature heat treatment equipment
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
JP2002083780A (en) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (en) * 2002-04-01 2012-02-08 株式会社エフティーエル Semiconductor device manufacturing equipment

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2581931A1 (en) * 2010-06-08 2013-04-17 National Institute of Advanced Industrial Science And Technology Connecting system
EP2581931A4 (en) * 2010-06-08 2015-04-01 Nat Inst Of Advanced Ind Scien Connecting system
US9281223B2 (en) 2010-06-08 2016-03-08 National Institute Of Advanced Industrial Science And Technology Coupling system

Also Published As

Publication number Publication date
TW200416775A (en) 2004-09-01
AU2003249028A1 (en) 2004-02-02
EP1522090A2 (en) 2005-04-13
TW200416774A (en) 2004-09-01
AU2003253874A1 (en) 2004-02-02
TW200406818A (en) 2004-05-01
AU2003256486A8 (en) 2004-02-02
WO2004008491A2 (en) 2004-01-22
CN1643322A (en) 2005-07-20
WO2004008008A3 (en) 2004-12-16
EP1522090A4 (en) 2006-04-05
AU2003259104A1 (en) 2004-02-02
EP1540258A1 (en) 2005-06-15
JP2005533232A (en) 2005-11-04
WO2004008008A2 (en) 2004-01-22
WO2004008054A9 (en) 2005-01-13
WO2004008493A3 (en) 2004-05-27
AU2003253907A1 (en) 2004-02-02
WO2004008494A2 (en) 2004-01-22
AU2003256487A1 (en) 2004-02-02
AU2003253874A8 (en) 2004-02-02
AU2003249029A8 (en) 2004-02-02
TW200419890A (en) 2004-10-01
AU2003249029A1 (en) 2004-02-02
TW200411717A (en) 2004-07-01
TW200405401A (en) 2004-04-01
AU2003259104A8 (en) 2004-02-02
WO2004007318A3 (en) 2004-08-05
WO2004008493A2 (en) 2004-01-22
WO2004008054A1 (en) 2004-01-22
WO2004007800A9 (en) 2005-01-13
TW200416773A (en) 2004-09-01
WO2004008052A3 (en) 2004-05-13
AU2003249030A8 (en) 2004-02-02
AU2003253873A1 (en) 2004-02-02
AU2003256486A1 (en) 2004-02-02
TW200411960A (en) 2004-07-01
WO2004008491A3 (en) 2004-06-03
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
WO2004007105A1 (en) 2004-01-22
WO2004008493A9 (en) 2004-07-22
AU2003253907A8 (en) 2004-02-02
JP2005533378A (en) 2005-11-04
TW200409176A (en) 2004-06-01
AU2003249030A1 (en) 2004-02-02
WO2004008052A2 (en) 2004-01-22

Similar Documents

Publication Publication Date Title
WO2004007318A2 (en) Loadport apparatus and method for use thereof
JP7263639B2 (en) Substrate transfer section
US9543180B2 (en) Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US6231290B1 (en) Processing method and processing unit for substrate
KR100831933B1 (en) Substrate processing equipment and method for manufacturing semiconductor device
US6817822B2 (en) Load port, wafer processing apparatus, and method of replacing atmosphere
US5806574A (en) Portable closed container
USRE43023E1 (en) Dual loading port semiconductor processing equipment
JP3447698B2 (en) Two wafer load lock wafer processing apparatus and method for loading and discharging the same
US6517304B1 (en) Method for transporting substrates and a semiconductor manufacturing apparatus using the method
TW418429B (en) Processing apparatus
US6722835B1 (en) System and method for processing semiconductor wafers including single-wafer load lock
JP4642619B2 (en) Substrate processing system and method
CN108695207B (en) Substrate processing apparatus
KR20030032034A (en) Double dual slot load lock for process equipment
JP2001524267A (en) Plural single wafer load-lock wafer processing apparatuses and methods for loading and unloading the same
US9099506B2 (en) Transfer chamber between workstations
US20060045668A1 (en) System for handling of wafers within a process tool
US7371683B2 (en) Method for carrying object to be processed
US5997235A (en) Swap out plate and assembly
JP2688555B2 (en) Multi-chamber system
JP2000150613A (en) Transporting device for object to be treated
JP3355697B2 (en) Portable closed container and gas purge station
KR100717990B1 (en) A transportation system for processing semiconductor material
JP3461140B2 (en) Clean box, clean transfer method and system

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
WWE Wipo information: entry into national phase

Ref document number: 2004521792

Country of ref document: JP

NENP Non-entry into the national phase in:

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP