TW200416775A - Loadport apparatus and method for use thereof - Google Patents

Loadport apparatus and method for use thereof Download PDF

Info

Publication number
TW200416775A
TW200416775A TW92119301A TW92119301A TW200416775A TW 200416775 A TW200416775 A TW 200416775A TW 92119301 A TW92119301 A TW 92119301A TW 92119301 A TW92119301 A TW 92119301A TW 200416775 A TW200416775 A TW 200416775A
Authority
TW
Taiwan
Prior art keywords
door
load port
opening
open
front open
Prior art date
Application number
TW92119301A
Other languages
Chinese (zh)
Inventor
Jeffrey M Kowalski
Original Assignee
Asml Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Us Inc filed Critical Asml Us Inc
Publication of TW200416775A publication Critical patent/TW200416775A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Abstract

A loadport apparatus for conditioning the interior of a FOUP having a FOUP door. The apparatus includes platform, a housing, an loadport door, a loadport door seal and a conditioning system. The FOUP platform receives the FOUP. The housing includes an opening to a second chamber. The housing is configured for sealably engaging the FOUP. The loadport door includes a FOUP door access mechanism for opening the FOUP. The loadport door is movable between an open position and a closed position. The loadport door seal selectively seals the opening from the second chamber when the loadport door is in the closed position. The conditioning system communications with the opening for conditioning a mini-environment chamber defined by the loadport door, the seal, the opening, and an interior of the FOUP when the loadport door is in the closed position, the loadport door seal is sealed, and the FOUP door is open. A method is also disclosed.

Description

200416775 Π) 玖、發明說明 相關應用之參考文獻 本申請案申明以下先前申請之臨時申請案之權益及優 先權,美國臨時專利申請序號6 0/3 9 6,5 3 6,標題”Thermal Processing System”申請日期July 15,2002和美國臨時專 利申請序號 6 0 / 4 2 8,5 2 6,標題’’ T h e r m a 1 P r 〇 c e s s i n g S y s t e m and Method for Using the Same'1 申請日期 November 22,2002。兩者在此被它們的參考資料合倂。 【發明所屬之技術領域】 本發明與一般半導體處理設備相關。特別的,本發明 與負載璋裝置及使用該裝置的方法有關。 【先前技術】 種種的處理設備和裝置被廣泛的用在積體電路和半導 體晶圓製造上。如此的設備典型的位於一個半導體製造設 施並且g亥半導體晶圓被傳輸貫穿該製造設施到適當的設備 來處理。藉著工業的發展,積體電路和半導體裝置變的更 複雜而且通常包括複雜精細的裝置和互相連接的多層製 成。該裝置的大小大幅的降低,因此顯著的增加在單一半 導體晶圓上製成的裝置的數目。結果,當一個晶圓經由在 製造設施的該處理設備發展時,半導體晶圓的價値大大的 增力口。 當經由該製程裝置移動半導體晶圓時和當轉移該晶圓 -4 - (2) (2)200416775 進出處理裝置時,相當的保護必須被實行來降低損壞和/ 或污染該晶圓的風險。更近一步的,該晶圓必須被保持在 一個乾淨場所的環境並且在一個控制的空氣裏處理。一批 晶圓通常被運送貫穿該製程裝置,並且經由一個密閉的前 開放一體式縱槽(前開放一體式縱槽)進出該處理裝置,其 中該前開放一體式縱槽爲眾所皆知的並且被工業界高度標 準化。該批晶圓被儲藏在該密閉的前開放一體式縱槽的內 部,此前開放一體式縱槽通常在保持在高度淸潔狀態。當 相當的保護必須被實行在晶圓運輸時,速度和生產量是最 重要的。因此,該半導體工業已經投入顯著的努力在晶圓 運輸設計和系統的發展上。 在特定的用途上,X量的晶圓成批的一起被處理。例 如,大型垂直鎔爐通常被用來做晶圓熱處理以完成熱處 理,例如退火,擴散或摻雜物注入。美國專利文號。 4,7 7 0 ; 5 9 0公開一個具有傳輸晶圓機械和船形容器交換單 元的大型垂直鎔爐的例子。一個卡式盒支架系統2 7具 有一些在一個垂直桿6 3上的卡式盒支撐架子6 1,其垂直 桿63依次的被個別的驅動機械65來操作以幫助卡式盒載 入和載出卡式盒之架系統27。一個晶圓轉移模組29在處 理後操作完成晶圓的傳輸從該船型容器3 9到空的卡式 盒,和未完成晶圓的傳輸從卡式盒到從該船型容器3 9來 處理。在一個如此大的鎔爐,每一個處理周期通常需要 大量的時間,如此當晶圓在其它船型容器3 9和卡式盒3 7 之間被傳輸時,該船型容器交換單元25使得一個船型容 -5- (3)200416775 器3! 個如 序號 請並 pfci 三主 甲δ円 2002 晶圓 輸; 環境 提供 改良 開在 統, 起的 在一 距離 開放 一·末 固定 (見摘 固定 抽空 放位 )在該處理膛之內。 近來,半導體處理設備已被設計來處理小批晶圓。一 ”迷你-批”型的系統被詳細的描述在PCT專利申請 (Attorney Docket no. F P 7 1 7 4 8 / M S S / W E N ),其同時申 附上和申明美國臨時專利申請序號的權益6 0/3 9 6,5 3 9 於 July 15,2003 和 60/428,526 申請於 November 22, ,藉由此處的參考文獻二者全部被具體化。小數目的 允許在前開放一體式縱槽和該處理設備之間更快的傳 然而,the環境該晶圓在該前開放一體式縱槽的 和在處理設備的環境通常是不同的,而且在此情況下 晶圓的傳輸需要複雜度和時間。一些在傳輸設計上的 進展已經發展到現今最高的水準。一個系統的例子公 美國專利文號 6 5 4 2 8,2 6 2,引導到一個離子注入系 其中該傳輸設計具有一個與真空機器人區域3 2在一 負載固定裝置,試圖來降低體積和最有效的進行貫穿 個前開放一體式縱槽和該離子注入膛1 4之間的移動 的操作。當一群晶圓藉著一個第一末端受動器從該前 一體式縱槽被移動時,以及當一群晶圓藉著提升該第 端受動器和在第一空氣開放位置裡降低一個第一負載 裝置門被載入到負載固定裝置時,產量改善是想要的 1要)°此第一負載固定裝置然後藉著提升該第一負載 裝置門密閉到它的密閉位置。此負載固定裝置然後被 ;然後一個第二負載固定裝置門被升起到一個真空開 置。最後,一個3 -軸機器人從該負載固定裝置移動 -6- (4) (4)200416775 該晶圓之一到該離子處理膛負載固定裝置。 不幸的,潛在的半導體速度受限於每一個晶圓在前開 放一體式縱槽1 2和離子處理膛1 4之間被移動的次數。每 一個動作增加額外的時間並且增加半導體處理系統的複雜 度。一個負載埠裝置,特別爲了批處理,及使用該裝置的 方法,其該設施改良晶圓傳輸速度和克服以上和其他已 知負載埠的缺點,及使用該裝置的方法是必須的。 【發明內容】 發明槪述 在一個觀點t,本發明在沒有利用先前技術的多重負 載固定裝置系統的情況下,有利的提供半導體處理設備想 要的產量,例如一個小批垂直鎔爐系統。本發明提供晶圓 的傳輸,該傳輸從一個前開放一體式縱槽直接到一個與處 理設備連接在一起的晶圓載具。一種隔離的負載固定裝置 允許隔離的密封和淸潔,以及可以與一種標準負載埠結 合。此結合可以降低該系統的複雜性和足跡。該典型二 階負載固定裝置可以被結合在一般的負載埠。本發明的典 型一階 負載固定裝置”負載痺隔離兩個分開的環境,並 且被裝備來使第一和第二環境相配,例如前開放一體式縱 槽內的環境和鎔爐內的環境。本發明的另一個觀點,該負 載埠的氣動和/或電控制被提供,其藉著前開放一體式縱 槽來維持密閉環境,不管該負載埠是在開或關的位置。 0ne實施例 of the本發明的一個實施例被引導至一 -7- (5) (5)200416775 種負載埠裝置其幫助從一個前開放一體式縱槽到該處理設 備的晶圓傳輸。一般而言,該負載埠裝置包括一種平臺, 一種外罩,一種負載埠門,一種負載嗥門密封墊和一種適 應系統。該平臺被裝配用來安全的接收該前開放一體式縱 槽。該外罩包括一個第二膛開口。 當該前開放一體式縱 槽停靠到平臺時,該外罩被裝配用來密封的接和前開放一 體式縱槽。該負載埠門包括一種機械裝置入口其用來開 啓該前開放一體式縱槽的門。該負載埠門在一開的位置和 關的位置之間是可移動的,其中所謂的開口是在與該第二 膛直接聯繫。當該負載埠門在關閉位置時,該負載埠門密 封墊選擇性的維持密閉第二膛開口。一種適應系統被提供 並且與負載埠裝置聯繫。更特別的,該適應系統爲適應微 型環境膛作準備,所謂微型環境膛在該負載埠門在關閉位 置’該負載璋門密封墊背密封,和該前開放一體式縱槽門 被開啓時’藉著該負載埠,該密封墊,該開口,和該前開 放一體式縱槽內部被限定。一種從該前開放一體式縱槽到 該處理設備的晶圓傳輸方法也被公開。 此負載ί阜及使用方法具有其他特色和優點,其將會從 附圖中變的明顯並且在附圖中被更詳細的被提供,該附圖 被包含在此設計規範的一部份和本發明詳述,並一起用來 解釋本發明的原則。 【實施方式】 本發明詳細說明 冬 (6) (6)200416775 一種圖示的半導體處理設備1 〇 〇,在本例中唯一個小 批垂直鎔爐系統’其具有本發明的負載埠裝置被顯示在圖 1的透視圖示,圖2的側平面圖示和圖3的俯視圖。當該 鎔爐系統1 〇 〇與四個單元1 1 0 ’ 1 2 0,1 3 0和1 4 0 一起被顯 示時,該系統可以具有一個或任何個數的單元是所想要 的。該單元可以是任何形式的處理單元而且可以與其它單 元一樣,與其它單元不一樣,或前兩者的合倂。在圖式的 本實施例中,所有單元110,12 0 ’ 13 0 和14 0被包括在 垂直批鎔爐系統。此處所描述的處理設備微小批垂直鎔爐 單元,本發明不限於此’並且可以被用於任何形式的半導 體處理設備。 在本例中..,每一單元包括處理膛1 5部其中不同的熱 處理在此執行。參照單元1 1 0, 一種升降梯1 1 2被用來 移動一個承載數個半導體晶圓的晶圓載具1 1 3進出處理 膛111。如圖1所示,其它單元120,130,140中每一個 也包括組合升降梯(只有142被不出)和晶圓滅具123’ 13 3, 1 43,其用來運輸晶圓進出分別的處理膛1 2 ], ]3 1,] 4 1。爲單純起見,一個單元將被詳述,而且可以理 解的是如此的描述可以被用在其他單元。該項目晶圓在此 被廣泛的利用來表示任何容納大量積體電路的物品,單一 或多個平板狀物品等諸如此類。晶圓在前開放一體式縱槽 和該單元之間被傳輸。如圖1所示,兩個前開放一體式縱 槽結合在每一個單元。前開放一體式縱槽1 1 6,Π 8與單 元110結合,前開放一體式縱槽126,〗28與單元120結 (7) (7)200416775 口’則開放一體式縱槽丨3 6,1 3 8與單元1 3 0結合,以及 前開放—體式縱槽146,148與單元140結合。其它配置 和前開放一體式縱槽的數目可以被利用。前開放一體式縱 槽非常普遍並且在工業界被高度標準化。該前開放一體式 紘:槽通吊是縱槽狀密封容器,其儲存許多晶圓前開放一體 式縱槽內部。該前開放一體式縱槽具有一前開放一體式縱 槽門來允δ午該晶圓進入。如同前開放一體式縱槽非常普遍 一樣,在此不做更近一步的詳述。 參照圖2,晶圓在前開放一體式縱槽1 1 8和該晶圓載 具1 1 3之間經由一種晶圓傳輸單元i〗4被傳輸。該前開放 一體式縱槽內部代表一個第一環境,and the general inteH ο of the以及處理設備的一般內部(例如在該晶圓 載具1 1 3 )代表一個第二環境。爲了幫助晶圓運輸,該前 開放一體式縱槽1 1 8被支撐在一種與該負載埠2 0 0偶合 的平臺1 19。該負載埠2 0 0(圖2)被裝配來確保接收到該負 載埠2 0 0前開放一體式縱槽。當該負載埠2 〇 〇在側視圖示 是可見時,可以了解的是每一個膛;1丨;[,]2 ],] 3 1,] 4 1 具有一個結合負載埠。一種自動和/或手動處理可以藉著 該負載埠2 0 0被用來偶合該前開放一體式縱槽n 8。在運 輸晶圓時,該升降梯1 1 2被下降,如此該載具1 1 3通常 在接合該負載埠2 0 0時被放置該前開放一體式縱槽1 1 8對 面。根據一個實施例,該第一環境(前開放一體式縱槽內 部)通常包含具有大約21PPm體積的氧氣濃度,以及該第 二環境(處理設備內部)通常包含具有大約5 ppm體積的氧 (8) (8)200416775 氣濃度。因此,該前開放一體式縱槽內的環境通常與晶 圓暴露在處理設備的環境不同。一個顯著的優點,本發明 爲適應晶圓做準備,或著在處理間爲了需要,允許晶圓從 一個環境暴露到另一個環境。 參照圖4 一個前平面圖示,和圖5 —個橫截面圖視, 該負載埠2 0 0被更詳細描述。在圖4和圖5中負載j:阜2 〇 〇 的不同的感測器和控制鍵被省略來保持淸楚,但是被顯示 在圖6到圖1 2的槪要圖示。 該負載璋2 0 0通常包括一種外罩2 4 0,一種負載堤門 25 0,一種負載埠門密封墊260, 和適應系統2 70。當該 負載埠門2 5 0在關閉位置2 5 3,該負載埠門密封墊2 6 〇被 密閉5和該前開放一體式縱槽門2 1 1被開啓時,一種微型 環境膛 2 7 1被定義爲負載場門 2 5 0,負載埠門密封墊 2 6 0,一種前開放一體式縱槽密封墊2 4 6,一種開口 2 4 ], 和該前開放一體式縱槽2 1 0的內部2 1 3。該適應系統2 7 0 包括一種進氣埠2 7 3,一種排氣埠2 7 4,並且爲了適應微 型環境膛2 7 1與微型環境膛2 7 1聯繫。 在該描述實施例中,該適應系統2 7 0包括一個進氣埠 2 7 3和一個排氣埠2 74,其被提供在該外罩24 0之內。然 而,應該了解的是氣體入口埠的數目和排氣埠的數目可以 應需求被裝配和利用。更近一步的,氣體入口埠和排氣埠 可以應需求與可移動式負載埠門2 5 0,凹處2 5 4,和/或該 前開放一體式縱槽2 1 0結合。 如圖4和圖5所示,該負載埠門密封墊2 6 0最好是壓 (9) (9)200416775 縮密閉墊,該密封墊接合該負載埠門2 5 0和該外罩2 4 0來 選擇性的從膛2 2 0封閉開口 2 4 1。如圖5所示,該負載璋 門密封墊2 60從膛220封閉開口 241。如圖9所示,該負 載j:阜門密封墊2 6 0被抽出空氣並且與負載ί阜門1 5 0被移到 開啓(圖1 2)。可以領會的是任何的配置數目可以用來做密 封墊,包括可抽氣式的密封墊。 如圖6所示,該前開放一體式縱槽平臺2 3 0被裝配來 接收和確保該前開放一體式縱槽2 1 0。該前開放一體式縱 槽平臺2 3 0包括一對動態探針231-1和231-2,一對位於 鄰近該動態探針2 3 1 - 1和2 3 1 - 2的位置感測器2 3 2 - 1和 2 3 2 - 2,和一種前開放一體式縱槽固定機械結構2 3 3。一 個或多個動態探針可以被用來該前開放一體式縱槽2 1 0。 一個或多個位置感測器可以被放置在接近一個或多個動態 探針的位置,其目的爲偵測該前開放一體式縱槽2 1 0的位 置。在該描述的實施例中,與三個動態探針感測器(只有 感測器231-1和23卜2被顯示)對應的三個動態探針(只有 探針2 3 1 - 1和2 3 1 - 2被顯示)被包括在該平臺2 3 0。更好 的,每一個動態探針感測器被放置在鄰近對應的動態探針 來決定一個前開放一體式縱槽2 1 0是否接收到。可以領會 的是任何動態探針和/或動態探針感測器的配置數目可以 藉著本發明的教導來使用。 如圖6所示,固定機械結構2 3 3是一種藉著前開放一 體式縱槽2 1 0所接收的尖角栓,如此尖角栓的旋轉動作關 緊前開放一體式縱槽2 1 0到平臺2 3 0。可以領會的是任何 -12- (10) 200416775 固定機械結構的配置數目可以應需求被使用,以及固定機 械結構通常由一個既有的負載埠對應的設計規範來定義。200416775 Π) ii. References to invention-related applications This application states the rights and priority of the following temporary applications: US Provisional Patent Application No. 6 0/3 9 6, 5 3 6, titled "Thermal Processing System" "Application date July 15, 2002 and US provisional patent application serial number 6 0/4 2 8, 5 2 6, heading" T herma 1 P r cessation System and Method for Using the Same'1 Application date November 22, 2002 . Both are combined by their references here. [Technical Field to which the Invention belongs] The present invention relates to general semiconductor processing equipment. In particular, the present invention relates to a load cell and a method of using the same. [Previous Technology] Various processing equipment and devices are widely used in integrated circuit and semiconductor wafer manufacturing. Such equipment is typically located in a semiconductor manufacturing facility and semiconductor wafers are transported through the manufacturing facility to the appropriate equipment for processing. With the development of industry, integrated circuits and semiconductor devices have become more complex and often include complex and elaborate devices and interconnected multilayer manufacturing. The size of the device has been greatly reduced, thus significantly increasing the number of devices made on a single half-conductor wafer. As a result, when a wafer is developed through the processing equipment in a manufacturing facility, the price of a semiconductor wafer is greatly increased. When moving a semiconductor wafer through the process device and when transferring the wafer -4-(2) (2) 200416775 into and out of the processing device, considerable protection must be implemented to reduce the risk of damage and / or contamination of the wafer. Further, the wafer must be kept in a clean environment and handled in a controlled atmosphere. A batch of wafers is usually transported through the process device, and enters and exits the processing device through a closed front open integrated vertical slot (front open integrated vertical slot), which is well known And is highly standardized by the industry. The batch of wafers is stored inside the closed front open integrated vertical groove, which was previously maintained in a highly clean state. When considerable protection must be implemented for wafer transport, speed and throughput are paramount. As a result, the semiconductor industry has invested significant efforts in wafer transport design and system development. For a specific application, X wafers are processed in batches. For example, large vertical ovens are often used for wafer thermal processing to complete thermal processing, such as annealing, diffusion, or dopant implantation. US patent symbol. An example of a large vertical oven with a wafer transfer machine and a boat-shaped container exchange unit is disclosed in 4.7.70; 5.90. A cassette support system 27 has a number of cassette support racks 61 on a vertical rod 63, whose vertical rods 63 are sequentially operated by individual drive mechanisms 65 to help the cassette loading and unloading. Cassette rack system 27. A wafer transfer module 29 operates to complete the transfer of wafers from the ship container 39 to the empty cassette after processing, and the transfer of unfinished wafers from the cassette to the ship container 39 to process. In such a large oven, each processing cycle usually requires a lot of time, so that when a wafer is transferred between other boat containers 39 and cassettes 37, the boat container exchange unit 25 makes a boat shape -5- (3) 200416775 Device 3! If the serial number please pfci Three main A delta 円 2002 wafer transport; the environment provides improved open system, open at a distance and fixed at the end (see the extraction and placement ) Within the processing chamber. Recently, semiconductor processing equipment has been designed to process small batches of wafers. A "mini-batch" type system is described in detail in the PCT patent application (Attorney Docket no. FP 7 1 7 4 8 / MSS / WEN), which simultaneously claims and claims the rights and interests of the US provisional patent application serial number 6 0 / 3 9 6,5 3 9 was filed on July 22, 2003 and 60 / 428,526 on November 22, and both are embodied by reference here. A small number allows faster transfer between the front open integrated vertical slot and the processing equipment. However, the environment in which the wafer is open in the front integrated vertical slot and the processing equipment environment is usually different, and The transfer of wafers in this case requires complexity and time. Some advances in transmission design have reached the highest level today. An example of a system is U.S. Patent No. 6 5 4 2 8, 2 6 2 which is guided to an ion implantation system in which the transmission design has a load fixing device with a vacuum robot zone 3 2 in an attempt to reduce the volume and most effectively The operation of moving through the front open integrated vertical groove and the ion implantation chamber 14 is performed. When a group of wafers is moved from the front integrated longitudinal slot by a first end effector, and when a group of wafers is lifted by the first end effector and lowers a first load device in a first air open position When the door is loaded into the load fixing device, the yield improvement is desired 1) The first load fixing device is then closed to its closed position by lifting the first load device. This load fixture is then raised; then a second load fixture door is raised to a vacuum opening. Finally, a 3-axis robot moves from the load fixture -6- (4) (4) 200416775 one of the wafers to the ion processing chamber load fixture. Unfortunately, the potential semiconductor speed is limited by the number of times each wafer is moved between the front open integrated longitudinal groove 12 and the ion processing chamber 14. Each action adds extra time and increases the complexity of the semiconductor processing system. A load port device, especially for batch processing and a method of using the device, is necessary for the facility to improve wafer transfer speeds and overcome the shortcomings of the above and other known load ports, and to use the device. SUMMARY OF THE INVENTION In one aspect t, the present invention advantageously provides a desired throughput of a semiconductor processing equipment, such as a small batch vertical oven system, without using a multiple load fixture system of the prior art. The present invention provides wafer transfer from a front open integrated vertical slot directly to a wafer carrier connected to a processing device. An isolated load fixture allows isolated sealing and cleaning, and can be combined with a standard load port. This combination can reduce the complexity and footprint of the system. The typical second-order load fixture can be incorporated into a general load port. The typical first-order load fixing device of the present invention "Load Bi" isolates two separate environments and is equipped to match the first and second environments, such as the environment in the front open integrated vertical tank and the environment in the oven. Another aspect of the invention is that pneumatic and / or electrical control of the load port is provided, which maintains a closed environment by means of a front open integrated longitudinal groove, regardless of whether the load port is in an open or closed position. 0neExample of the An embodiment of the present invention is directed to a -7- (5) (5) 200416775 load port device that facilitates wafer transfer from a front open integrated vertical slot to the processing equipment. Generally speaking, the load port The device includes a platform, a cover, a load port door, a load card door gasket, and an adaptation system. The platform is configured to safely receive the front open integrated longitudinal slot. The cover includes a second bore opening. When the front open integrated longitudinal groove is docked to the platform, the cover is fitted to seal the front open integrated longitudinal groove. The load port door includes a mechanical device entrance for opening The front open one-piece longitudinal slot door. The load port door is movable between an open position and a closed position, wherein the so-called opening is directly connected with the second chamber. When the load port door is in When in the closed position, the load port door gasket selectively maintains a closed second bore opening. An adaptation system is provided and communicates with the load port device. More specifically, the adaptation system is prepared to accommodate microenvironmental bores, the so-called microenvironment When the load port door is in the closed position, the load port door seal is back sealed, and when the front open integrated longitudinal groove door is opened, by the load port, the seal, the opening, and the front open integrated type The interior of the vertical slot is defined. A wafer transfer method from the previously open integrated vertical slot to the processing equipment is also disclosed. This load and its use have other features and advantages, which will change from the drawings. Obviously and provided in more detail in the accompanying drawings, which is included in a part of this design specification and detailed description of the present invention, and is used together to explain the principles of the present invention. The present invention is described in detail in the winter (6) (6) 200416775. A semiconductor processing equipment 100 shown in the figure, in this example, the only small batch of vertical oven system 'which has the load port device of the present invention is shown in Figure 1 Perspective view, side plan view of Fig. 2 and top view of Fig. 3. When the oven system 100 is displayed together with four units 1 1 0 '1 2 0, 1 3 0 and 1 4 0, The system can have one or any number of units as desired. The unit can be any form of processing unit and can be the same as other units, different from other units, or a combination of the two. In the diagram In this embodiment, all units 110, 12 0 '13 0 and 14 0 are included in the vertical batch oven system. The processing equipment described here is a small batch vertical oven unit, the invention is not limited to this and can be used For any form of semiconductor processing equipment. In this example, each unit includes 15 processing chambers, of which different thermal processes are performed here. Referring to unit 1 10, an elevator 1 1 2 is used to move a wafer carrier 1 1 3 carrying a plurality of semiconductor wafers into and out of the processing chamber 111. As shown in FIG. 1, each of the other units 120, 130, and 140 also includes a combined lift (only 142 cannot be removed) and a wafer destroyer 123 '13 3, 1 43, which are used to transport wafers in and out respectively. Processing chamber 1 2],] 3 1,] 4 1. For simplicity, one unit will be detailed, and it is understandable that such descriptions can be used in other units. The project wafer is widely used here to represent any item that contains a large number of integrated circuits, single or multiple flat objects, and so on. The wafer is transferred between the front open integrated vertical slot and the unit. As shown in Figure 1, two front open integrated longitudinal grooves are integrated into each unit. The front open one-piece vertical slot 1 1 6 and Π 8 are combined with the unit 110, and the front open one-piece vertical slot 126, 28 is tied to the unit 120 (7) (7) 200416775. The opening is one-piece vertical slot 丨 3 6, 1 3 8 is combined with unit 130, and the front open-body longitudinal grooves 146, 148 are combined with unit 140. Other configurations and the number of front open integrated longitudinal grooves can be utilized. Front open integrated longitudinal grooves are very common and are highly standardized in industry. The front open integrated 纮: The slot-through hanger is a vertical slot-shaped sealed container that stores many wafers inside the front open integrated vertical slot. The front open one-piece vertical slot has a front open one-piece vertical slot door to allow the wafer to enter at noon. Just as the former open integrated longitudinal groove is very common, we will not go into further details here. Referring to FIG. 2, a wafer is transferred between a front open integrated vertical groove 1 1 8 and the wafer carrier 1 1 3 via a wafer transfer unit i 4. The interior of the front open integrated vertical slot represents a first environment, and the general inteho of the and the general interior of the processing equipment (eg, the wafer carrier 1 1 3) represents a second environment. In order to facilitate wafer transport, the front open one-piece vertical slot 1 18 is supported on a platform 1 19 coupled to the load port 2000. The load port 200 (Figure 2) is assembled to ensure that the integrated vertical slot is opened before receiving the load port 200. When the load port 2 00 is visible in the side view, it can be understood that each bore; 1 丨; [,] 2],] 3 1,] 4 1 has a combined load port. An automatic and / or manual process can be used to couple the front open one-piece vertical slot n 8 through the load port 200. When wafers are being transported, the lift 1 12 is lowered, so the carrier 1 13 is usually placed opposite the front open integrated longitudinal slot 1 18 when the load port 200 is engaged. According to one embodiment, the first environment (the interior of the front open integrated longitudinal tank) typically contains an oxygen concentration with a volume of approximately 21 PPm, and the second environment (the interior of the processing equipment) typically contains an oxygen with a volume of approximately 5 ppm (8) (8) 200416775 gas concentration. Therefore, the environment in the front open integrated vertical groove is usually different from the environment in which the wafer is exposed to the processing equipment. A significant advantage is that the present invention prepares wafers for adaptation, or allows the wafers to be exposed from one environment to another, as needed in the processing room. Referring to a front plan view of FIG. 4 and a cross-sectional view of FIG. 5, the load port 200 is described in more detail. In Figures 4 and 5, the different sensors and control keys for the load j: Fu 2 00 are omitted to keep them clear, but are shown in Figure 6 to Figure 12 to illustrate. The load 璋 2 0 0 generally includes an outer cover 2 4 0, a load bank 250, a load port seal 260, and an adaptation system 2 70. When the load port door 2 50 is in the closed position 2 5 3, the load port door gasket 2 6 0 is closed 5 and the front open integrated longitudinal slot door 2 1 1 is opened, a miniature environmental chamber 2 7 1 It is defined as load field door 2 50, load port door gasket 2 60, a front open integrated longitudinal groove seal 2 4 6 and an opening 2 4], and the front open integral longitudinal groove 2 1 0 Inside 2 1 3. The adaptive system 2 7 0 includes an inlet port 2 7 3 and an exhaust port 2 7 4, and is connected to the miniature environmental bore 2 7 1 in order to adapt to the miniature environmental bore 2 7 1. In the described embodiment, the adaptation system 270 includes an intake port 273 and an exhaust port 274, which are provided within the housing 240. However, it should be understood that the number of gas inlet ports and the number of exhaust ports can be assembled and utilized as required. Further, the gas inlet port and the exhaust port may be combined with the movable load port door 250, the recess 24, and / or the front open integrated longitudinal groove 2 10 as required. As shown in FIG. 4 and FIG. 5, the load port door gasket 2 6 0 is preferably a (9) (9) 200416775 shrink-sealing pad, and the seal engages the load port door 2 5 0 and the cover 2 4 0 To selectively close the opening 2 4 1 from the bore 2 2 0. As shown in FIG. 5, the loadhead door gasket 2 60 closes the opening 241 from the bore 220. As shown in Fig. 9, the load j: Fumen gasket 2 60 is evacuated and moved to open with the load Fumen 150 (Fig. 12). It can be appreciated that any number of configurations can be used to make gaskets, including air-removable gaskets. As shown in FIG. 6, the front open integrated vertical slot platform 2 30 is assembled to receive and secure the front open integrated vertical slot 2 1 0. The front open integrated vertical slot platform 2 3 0 includes a pair of dynamic probes 231-1 and 231-2, and a pair of position sensors 2 located adjacent to the dynamic probes 2 3 1-1 and 2 3 1-2 3 2-1 and 2 3 2-2 and a front open one-piece longitudinal groove fixing mechanical structure 2 3 3. One or more dynamic probes may be used for the front open integrated longitudinal groove 2 1 0. One or more position sensors may be placed close to one or more dynamic probes, the purpose of which is to detect the position of the front open integrated vertical slot 2 10. In the described embodiment, three dynamic probes (only probes 2 3 1-1 and 2) corresponding to three dynamic probe sensors (only sensors 231-1 and 23b 2 are shown) 3 1-2 is shown) is included in the platform 2 3 0. Even better, each dynamic probe sensor is placed adjacent to the corresponding dynamic probe to determine whether a front open integrated vertical slot 2 10 is received. It will be appreciated that any number of configurations of dynamic probes and / or dynamic probe sensors may be used with the teachings of the present invention. As shown in FIG. 6, the fixed mechanical structure 2 3 3 is a pointed bolt that is received by the front open integrated longitudinal groove 2 1 0, so that the rotation of the pointed bolt closes the front open integrated longitudinal groove 2 1 0 to Platform 2 3 0. It can be appreciated that any number of -12- (10) 200416775 fixed mechanical structure configurations can be used on demand, and fixed mechanical structures are usually defined by an existing load port corresponding to the design specifications.

如圖6所示,一種移動式容器底座系統2 3 9可以被定 義爲包括該平臺2 3 0,一種平臺基底2 3 4,以及一種停靠 動作機械結構2 3 7。當固定機械結構2 4 2 (例如圖4所描述 的則開放一體式縱槽夾鉗2 4 2 - 2,和2 4 2 - 2 )接和對應的探 針(並未顯示)時,該移動式容器底座系統2 3 9關緊前開放 一體式縱槽2 1 0到平臺2 3 0,該探針由前開放一體式縱槽 21〇伸出來關緊該前開放一體式縱槽21〇到該外罩24 0。 54平曼230被固定在平臺基底234來支撐前開放一體式縱 槽平臺2 3 0在兩個或多個停靠位置之間。一種在前開放一 體式縱槽平臺.2 3 0和該平臺基底2 3 4之間的停靠動作機械 結構2 3 7支撐平臺2 3 0的動作。被描述的該停靠位置包括 一出塢位置2 3 5 (圖6 )和入塢位置2 3 6 (圖7 )。一種停靠感 測器2 3 8可以被周來偵測前開放一體式縱槽平臺2 3 0的位 置。可以領會的是任何爲了移動前開放一體式縱槽平臺 2 3 〇在出塢位置2 3 5和入塢位置2 3 6之間前進和後退的動 作可以錯者本發明的教導來被使用。根據一描述的實施 例’該停靠動作機械結構2 3 7包括一種用來啓動在停靠位 置2 3 5和2 3 6之間的動作的氣體瓶。 如圖7所示,該外罩2 4 〇包括—種開口 24〗到第二膛 2 2 〇 °該第二腊2 2 0在此通常被定義爲處理執行地點,例 如錯爐環境;然而,該第二膛並不需要是一種處理膛,而 可以是任何其它在該系統所用的膛。如圖6和圖7所示, -13- (11) 200416775 該前開放一體式縱槽2 1 0從出塢位置2 3 5運送 2 4 0的入塢位置2 3 6。一個或多個固定機械結 如,夾鉗242-1和242-2 (圖4)接和該前開放 2 ] 0來確保在前開放一體式縱槽2〗0和該外罩 氣密閉。根據一描述實施例,該固定機械結構 接合探針的夾鉗,該探針從該前開放一體式縱 面大約伸出到該前開放一體式縱槽2 1 0的上表 機械結構2 4 2接合並且偏移該前開放一體式縱 該外罩240。可以領會的是其它固定機械結構 和配置可以藉著本發明的教導來被利用。如圖 前開放一體式縱槽2 1 0被關緊到該平臺2 3 0 2 4 0密封。 根據在圖7所示的實施例,一種前開放一 封墊246被放置在鄰近該開口 241位置,其 該前開放一體式縱槽2 1 0在入塢位置2 3 6。固 2 4 2接合並且偏移該前開放一體式縱槽2 ] 0面 口 2 4 1,如此該前開放一體式縱槽密閉墊2 4 6 罩2 4 0有關的該前開放一體式縱槽2 1 0的外罩 的是任何在密閉空氣的情況下,用來接合該前 縱槽2 1 0到該外罩24 0的配置可以被利用。根 的實施例,該前開放一體式縱槽密封墊是一 5 240的〇·環密封墊。 再參照圖7,一種容器接合感測器243被 罩2 4 0並且被用來決定該前開放一體式縱槽2 1 到接近外罩 構242 ,例 一體式縱槽 2 4 0之間的 2 4 2是一對 槽2 1 0的反 面。該固定 槽2 1 0面對 2 4 2的數目 7所示,該 並解與外罩 體式縱槽密 目的爲密封 定機械結構 對該外罩開 密封與該外 。可以領會 開放一體式 據一個圖示 塵在該外罩 固定在該外 〇是否與該 -14- (12) 200416775 外罩24〇接合。可以領會的是任何容器接 的配置和數目可以應要求被利用。 如圖8所示,負載埠門2 5 0可以應需 (圖1 2)和關閉位置2 5 3之間往任何方向移動 門2 5 0在開啓位置時,該開口 241與第二f 繫。該負載埠門2 5 0包括一種凹處2 5 4,其 結構之該前開放一體式縱槽門2 5 5,並且該 足夠的空間來儲存前開放一體式縱槽門2 1 示,有關開口 240的負載璋門25 0在關閉位 從外部週遭環境來密閉第二膛220。移動該 到該關閉位置2 5 3必且啓動該負載埠門密封 P 2 4 1 〇 再參照圖8,當該負載埠門2 5 0在關閉 該負載埠門2 5 0的該凹處2 5 4與開口 2 4 1鄰 放一體式縱槽2 1 0與外罩接合時,該前開放 2 1 1可以經由開口 24 1進入。進入機械結構 體式縱槽門2 5 5從凹處2 5 4展開,並且與該 縱槽門2 ] 1接合來打開和/或關閉該前開放 2 11。根據一個圖示的實施例,進入機械; 放一體式縱槽門2 5 5藉著凹處2 54來固定, 埠門25 0在開啓位置2 5 2 (圖12)和關閉位置 間被移動。現今一般技術之一將爲領會到在 之內其它進入機械結構之前開放一體式縱槽 可以被用來接合該前開放一體式縱槽門2 1 1 合感測器244 求在開啓位置 。當該負載埠 丨堂22 0直接聯 包含進入機械 凹處254具有 1。如圖7所 置2 5 3,因此 負載埠門2 5 0 墊2 6 0從該開 位置2 5 3時, 近。當該前開 一體式縱槽門 之該前開放一 前開放一體式 一體式縱槽門 結構的該前開 並且與該負載 2 5 3 (圖 11)之 該發明的範圍 門2 5 5的配置 ,例如一種進 -15- (13) (13)200416775 入機械結構2 5 5的前開放一體式縱槽門導致該前開放一體 式縱槽門收回到該前開放一體式縱槽之內。 如圖8所示,該進入機械結構之前開放一體式縱槽門 2 5 5包括一種圖示的栓組件2 5 6其具有一個或多個旋轉 鍵,例如旋轉鍵2 5 7,一種校正探針2 5 8,合一種感測器 2 5 9。該栓組件2 5 6通過開口 241來接合該前開放一體式 縱槽門21 1。一個或多個旋轉鍵2 5 7被用來關緊前開放一 體式縱槽門2 1 1到進入機械結構之前開放一體式縱槽門 2 5 5。一個或多個校正探針2 5 8被用來校正與栓組件2 5 6 有關的移動式前開放一體式縱槽門2 1 1。一個或多個感測 器2 5 9可以被用來確認與栓組件2 5 6有關的移動式門的位 置。根據一個圖示的實施例,一種雙旋轉鍵2 5 7被用來接 合該前開放一體式縱槽門2 1 1,其方式爲藉著利用一種單 一動作的裝置在接合位置和解脫位置之間同時旋轉該雙旋 轉鍵。 如圖9所示,該進入機械結構之前開放一體式縱槽門 2 5 5從凹處2 5 4可收回的延伸來接和前開放一體式縱槽門 2 ] 1。當該進入機械結構之前開放一體式縱槽門2 5 5被延 伸時’該权正探針2 5 8校正該前開放一體式縱槽門2 1 1和 栓組件2 5 6。該感測器2 5 9顯示該移動式門和栓組件2 5 6 之間的接近。一個或多個旋轉鍵2 5 7被啓動來接和該前開 放一體式縱槽門2 1 1。如圖1 〇和1 1所示,該進入機械 結構之前開放一體式縱槽門2 5 5收回到凹處2 5 4與該前開 放一體式縱槽門2 1 1 —起。開啓該前開放一體式縱槽門 -16- (14) (14)200416775 - 1 1允δ午進入到前開放一體式縱槽2 1 〇的內部2 1 3。 爲了適應該晶圓,或從第一環境移動該晶圓到第二環 境’該前開放一體式縱槽2 1 〇的內部2 1 3被淸潔,並且通 吊是在負載埠門2 5 〇在關閉位置2 5 3,負載埠門密封墊 2 6 0被挖閉’和前開放一體式縱槽密封墊2 6 4被密閉時被 執行(如圖1 1所示)。根據一個圖示的實施例,該前開放 一體式縱槽2 1 〇 (例如前開放一體式縱槽η 8 )被固定在一 種晶圓處理裝置的該外罩2 4 0上,此處理裝置通常包括一 種第二膛220。前開放一體式縱槽2 ;[ 〇利用例如該前開放 一體式縱槽密封墊264來被固定到開口 24〗附近的該外罩 240。前開放一體式縱槽210在該前開放一體式縱槽i ! 8 與開口 241附近的外罩240緊密接合之後被開啓。開啓該 前開放一體式縱槽門2 1 1的動作藉著經由開口 24 1延伸該 前開放一體式縱槽門2 5 5來接合與開啓該前開放一體式縱 槽門2 1 1被執行。開啓該前開放一體式縱槽門2 1 1的動作 包括將該進入機械結構之前開放一體式縱槽門2 5 5與移動 式前開放一體式縱槽門2〗1連在一起,並且收回該進入機 械結構之前開放一體式縱槽門2 5 5和移動式前開放一體式 縱槽門2 1 1兩者到負載埠門2 5 0之內的凹槽。 根據一個圖示的實施例,在該前開放一體式縱槽門被 開啓之後,該微型環境膛藉著經由一進氣口注入一種第一 氣體進入該微型環境來被淸潔,經由一排氣口從微型環境 來釋放一種第一氣體。根據一個圖示的實施例,該微型 環境膛被定義爲經由一個或多個進氣口 2 7 3,經由該前開 - 17- (15)200416775 放一體式縱 或多個排氣 可替代 一進氣口堤 質,例如氧 潔氣體的量 膛2 7 1提供 較少的淸潔 根據一 負載埠門密 該負載埠門 2 4 1來維持 2 2 0之間的 2〗〇內的該 移動到該第 樣的前開放 之內。根據 個前開放一 該負載 1 2 )被移動至 封墊到第二 門2 1 1的動 前開放一體 2 1 1到該前 槽2 1 0內部2 1 3的一部分的氣流以及經由一個 口 2 7 4的氣流。 的是,該微型環境膛2 7 1藉著一淸潔空氣經由 2 7 3被適應。該淸潔氣體與任何不想要的物 氣,濕氣,和粒子物質經由排氣口埠274。淸 是由膛的大小來決定。有利的是,該微型環境 一個較小的腹,此腹通常在一淸潔處理時消耗 氣體。 個圖示的實施例’該負載埠門2 5 0藉著開啓該 封墊2 60被打開,然後從該關閉位置25 3移動 2 5 0到開啓位置2 5 2 (圖1 2 )’即可以經由開口 前開放一體式縱槽2 1 0的內部2 1 3和第二膛 聯繫。於是,一個被裝在該前開放一體式縱槽 晶圓2 1 2可以從該前開放一體式縱槽 2 1 0被 二膛220。在處理之後,該晶圓被移動到一 一體式縱槽之內或著另一個前開放一體式縱槽 一個圖示的實施例,該晶圓隨後被放置在另一 體式縱槽例如前開放一體式縱槽1 1 6。 埠門2 5 0的關閉動作是從該開啓位置2 5 3 (圖 iJ關閉位置2 5 2 (圖1 1 )以及密閉該負載埠門密 膛2 20的開口 24 1。關閉該前開放一體式縱槽 作是藉著經由開口 24 1延伸該進入機械結構之 式縱槽門2 5 5來送回該前開放一體式縱槽門 開放一體式縱槽2 1 0。根據一個圖示的實施 -18- (16) (16)200416775 例,關閉該前開放一體式縱槽門2 1 1的動作涉及從被定義 在負載璋門2 5 0範圍之內的該凹處25 4延伸該進入機械結 構之前開放一體式縱槽門2 5 5和該前開放一體式縱槽門 2 11,關閉該前開放一體式縱槽門2 1 1到該前開放一體式 縱槽210,從該進入機械結構之前開放一體式縱槽門255 來釋放該前開放一體式縱槽門2 1 1,以及收回該進入機械 結構之前開放一體式縱槽門2 5 5到凹處2 5 4之內。前開放 一體式縱槽2 1 0然後從該外罩240和該前開放一體式縱槽 平臺2 3 4被釋放。 爲了圖示和描述的目的,本發明之特定實施例的上述 說明已經被提出。它們並不意指是本發明的全部或限制此 發明在公開的嚴格範圍內,並且明顯的,許多的修正和改 變在按照以上的教導是可行的。該實施例被選出和被描述 是爲了最有效的解釋本發明的原則和實際的運用,從而最 有效的利用其它現今技術到爲了配合預期的特殊用途而具 有不同修正的本發明和不同的實施例。所想要的是本發明 的觀點藉著所附的本專利範圍和相等物被定義。 【圖式簡單說明】 圖1槪括來說描述一種小批垂直鎔爐系統的透視圖 示,其依照一個圖示的實施例具有四個單元。 圖2槪括來說依照一個單元的圖1來描述一側平面圖 示。 圖3槪括來說依照一個單元的圖1描述一俯視圖。 -19- (17) (17)200416775 圖4槪括來說依照圖2描述該負載埠的前平面圖示。 圖5槪括來說依照圖2描述該負載埠橫截面圖視。 圖6依照本發明的圖示實施例爲負載埠裝置槪要圖 不,其包括與一種可移動式的容器底座偶合的前開放—體 式縱槽。 圖7依照圖6爲槪要圖示,其描述的該負載埠裝置包 含一種與該外罩在密閉聯繫的前開放一體式縱槽。 圖8依照圖6爲槪要圖示,其描述的該機械裝置入口 在伸展位置。 φ 圖9依照圖6爲槪要圖示,其描述伸展該機械裝置入 口和接合一種前開放一體式縱槽門。 圖1 〇依照圖6爲槪要圖示,其描述該前開放一體式 縱槽的伸展該機械裝置入口,此入口與該前開放一體式縱 槽的可移動門偶合。 圖11依照圖6爲槪要圖示,其根據本發明實施例來 描述一種微型環境膛。 圖12依照圖6爲槪要圖示,其描述一種負載棒門@ ♦ 開啓位置。 主要元件對照表 110 OC3 -- 早兀 111 處理膛 112 升降梯 113 晶圓載具 -20- (18)200416775 ]1 6, 118 前 開 放一 體 式 縱 槽 119 平 臺 1 20 σ 口 早 元 1 2 1 處 理 膛 123 晶 圓 載具 126, 128 前 開 放一 體 式 縱 槽 13 0 單 元 13 1 處 理 膛 133 晶 圓 載具 13 6 前 開 放一 體 式 縱 槽 140 單 元 14 1 處 理 膛 1 42 升 降 梯 143 晶 圓 載具 146, 148 前 開 放一 體 式 縱 槽 200 負 載 i阜 2 10 刖 開 放一 體 式 縱 槽 2 11 ..W·· 刖 開 放一 體 式 縱 槽門 2 12 晶 圓 2 13 內 部 220 膛 23 0 平 臺 23 1- -1,23 1- —2 動態探針 2 3 2 - -1,2 3 2 - -2 位置感測器As shown in FIG. 6, a mobile container base system 2 3 9 can be defined to include the platform 2 3 0, a platform base 2 3 4 and a docking action mechanical structure 2 3 7. When the fixed mechanical structure 2 4 2 (such as the open integrated vertical slot clamp 2 4 2-2 and 2 4 2-2 described in FIG. 4) is connected with the corresponding probe (not shown), the movement Type container base system 2 3 9 Close the front open integrated longitudinal groove 2 1 0 to the platform 2 3 0. The probe extends from the front open integrated longitudinal groove 21 0 to close the front open integrated longitudinal groove 21 0 to the cover. 24 0. 54 Pinman 230 is fixed to the platform base 234 to support the front open integrated longitudinal slot platform 230 between two or more docking positions. A type of docking action mechanism that opens in front of a one-piece longitudinal slot platform. 2 3 0 and the platform base 2 3 4 supports the action of the platform 2 3 0. The described docking positions include a docking position 2 3 5 (Figure 6) and a docking position 2 3 6 (Figure 7). A docking sensor 2 3 8 can be used to detect the position of the front open integrated longitudinal slot platform 2 3 0. It can be appreciated that any forward and backward movement of the integrated vertical slotted platform 2 3 0 between the docking position 2 35 and the docking position 2 3 6 can be used in accordance with the teachings of the present invention. According to a described embodiment 'the docking action mechanical structure 2 3 7 comprises a gas bottle for initiating an action between the docking positions 2 3 5 and 2 3 6. As shown in FIG. 7, the outer cover 2 4 0 includes an opening 24 to a second bore 2 2 0 °. The second wax 2 2 0 is generally defined herein as a processing execution place, such as a wrong furnace environment; however, the The second bore need not be a processing bore, but can be any other bore used in the system. As shown in Figures 6 and 7, -13- (11) 200416775 The front open integrated vertical slot 2 1 0 is transported from the docking position 2 3 5 to the docking position 2 3 6. One or more fixed mechanical knots such as clamps 242-1 and 242-2 (Fig. 4) are connected to the front opening 2] 0 to ensure that the one-piece longitudinal groove 2 is opened front and the cover is airtight. According to a described embodiment, the fixed mechanical structure engages a clamp of a probe, the probe protrudes approximately from the front open one-piece longitudinal surface to the front open one-piece longitudinal slot 2 1 0 above the mechanical structure 2 4 2 The front open one-piece longitudinal cover 240 is engaged and offset. It will be appreciated that other fixed mechanical structures and configurations may be utilized by the teachings of the present invention. As shown in the front, the integrated vertical slot 2 1 0 is closed tightly to the platform 2 3 2 4 0 to seal. According to the embodiment shown in FIG. 7, a front-opening gasket 246 is placed adjacent to the opening 241, and the front-opening integrated vertical groove 2 10 is in the docking position 2 36. Fixed 2 4 2 engages and offsets the front open one-piece vertical slot 2] 0 face opening 2 4 1 so that the front open one-piece vertical slot closed pad 2 4 6 covers 2 4 0 related to the front open one-piece vertical slot The outer cover of 2 1 0 is any configuration that can be used to engage the front longitudinal groove 2 1 0 to the outer cover 2 4 in the case of airtight air. In the root embodiment, the front open integrated longitudinal groove gasket is a 5 240 o-ring gasket. Referring again to FIG. 7, a container engagement sensor 243 is covered 2 4 0 and is used to determine the front open integrated longitudinal groove 2 1 to approach the outer cover structure 242, such as 2 4 2 between the integrated longitudinal groove 2 4 0. It is the opposite side of a pair of grooves 2 1 0. The fixed groove 2 10 faces the number 2 2 2 as shown in the figure 7. The reconciliation is closely related to the cover body longitudinal groove. The purpose is to seal the mechanical structure and to seal the cover to the outside. Can understand the open one-piece type According to a picture, the dust is fixed on the cover and whether it is engaged with the -14- (12) 200416775 cover 24o. It can be appreciated that any configuration and number of container connections can be utilized upon request. As shown in FIG. 8, the load port door 250 can be moved in any direction between the required position (Fig. 12) and the closed position 2 53. When the door 250 is in the open position, the opening 241 is related to the second f. The load port door 2 5 0 includes a recess 2 5 4, the structure of the front open integrated vertical slot door 2 5 5, and sufficient space to store the front open integrated vertical slot door 2 1 The load load 240 of 240 is in the closed position to seal the second chamber 220 from the external environment. Moving to the closed position 2 5 3 must activate the load port door seal P 2 4 1 〇 Referring to FIG. 8 again, when the load port door 2 5 0 is closing the recess 2 5 of the load port door 2 5 0 4 and the opening 2 4 1 When the integrated vertical groove 2 1 0 is adjacent to the cover, the front opening 2 1 1 can be accessed through the opening 24 1. Entering the mechanical structure The vertical slot door 2 5 5 is unfolded from the recess 2 5 4 and is engaged with the vertical slot door 2] 1 to open and / or close the front opening 2 11. According to an illustrated embodiment, the access mechanism is placed; the integrated vertical slot door 2 5 5 is fixed by the recess 2 54, and the port door 250 is moved between the open position 2 5 2 (FIG. 12) and the closed position. One of today's general technologies will be to appreciate that the open integrated longitudinal slot can be used to engage the front open integrated longitudinal slot door 2 1 1 sensor 244 in the open position before other mechanical structures can be entered. When the load port is directly connected to 22 0, it contains 1 into the mechanical recess 254. As shown in Figure 7, position 2 5 3, so load port door 2 5 0 pad 2 6 0 is near from this open position 2 5 3. When the front-opening one-piece vertical slot door is front-opened and the front-opening one-piece one-piece vertical slot-door structure is the front-opening and the load range 2 5 3 (FIG. 11) of the invention's range door 2 5 5 is configured, for example A front-opening integrated longitudinal slot door that enters -15- (13) (13) 200416775 into the mechanical structure 2 5 5 causes the front-opening integral longitudinal slot door to retract into the front-opening integral longitudinal slot. As shown in FIG. 8, the open one-piece longitudinal slot door 2 5 5 includes a illustrated bolt assembly 2 5 6 before entering the mechanical structure, which has one or more rotary keys, such as rotary keys 2 5 7, a calibration probe. 2 5 8, a sensor 2 5 9. The bolt assembly 2 5 6 engages the front open integrated longitudinal slot door 21 1 through the opening 241. One or more rotary keys 2 5 7 are used to close the front one-piece longitudinal slot door 2 1 1 and open the one-piece longitudinal slot door 2 5 5 before entering the mechanical structure. One or more calibration probes 2 5 8 are used to calibrate the mobile front open integrated longitudinal groove door 2 1 1 in relation to the bolt assembly 2 5 6. One or more sensors 2 59 can be used to confirm the position of the mobile door associated with the bolt assembly 2 5 6. According to an illustrated embodiment, a double rotary key 2 5 7 is used to engage the front open one-piece longitudinal slot door 2 1 1 by using a single-action device between the engaged position and the released position Rotate the double spin key simultaneously. As shown in FIG. 9, before entering the mechanical structure, the integrated longitudinal slot door 2 5 5 is retractably extended from the recess 2 5 4 to connect and open the integral longitudinal slot door 2] 1. When the open integrated longitudinal groove door 2 5 5 is extended before entering the mechanical structure, the right probe 2 5 8 corrects the front open integral longitudinal groove door 2 1 1 and the bolt assembly 2 5 6. The sensor 2 5 9 shows the proximity between the mobile door and the bolt assembly 2 5 6. One or more rotary keys 2 5 7 are activated to engage the front-opening one-piece longitudinal slot door 2 1 1. As shown in Figs. 10 and 11, before opening the mechanical structure, the integrated vertical slot door 2 5 5 is retracted to the recess 2 5 4 and the front open integrated vertical slot door 2 1 1. Open the front open integrated vertical slot door -16- (14) (14) 200416775-1 1 Allow δ to enter the interior 2 1 3 of the front open integrated vertical slot 2 1 0. In order to adapt to the wafer, or move the wafer from the first environment to the second environment, the interior 2 1 3 of the front open integrated longitudinal groove 2 1 0 is cleaned, and the hanging is at the load port door 2 5 0. In the closed position 2 5 3, the load port door gasket 2 60 is dug closed and the front open integrated longitudinal groove seal 2 6 4 is executed when it is closed (as shown in FIG. 11). According to an illustrated embodiment, the front open integrated vertical slot 2 10 (for example, the front open integrated vertical slot η 8) is fixed on the cover 2 40 of a wafer processing apparatus, and the processing apparatus generally includes A second bore 220. The front open integrated vertical groove 2 is fixed to the cover 240 near the opening 24 by using, for example, the front open integrated vertical groove seal 264. The front open integrated vertical groove 210 is opened after the front open integrated vertical groove i! 8 is tightly engaged with the cover 240 near the opening 241. The action of opening the front open integrated vertical slot door 2 1 1 is performed by extending the front open integrated vertical slot door 2 5 5 through the opening 24 1 to engage and open the front open integrated vertical slot door 2 1 1. The action of opening the front open one-piece vertical slot door 2 1 1 includes connecting the open one-piece vertical slot door 2 5 5 and the mobile front open one-piece slot door 2 1 before entering the mechanical structure, and retracting the Before entering the mechanical structure, open the groove between the integrated vertical slot door 2 5 5 and the mobile front open integrated vertical slot door 2 1 1 to the load port door 250. According to an illustrated embodiment, after the front open integrated longitudinal slot door is opened, the microenvironment chamber is cleaned by injecting a first gas into the microenvironment through an air inlet, and exhausted through an exhaust The mouth releases a first gas from the microenvironment. According to an illustrated embodiment, the micro-environmental chamber is defined as passing through one or more air inlets 2 7 3 and via the front opening-17- (15) 200416775. One integrated longitudinal or multiple exhausts can be substituted for one inlet Port quality, such as the measuring chamber of oxygen cleaning gas 2 7 1 provides less sanitation. According to a load port door and the load port door 2 4 1 to maintain the movement within 2 2 between 0 2 0. The first kind of former opening is within. According to the front opening, the load 1 2) is moved to the gasket to the second door 2 1 1 and the front opening is integrated 2 1 1 to the front slot 2 1 0 part of the air flow inside the 2 1 3 and through a port 2 7 4 air flow. It is said that the micro-environment chamber 2 7 1 is adapted by 2 7 3 through a clean air. The cleaning gas passes through any exhaust port 274 with any unwanted gas, moisture, and particulate matter.淸 is determined by the size of the bore. Advantageously, the microenvironment has a smaller vent which typically consumes gas during a cleansing process. The illustrated embodiment 'the load port door 2 50 is opened by opening the gasket 2 60 and then moved from the closed position 25 3 to the open position 2 5 2 (Figure 1 2)' The interior 2 1 3 of the integrated longitudinal groove 2 1 0 is connected to the second bore through the front opening. Thus, a wafer 2 1 2 mounted on the front-opening integrated vertical slot 2 can be erected 220 from the front-opening integrated vertical slot 2 1 0. After processing, the wafer is moved into an integrated vertical slot or another front open integrated vertical slot. One illustrated embodiment, the wafer is then placed in another vertical slot such as a front open Integral vertical slot 1 1 6. The closing action of the port door 2 5 0 is from the open position 2 5 3 (Figure iJ closed position 2 5 2 (Figure 1 1)) and the opening 24 1 closing the load port door chamber 2 20. Close the front open integrated The vertical slot is to return the front open integrated vertical slot door by opening the vertical slot door 2 1 5 by extending the inlet vertical slot door 2 5 5 through the opening 24 1. According to the implementation of a diagram- 18- (16) (16) 200416775 For example, the action of closing the front open one-piece longitudinal slot door 2 1 1 involves extending the entry mechanical structure from the recess 25 4 defined within the range of the load card 2 5 0 The front open integrated vertical slot door 2 5 5 and the front open integrated vertical slot door 2 11 are closed, and the front open integrated vertical slot door 2 1 1 is closed to the front open integrated vertical slot 210, before entering the mechanical structure. Open the integrated vertical slot door 255 to release the front open integrated vertical slot door 2 1 1 and retract the integrated vertical slot door 2 5 5 to the recess 2 5 4 before entering the mechanical structure. The front open integrated The longitudinal slot 2 1 0 is then released from the housing 240 and the front open integrated longitudinal slot platform 2 3 4. For illustration and description For the purposes mentioned above, the above descriptions of specific embodiments of the present invention have been proposed. They do not mean that the present invention is all or limit this invention within the strict scope of the disclosure, and obviously, many amendments and changes are in accordance with the above The teaching is feasible. This embodiment was chosen and described in order to most effectively explain the principles and practical application of the invention, thereby making the most effective use of other present technology to the invention with different modifications to meet the particular use contemplated. And different embodiments. What is desired is that the point of view of the present invention is defined by the scope and equivalents of the attached patent. [Brief Description of the Drawings] Figure 1 is a general description of a small batch vertical oven system. Perspective illustration, which has four units according to an illustrated embodiment. Fig. 2 illustrates a side plan view according to Fig. 1 of a unit. Fig. 3 illustrates a view according to Fig. 1 of a unit. A top view. -19- (17) (17) 200416775 Figure 4 illustrates the front plan view of the load port according to Figure 2. Figure 5 illustrates the cross section of the load port according to Figure 2. Figure 6. Figure 6 is a schematic view of a load port device according to the illustrated embodiment of the present invention, which includes a front open-body vertical slot coupled with a removable container base. Figure 7 is a schematic view according to Figure 6 As shown, the load port device described therein includes a front open integrated longitudinal groove that is in close contact with the cover. Figure 8 is a schematic illustration according to Figure 6, which depicts the entrance of the mechanical device in the extended position. Φ Figure 9 Fig. 6 is a schematic diagram illustrating the extension of the mechanical device entrance and engaging a front open integrated longitudinal slot door. Fig. 10 is a schematic diagram according to Fig. 6 illustrating the extension of the front open integral longitudinal slot. The entrance of the mechanical device is coupled with the movable door of the front open integrated longitudinal groove. FIG. 11 is a schematic diagram according to FIG. 6, which illustrates a miniature environmental bore according to an embodiment of the present invention. FIG. 12 is a schematic diagram according to FIG. 6, which describes a load bar door @ ♦ open position. Comparison table of main components 110 OC3-Early stage 111 Processing chamber 112 Elevator 113 Wafer carrier -20- (18) 200416775] 1 6, 118 Front open integrated vertical groove 119 Platform 1 20 σ port early element 1 2 1 Processing Chamber 123 Wafer carrier 126, 128 Front open integrated vertical groove 13 0 Unit 13 1 Processing chamber 133 Wafer carrier 13 6 Front open integrated vertical groove 140 Unit 14 1 Processing chamber 1 42 Elevator 143 Wafer carrier 146, 148 Front open one-piece vertical slot 200 Load i Fu 2 10 纵 Open one-piece vertical slot 2 11 .. W .. 一体 Open one-piece vertical slot door 2 12 Wafer 2 13 Internal 220 bore 23 0 Platform 23 1- -1, 23 1- —2 Dynamic Probe 2 3 2--1, 2 3 2--2 Position Sensor

-21 - (19)200416775 233 固 234 平 23 5 出 23 6 入 237 停 23 8 停 23 9 移 240 外 24 1 開 242 固 242 — 1, 242 -2 246 /一 刖 250 負 252 開 253 關 254 凹 255 進 256 栓 257 旋 25 8 校 259 感 260 負 27 0 適 27 1 微 定機械結構 臺基底 塢位置 塢位置 靠動作機械結構 靠感測器 動式容器底座系統 罩 □ 定機械結構 夾鉗 開放一體式縱槽密封墊 載璋門 啓位置 閉位置 ' 處 入機械結構之該前開放一體式縱槽門 組件 轉鍵 正探針 測器 載埠門密封墊 應系統 型環境膛 -22- 200416775 (20) 273 進氣口 274 排氣口-21-(19) 200416775 233 solid 234 flat 23 5 out 23 6 into 237 stop 23 8 stop 23 9 shift 240 outside 24 1 open 242 solid 242 — 1, 242 -2 246/1 刖 250 negative 252 open 253 close 254 Concave 255 into 256 bolts 257 rotation 25 8 calibration 259 sense 260 negative 27 0 suitable 27 1 micro-determined mechanical structure platform base dock position dock position by action mechanical structure by sensor movable container base system cover □ fixed mechanical structure clamp open Integral longitudinal groove gasket carrying the door open position and closed position 'into the mechanical structure of the front open integral longitudinal groove door assembly turn key positive probe detector port door gasket should be a system-type environmental chamber-22- 200416775 ( 20) 273 Inlet 274 Exhaust

Claims (1)

200416775 ⑴ 拾、申請專利範圍 i 一種負載埠裝置,其目的爲從具有〜種前開放一 體式縱槽門的一種前開放一體式縱槽的內部傳輸半導體晶 圓到一種半導體處理設備,包含: 一種被裝配來安全的接收該前開放一體式縱槽的平 臺; 一種外罩包括 一種第二膛開口, 該外罩在該前開放一體式縱槽被關緊在該平臺時,被 裝配來密閉的接合該前開放一體式縱槽; 一種負載埠門包括 一種進入機械結構之前開放一體式縱槽門,其目的爲 打開該前開放一體式縱槽門, 該負載埠門,其可以在一開啓位置和一關閉位置之間 移動’並且其中該開口與該第二膛直接聯繫; 一種負載埠門密封墊其目的爲當該負載埠門在該關閉 位置時選擇性的密閉該第二膛的該開口;以及 一種與該開口聯繫的適應系統,其目的爲適應一種微 型環境膛,當該負載埠門在該關閉位置,該負載埠門密封 墊被密閉,和該前開放一體式縱槽門被開啓時,此微型環 境被限定爲該負載埠門,該開□,和該前開放一體式縱槽 內部。 2 ·如專利申請範圍第1項之裝置,該負載埠門更近 步的包括一種負載埠門凹處,以及該微型環境膛更近一 -24 - (2) (2)200416775 步的被限定爲該負載埠門凹處。 3 .如專利申請範圍第2項之裝置,該進入機械結構 之前開放一體式縱槽門從該負載璋門凹處可收回的延伸。 4 .如專利申請範圍第3項之裝置,該進入機械結構 之前開放一體式縱槽門包括一種門栓,其可以向具有一種 可移式門的該前開放一體式縱槽門延伸,接合該可移式 門,以及藉著該可移式門收回到該負載埠門凹處。 5 ·如專利申請範圍第4項之裝置,該進入機械結構 之前開放一體式縱槽門更近一步的包括一種用來可釋放的 接合該可移式門的栓組件。 6. 如專利申請範圍第1項之裝置,該負載埠門密封 墊與該負載埠門偶合。 7. 如專利申請範圍第6項之裝置,該負載埠門密封 墊是一種與該負載埠門偶合的膨脹密封墊,其目的爲當該 負載埠門在該關閉位置時緊密的接合該外罩。 8 .如專利申請範圍第1項之裝置,該適應系統包括 戶:!來提供一種第一氣體到該微型環境膛的一種進氣口,和 用來從該微型環境膛釋放該氣體的一種排氣口。 9 . 一種方法,其目的爲適應一種具有前開放一體式 縱槽門之前開放一體式縱槽的內部,該方法包含: 經由該接合開口延伸一種進入機械結構之前開放一體 式縱槽門和開啓該前開放一體式縱槽門; 適應一種微型環境膛,當該前開放一體式縱槽的該前 開放一體式縱槽門被開啓時,此微型環境膛被限定爲該處 -25 - (3) 200416775 理裝置的一種負載埠門在關閉位置,該開口,和該' 一體式縱槽內部;以及 Ά200416775 范围 Patent application scope i A load port device whose purpose is to transfer a semiconductor wafer from a front-open integrated vertical slot with a front-open integrated vertical slot door to a semiconductor processing device, including: a A platform assembled to securely receive the front open integrated longitudinal slot; a cover including a second bore opening, the cover is assembled to hermetically engage the front when the front open integrated longitudinal slot is fastened to the platform An open one-piece vertical slot; a load port door includes an open one-piece vertical slot door before entering a mechanical structure, the purpose of which is to open the front open one-piece vertical slot door, the load port door, which can be closed in an open position Between positions' and wherein the opening is in direct contact with the second bore; a load port door gasket whose purpose is to selectively close the opening of the second bore when the load port door is in the closed position; and The adaptation system associated with the opening is designed to adapt to a miniature environmental bore when the load port door is in the closed position When the load port door gasket is sealed and the front open integrated longitudinal slot door is opened, the micro-environment is limited to the load port door, the opening, and the inside of the front open integrated longitudinal slot. 2 · As for the device of the scope of patent application, the load port door closer includes a recess of the load port door, and the miniature environment chamber is closer to -24-(2) (2) 200416775 step is limited For the load port door recess. 3. The device according to item 2 of the scope of the patent application, before the mechanical structure is opened, the one-piece longitudinal groove door is retractably extended from the recess of the load card. 4. The device according to item 3 of the patent application scope, the open one-piece longitudinal slot door before entering the mechanical structure includes a door bolt that can be extended to the front open one-piece longitudinal slot door having a movable door to engage the The movable door is retracted to the recess of the load port door by the movable door. 5. The device according to item 4 of the patent application, which further opens the integrated longitudinal slot door before entering the mechanical structure, and includes a bolt assembly for releasably engaging the movable door. 6. The device of the patent application scope item 1, the load port door gasket is coupled with the load port door. 7. As in the device of the scope of patent application item 6, the load port door gasket is an expansion seal coupled with the load port door, and its purpose is to tightly engage the cover when the load port door is in the closed position. 8. The device according to item 1 of the scope of patent application, the adaptation system includes: An air inlet for providing a first gas to the microenvironmental bore and an air outlet for releasing the gas from the microenvironmental bore are provided. 9. A method for adapting an interior of an integrated longitudinal groove with a front opening integral longitudinal groove door, the method comprising: extending through the joint opening a type of opening the integral longitudinal groove door before entering the mechanical structure and opening the Front open one-piece longitudinal slot door; Adapt to a miniature environmental chamber, when the front open one-piece longitudinal slot door of the front open one-piece longitudinal slot is opened, the micro-environment chamber is limited to that place-25-(3) 200416775 A load port door of the management device is in the closed position, the opening, and the interior of the 'integrated longitudinal slot; and 從該關閉位置開啓和移動該負載埠門到該 從而經由該開口連接該內部和一種第二膛。 % 10.如專利申請範圍第9項之方法,更近〜歩% 從該開啓位置移動和關閉該負載埠門到與該外_ 接合之該關閉位置。 11.如專利申請範圍第9項之方法,更近一歩^ 經由該外罩延伸該進入機械結構之前開放一 _1 ^;Opening and moving the load port door to the door from the closed position thereby connecting the interior and a second chamber via the opening. 10. The method according to item 9 of the scope of patent application, closer to 歩% Moving and closing the load port door from the open position to the closed position engaged with the outer door. 11. The method according to item 9 of the scope of patent application, which is closer to ^^ through the cover before extending into the mechanical structure and open _1 ^; 門來關閉該前開放一體式縱槽門;以及 從前開放一體式縱槽平臺釋放該前開放一體式_ ^ % 1 2 .如專利申請範圍第9項之方法,該淸潔奠_ 0 的包括: & 經由一種進氣口來配與一種第一氣體到微型_ _ 及 為;4 經由一種排氣口從該微型環境釋放該第一氣_, 該微型環境膛被限定爲進氣口和排氣口之間的〜_Door to close the front open one-piece vertical slot door; and release the front open one-piece vertical slot platform from the front open one-piece vertical slot platform. : &Amp; Matching a first gas to the micro__ via an air inlet; and 4 releasing the first gas_ from the microenvironment via an exhaust, the microenvironmental bore is defined as an air inlet and ~ _ Between the exhaust ports 侃。 13.如專利申請範圍第9項之方法,該淸潔更进% 的包括: 經由一種在外罩上的進氣口來配與一種第一氣體到微 型環境;以及 經由一種在外罩上的排氣口從該微型環境釋放該第一 氣體,其中該微型環境膛被限定爲進氣口和排氣口之間的 -26- (4) (4)200416775 一種氣體流。 14.如專利申請範圍第9項之方法,更近一步的包括: 經由該開口進入該前開放一體式縱槽。Kan. 13. The method according to item 9 of the scope of patent application, the further improvement of cleanliness includes: supplying a first gas to the micro-environment via an air inlet on the housing; and exhausting through a housing The port releases the first gas from the micro-environment, wherein the micro-environmental bore is defined as a -26- (4) (4) 200416775 gas flow between the intake and exhaust ports. 14. The method according to item 9 of the scope of patent application, further comprising: entering the front-opening integrated longitudinal groove through the opening. -27--27-
TW92119301A 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof TW200416775A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US42852602P 2002-11-22 2002-11-22

Publications (1)

Publication Number Publication Date
TW200416775A true TW200416775A (en) 2004-09-01

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
TW92119298A TW200416773A (en) 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber
TW92119297A TW200409176A (en) 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119303A TW200406818A (en) 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment
TW92119301A TW200416775A (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof
TW92119299A TW200416774A (en) 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber

Family Applications Before (7)

Application Number Title Priority Date Filing Date
TW92119298A TW200416773A (en) 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber
TW92119297A TW200409176A (en) 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119303A TW200406818A (en) 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW92119299A TW200416774A (en) 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber

Country Status (6)

Country Link
EP (2) EP1522090A4 (en)
JP (2) JP2005533232A (en)
CN (1) CN1643322A (en)
AU (9) AU2003259104A1 (en)
TW (9) TW200416773A (en)
WO (9) WO2004008052A2 (en)

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
KR101019293B1 (en) 2005-11-04 2011-03-07 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and process for plasma-enhanced atomic layer deposition
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (en) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusion furnace and method for generating a gas flow
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (en) * 2008-03-31 2012-02-29 株式会社山武 Flow control system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (en) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 Linkage system
KR101877494B1 (en) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 Vacuum heat treatment apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (en) * 2012-09-17 2014-09-18 주식회사 유진테크 Apparatus for processing substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10386019B2 (en) 2013-03-15 2019-08-20 Southwire Company, Llc Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20160348240A1 (en) * 2014-01-27 2016-12-01 Applied Materials, Inc High speed epi system and chamber concepts
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (en) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
WO2017130268A1 (en) * 2016-01-25 2017-08-03 三菱電機株式会社 Control apparatus
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
FR3057391B1 (en) * 2016-10-11 2019-03-29 Soitec THERMAL TREATMENT EQUIPMENT WITH COLLECTING DEVICE
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018150537A1 (en) * 2017-02-17 2018-08-23 株式会社Kokusai Electric Substrate treatment device, method for manufacturing semiconductor device, and program
JP7158133B2 (en) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (en) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10854483B2 (en) * 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (en) * 2018-07-30 2023-01-18 Tdk株式会社 Load port device, semiconductor manufacturing device, and method for controlling atmosphere in pod
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy Substrate processing apparatus and method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (en) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 High Pressure Chamber to Improve Cooling Efficiency
CN114990299B (en) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
EP0306967B1 (en) * 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
JPH088220B2 (en) * 1988-09-05 1996-01-29 株式会社日立製作所 Semiconductor wafer heat treatment apparatus and heat treatment method
EP0308946B1 (en) * 1987-09-22 1993-11-24 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH02130943A (en) * 1988-11-11 1990-05-18 Tel Sagami Ltd Accommodation jig
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (en) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch METHOD FOR THERMALLY TREATING SEMICONDUCTOR MATERIALS AND DEVICE FOR CARRYING OUT THE SAME
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (en) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 Method of forming doped thin film
JP3040212B2 (en) * 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
US5480300A (en) * 1992-05-15 1996-01-02 Shin-Etsu Quartz Products Co. Ltd. Vertical heat-treating apparatus and heat insulator
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (en) * 1993-07-24 2000-03-21 ヤマハ株式会社 Vertical heat treatment furnace and heat treatment method
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (en) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
JPH08213446A (en) * 1994-12-08 1996-08-20 Tokyo Electron Ltd Processing equipment
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2001524259A (en) * 1995-07-10 2001-11-27 シーヴィシー、プラダクツ、インク Programmable ultra-clean electromagnetic substrate rotating apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (en) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat insulation structure thereof, and heat shield plate
JP3423131B2 (en) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 Heat treatment equipment and treatment equipment
JPH09306980A (en) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd Vertical wafer boat
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
EP1121245B1 (en) * 1998-06-18 2008-12-24 Kline & Walker L.L.C. Automated devices to control equipment and machines with remote control and accountability worldwide
JP3487497B2 (en) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 Object to be processed accommodation jig and heat treatment apparatus using the same
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (en) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd Substrate treating system
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (en) * 2000-01-21 2003-05-12 株式会社真空技研 Ultra high temperature heat treatment equipment
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (en) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (en) * 2002-04-01 2012-02-08 株式会社エフティーエル Semiconductor device manufacturing equipment

Also Published As

Publication number Publication date
JP2005533232A (en) 2005-11-04
WO2004008008A3 (en) 2004-12-16
WO2004007318A2 (en) 2004-01-22
WO2004007318A3 (en) 2004-08-05
TW200416773A (en) 2004-09-01
AU2003259104A1 (en) 2004-02-02
CN1643322A (en) 2005-07-20
TW200411960A (en) 2004-07-01
EP1522090A2 (en) 2005-04-13
TW200416774A (en) 2004-09-01
TW200405401A (en) 2004-04-01
WO2004007800A9 (en) 2005-01-13
AU2003253907A8 (en) 2004-02-02
TW200411717A (en) 2004-07-01
AU2003256486A1 (en) 2004-02-02
WO2004008008A2 (en) 2004-01-22
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
AU2003249028A1 (en) 2004-02-02
AU2003249030A8 (en) 2004-02-02
WO2004008054A1 (en) 2004-01-22
TW200409176A (en) 2004-06-01
AU2003253874A1 (en) 2004-02-02
WO2004008494A2 (en) 2004-01-22
WO2004008493A2 (en) 2004-01-22
WO2004008054A9 (en) 2005-01-13
EP1540258A1 (en) 2005-06-15
WO2004008493A9 (en) 2004-07-22
TW200406818A (en) 2004-05-01
AU2003253874A8 (en) 2004-02-02
AU2003253907A1 (en) 2004-02-02
WO2004008491A2 (en) 2004-01-22
AU2003249029A1 (en) 2004-02-02
AU2003259104A8 (en) 2004-02-02
AU2003256487A1 (en) 2004-02-02
WO2004008493A3 (en) 2004-05-27
TW200419890A (en) 2004-10-01
WO2004008052A3 (en) 2004-05-13
AU2003249030A1 (en) 2004-02-02
EP1522090A4 (en) 2006-04-05
WO2004008052A2 (en) 2004-01-22
AU2003253873A1 (en) 2004-02-02
JP2005533378A (en) 2005-11-04
AU2003256486A8 (en) 2004-02-02
WO2004008491A3 (en) 2004-06-03
WO2004007105A1 (en) 2004-01-22
AU2003249029A8 (en) 2004-02-02

Similar Documents

Publication Publication Date Title
TW200416775A (en) Loadport apparatus and method for use thereof
JP7263639B2 (en) Substrate transfer section
US20230253228A1 (en) Sealed substrate carriers and systems and methods for transporting substrates
TWI723122B (en) Connection mechanism and connection method of substrate storage container
TWI624898B (en) Substrate processing apparatus and methods of loading and unloading substrates
US9543180B2 (en) Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US6517304B1 (en) Method for transporting substrates and a semiconductor manufacturing apparatus using the method
JP3880343B2 (en) Load port, substrate processing apparatus, and atmosphere replacement method
KR100639765B1 (en) Method and apparatus for processing substrates and semiconductor device manufacturing method
KR100831933B1 (en) Substrate processing equipment and method for manufacturing semiconductor device
TW418429B (en) Processing apparatus
JP3286240B2 (en) Load lock device and method for semiconductor processing
TW202036762A (en) Load port operation in electronic device manufacturing apparatus, systems, and methods
JP5905504B2 (en) Apparatus for loading and unloading semiconductor wafers
JP2008258192A (en) Single-wafer type substrate treatment apparatus, operating method of single-wafer type substrate treatment apparatus and storage medium
KR20180111592A (en) Substrate processing apparatus
JP6212063B2 (en) Substrate transfer robot and substrate processing apparatus using the same
JP5926694B2 (en) Substrate relay device, substrate relay method, substrate processing apparatus
JP4255222B2 (en) Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
JP2000150613A (en) Transporting device for object to be treated
US11569102B2 (en) Oxidation inhibiting gas in a manufacturing system
JP3188956B2 (en) Film processing equipment
JP2004080053A (en) Semiconductor manufacturing apparatus
KR20030065275A (en) Substrate container with non-friction door element
TW201925063A (en) Modular pressurized workstation and method of processing semiconductor using thereof