TW200406818A - Control of a gaseous environment in a wafer loading chamber - Google Patents

Control of a gaseous environment in a wafer loading chamber Download PDF

Info

Publication number
TW200406818A
TW200406818A TW92119303A TW92119303A TW200406818A TW 200406818 A TW200406818 A TW 200406818A TW 92119303 A TW92119303 A TW 92119303A TW 92119303 A TW92119303 A TW 92119303A TW 200406818 A TW200406818 A TW 200406818A
Authority
TW
Taiwan
Prior art keywords
chamber
pressure
item
patent application
scope
Prior art date
Application number
TW92119303A
Other languages
Chinese (zh)
Inventor
Alan L Starner
Original Assignee
Asml Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Us Inc filed Critical Asml Us Inc
Publication of TW200406818A publication Critical patent/TW200406818A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Abstract

A gaseous flow control method and system. The flow control system monitors and controls gaseous levels and gas flow into and out of an enclosed space by using a proportional/integral/derivative (PID) controller to manipulate gas flow into and out of the chamber. The proportional term of the controller is applied to gas density, while the integral term is applied to either chamber pressure or gas density. Gas density is adjusted only if chamber pressure is maintained within acceptable levels.

Description

200406818 (1) 玖、發明說明 【發明所屬之技術領域】 相關申請案的參照 本案主張共同讓渡的2002年7月15日申請的名爲「熱 處理系統(Thermal Processing System)」的美國專利臨 時申請案序號第60/396,536號及2002年11月22日申請的名 爲「熱處理系統及其使用方法(Thermal Processing System and Method for Using the Same)」的美國專利臨 時申請案序號第60/428,526號的優先權,二者藉著參考整 個結合於此。 本發明相關於半導體製造設備,且更明確地說,相關 於用來控制晶圓裝載容室中的氣體環境的方法及設備。 【先前技術】 爐普遍地被用在廣泛不同的產業中,包括在從半導體 基板或晶圓的積體電路或半導體裝置的製造中。半導體晶 圓的熱加工處理包含例如熱處理,退火,摻雜劑材料的擴 散或驅動,材料層的沈積或生長(包括化學汽相沈積), 以及材料從基板的蝕刻或移除。這些製程經常要晶圓在製 程之前及製程期間被加熱至高達攝氏250至1200度的溫度 。另外,這些製程典型上要求晶圓要在整個製程期間被保 持於均勻的溫度’縱使處理氣體的溫度或其被引入爐內部 的處理容室內的引入流量有變動。 在製造半導體晶圓時,決定性的製造步驟期間的氧的 -4- (2) 200406818 存在可能會使晶圓無用。明確地說,當晶圓在爐中被熱處 理時,在晶圓表面上或靠近晶圓表面的自由氧或水蒸氣可 能會與晶圓中的矽鍵結而形成氧化物,例如二氧化矽。氧 化物爲不導電膜。萬一此種反應發生在晶圓必須有導電性 的部份上,則晶圓的功能性會被完全破壞。因此,甚至是 痕量(在每百萬十份(ten parts per million)的數量級) 的氧也可能會破壞半導體製程。在不想要有的製造區域中 的氧可能導致生產延遲及過多成本。 · 另外,氧必須在爐以外的其他區域中被限制或去除。 在分段運輸(staging )或處理區域例如晶圓船處理單元( BHU )中,氧濃度必須被減至最小,以防止氣體與晶圓一 起移入爐內。當晶圓載具(或「船(boat )」)在進入爐 內之前被預熱時,氧化可能甚至是在晶圓移入處理容室之 前就開始。船或晶圓載具至爐內的移動在俗話上被稱爲「 船推(boat push)」。 習知技術系統藉著將大量的氮引入密封容室內來將分 φ 段運輸區域中的氧減至最少。典型上,氮是經由手動設定 的質量流量控制器被引入,此控制器保持穩定的氮流動至 容室內。在加壓氮被泵唧至容室內的同時,容室內部的環 境氣體經由放氣(purge)或旁通閥被抽空。當氮充分地 稀釋容室內部的氧時(如由氧感測器所測量者),放氣閥 被關閉。 但是,氧有時可能會經由裂縫或其他流動路徑而移入 容室內。此可能造成氧位準升高超過最大限制。在此情況 -5· (3) (3)200406818 中’旁通閥再次被打開,直到氧濃度下降。如此,旁通閥 可能無限地循環,以嘗試保持正確的氧密度。 另外’因爲質量流量控制器被手動設定,所以其固定 地引入氮至BHU內。此造成兩個問題。第一,氮相當昂 貴。固定的氣流增加製造成本。第二,因爲氮被加壓,所 以容室壓力在放氣閥關閉時可能緩慢增加。 另外’雖然此系統可控制氧密度,但是對容室壓力只 有些許至完全沒有任何控制。過度加壓BHU可能造成面 板畸變’而此加速氧的移入。換句話說,高壓導致較大的 氧濃度,並且要求進一步的閥循環。 一般而言,習知技術解決方案向來忽略在此情況中的 壓力控制,因爲只有單一控制變數(氮流量)存在於系統 中。大多數的傳統系統無法使用單一變數來控制二輸出, 亦即壓力及氧密度。因此,壓力控制被忽略,以將氧化晶 圓的機會減至最小。 因此,對於克服上述問題的設備及方法有需求。 · 【發明內容】 一般而言,本發明的一實施例採取氣體流量控制系統 的形式。此流量控制系統在半導體製造環境中監視及控制 流入及流出一圍封空間例如船處理單元(BHU )的氣體位 準及氣體流量。在一實施例中,控制系統將船處理單元內 的氧密度減至最小,但是其他實施例可監視其他氣體的濃 度且將其減至最小。 -6 - (4) (4)200406818 第一感測器監視容室內的第一氣體密度,而第二感測 器監視容室壓力。感測器可分立或被整合。每一感測器傳 輸其監視資料至控制器。控制器可操縱流量控制器,以調 整氧密度或容室壓力。一般而言,流量控制器採取質量流 量控制器的形式,其調整流入容室的氮流量。藉著打開流 量控制器,較多的氮進入船處理單元,因而將BHU內部 的氧濃度減至最小。但是,因爲氮被加壓,所以此也增加 B HU內部壓力。在一實施例中,質量流量控制器可採取 完全打開與完全關閉之間的各種不同的位置。 類似地,控制器也可打開或關閉一放氣閥。放氣閥控 制氣體從BHU內部的抽空。當放氣閥打開時,一低壓通 風口從BHU內部排泄氣體。當放氣閥關閉時,氣體沒有 任何脫逸的路徑。因此,打開放氣閥降低BHU內部的壓 力,而將放氣閥保持關閉則增加壓力。另外,因爲船處理 單元內部的氧位準維持相當靜態(除了一些氧經由BHU 壁的裂縫或間隙移入),所以經由放氣閥來沖除BHU內 部的氣體混合物可快速地降低BHU內的氧密度。當抽空 氣體由流經質量流量控制器的氮來取代時特別是如此。 本發明也設計用來調節容室的操作參數的方法。一般 而言,此方法包含的步驟爲監視第一氣體密度;監視容室 壓力;根據第一氣體密度計算一比例基値(proportional c ο n t r i b u t i ο η );判定容室壓力是否在常態壓力範圍內; 在容室壓力不在常態壓力範圍內的情況中,根據第一氣體 密度計算一積分基値(integral contribution);及根據比 -7- (5) (5)200406818 例基値及積分基値調整操作參數。操作參數可包含容室壓 力及第一氣體密度。 更明確地說,此方法可藉著一比例/積分/微分(PID )控制器來實施’而PID控制器又經由軟體來實施。另外 的實施例可使用不同類型的控制器(例如模糊邏輯),或 可使用硬體實施方式。PID控制器調節流入容室內的氮流 量,並且可經由其操作來調整容室壓力或氧密度。一般而 g ’控制器的比例項(proportional term)應用於氧密度 ’而控制器的積分項(integral term)可應用於氧密度或 容室壓力。典型上,積分項只有在如果壓力是在可接受位 準內時才應用於氧密度。比例及積分項被分別用來計算比 例及積分基値。比例及積分常數又可被用來調整流入容室 內的氮流量。如果BHU壓力超過一最大値,則氧密度被 忽略以有利於應用積分向來減小壓力。 【實施方式】 1. 一般縱覽 本發明的一實施例1 00大致上採取如圖1所示的氣體流 量控制系統的形式。實施例1 00監視及控制氣體位準以及 流入及流出容室120或另一圍封空間的氣體流量。實施例 1 00可監視相同類型氣體的位準及控制相同類型氣體的流 量,或可監視第一氣體的氣體位準及控制第二氣體的流量 。此實施例監視氧(〇2 )位準及控制氮(N2 )氣體流量位 準。 (6) (6)200406818 此實施例100的操作包括監視及流量控制功能一般而 言是由控制器η 0來執行。控制器1 1 0在操作上連接於一或 多個感測器1 3 0,其監視容室1 20內的壓力及氣體位準。典 型上,感測器1 3 0電連接於控制器1 1 0。另外的實施例可使 用不同的連接,例如壓力或其他機械連接。氣體及壓力資 料從感測器130替續(relayed )至控制器1 10。控制器1 10 也在操作上連接於流量控制器1 65。控制器1 1 〇可將流量控 制器165打開,關閉,或節流,因而改變經由入口通口 140 流入容室120內的氣體的流量。流量控制器165被放置在將 氣體源150連接於容室120的氣體管件或管線160中。 另外,控制器1 10可在操作上連接於放氣或出口閥170 (此處稱爲「放氣閥」)。典型上,控制器與放氣閥被電 連接,使得控制器1 1 0可傳輸電控制訊號至放氣閥1 70。放 氣閥170沿著從容室120的出口 180引至通風口 195或其他低 壓區域的管件190同軸(in-line )放置。當放氣閥17〇打開 時,氣體從容室120脫逸,通過管件190,且最終至通風口 1 95。如同對於流量控制器1 65,控制器1 1 〇可依需要打開 或關閉放氣閥170,以確保容室120內部的正確氣體位準。 在此實施例1 〇〇中,閥只具有兩種狀態。在另外的實施例 中,可使用可調整的閥或節流閥成爲放氣閥。 在此實施例1 〇 0中,控制器1 1 〇採取比例/積分/微分( PID )軟體控制器的形式。PID控制器的操作對於熟習此 項技術者而言爲已知的。此實施例的軟體控制器1 I 0將 PID演算法的比例項經由流量控制器1 65指定給氣體流量 (7) 200406818 。不像標準的PID控制器,軟體控制器1 ι〇包含二積分項 而非只有一個積分項。第一積分項調整容室120的壓力( 亦即「壓力變數」),而第二積分項被指定給容室的被監 視的氣體位準。i軟體控制器11 0既不使變數與微分項相關 聯,也不計算微分項。另外的實施例可將一變數指定給微 分項,例如容室1 2 0內的壓力改變率,容室內的氣體位準 等。另外的實施例也可將比例(P )及/或微分(D )項指 定給壓力變數。 _ 雖然此實施例1 〇〇包含軟體控制器1 1 0,但是其他實施 例可採用各種不同的PID控制。例如,PID控制器可被實 施成爲硬體解決方案,例如可程式規劃邏輯控制器(P L C ),定製控制板等。另外,另外的實施例可採用PID邏輯 以外的其他不同的控制設計,例如純比例(或誤差)控制 或模糊邏輯實施方式。 回到此實施例1〇〇的討論,實施控制器1 10的軟體典型 上是駐留在位在監視站處或控制室內的電腦上。電腦可爲 φ 熟習此項技術者已知的任何類型,包括迷你電腦,微電腦 ,個人或桌上型電腦,NUIX站,SUN站,網路伺服器等 〇 仍然參考圖1,在此實施例1 〇〇中,感測器1 3 0爲組合 式氧位準與壓力感測器。當然,另外的實施例可使用二分 立的感測器,其中之一偵測氣體位準,而另一個偵測容室 120的壓力。一般而言,氧位準是以每百萬的份數(pprn )被偵測及表示,而壓力是以Torr (托)被偵測及表示。 -10- (8) (8)200406818200406818 (1) 发明. Description of the invention [Technical field to which the invention belongs] Refer to this application for a temporary assignment of the United States Patent entitled "Thermal Processing System" filed on July 15, 2002, filed on July 15, 2002 No. 60 / 396,536 and US Patent Provisional Application No. 60 / 428,526 entitled "Thermal Processing System and Method for Using the Same" filed on November 22, 2002 Priority, both of which are incorporated herein by reference in their entirety. The present invention relates to semiconductor manufacturing equipment, and more specifically, to a method and apparatus for controlling a gas environment in a wafer loading chamber. [Prior Art] Furnaces are commonly used in a wide variety of industries, including in the manufacture of integrated circuits or semiconductor devices from semiconductor substrates or wafers. Thermal processing of semiconductor wafers includes, for example, heat treatment, annealing, diffusion or driving of dopant materials, deposition or growth of material layers (including chemical vapor deposition), and etching or removal of materials from substrates. These processes often require wafers to be heated to temperatures between 250 and 1200 degrees Celsius before and during the process. In addition, these processes typically require that the wafer be maintained at a uniform temperature throughout the entire process', even if the temperature of the process gas or its introduction flow rate into the processing chamber inside the furnace varies. When manufacturing semiconductor wafers, the presence of -4- (2) 200406818 of oxygen during a decisive manufacturing step may render the wafer useless. Specifically, when a wafer is thermally processed in a furnace, free oxygen or water vapor on or near the wafer surface may bond with silicon in the wafer to form an oxide, such as silicon dioxide. The oxide is a non-conductive film. In the event that this reaction occurs on the part where the wafer must be conductive, the functionality of the wafer will be completely destroyed. As a result, even trace amounts (in the order of ten parts per million) of oxygen can disrupt semiconductor processes. Oxygen in unwanted manufacturing areas can cause production delays and excessive costs. In addition, oxygen must be restricted or removed in areas other than the furnace. In staging or processing areas such as wafer ship processing units (BHU), the oxygen concentration must be minimized to prevent gas from moving into the furnace with the wafer. When a wafer carrier (or "boat") is preheated before entering the furnace, oxidation may begin even before the wafer is moved into the processing chamber. The movement of a boat or wafer carrier into the furnace is known as a "boat push". The conventional technology system minimizes the oxygen in the φ section transportation area by introducing a large amount of nitrogen into the sealed container. Nitrogen is typically introduced via a manually set mass flow controller that maintains a steady flow of nitrogen into the chamber. While the pressurized nitrogen is pumped into the containment chamber, the ambient gas inside the containment chamber is evacuated through a purge or bypass valve. When nitrogen sufficiently dilutes the oxygen inside the volume (as measured by an oxygen sensor), the vent valve is closed. However, oxygen may sometimes migrate into the chamber through cracks or other flow paths. This may cause the oxygen level to rise above the maximum limit. In this case -5 · (3) (3) 200406818 'The bypass valve is opened again until the oxygen concentration drops. As such, the bypass valve may cycle indefinitely in an attempt to maintain the correct oxygen density. In addition, because the mass flow controller is manually set, it is fixedly introduced into the BHU. This causes two problems. First, nitrogen is quite expensive. Fixed airflow increases manufacturing costs. Second, because nitrogen is pressurized, the chamber pressure may slowly increase when the bleed valve is closed. In addition, although this system can control the oxygen density, there is only a little or no control over the pressure in the chamber. Overpressurizing the BHU may cause panel distortion ' and this accelerates the migration of oxygen. In other words, the high pressure results in a larger oxygen concentration and requires further valve cycling. Generally speaking, conventional technology solutions have always ignored the pressure control in this case because only a single control variable (nitrogen flow) exists in the system. Most traditional systems cannot use a single variable to control the two outputs, namely pressure and oxygen density. Therefore, pressure control is ignored to minimize the chance of oxidizing the crystal circle. Therefore, there is a need for equipment and methods that overcome the above problems. [Summary of the Invention] Generally speaking, an embodiment of the present invention takes the form of a gas flow control system. This flow control system monitors and controls the gas level and gas flow into and out of an enclosed space such as a ship processing unit (BHU) in a semiconductor manufacturing environment. In one embodiment, the control system minimizes the oxygen density in the ship's processing unit, but other embodiments can monitor and minimize the concentration of other gases. -6-(4) (4) 200406818 The first sensor monitors the first gas density in the volume, and the second sensor monitors the pressure in the volume. The sensors can be discrete or integrated. Each sensor transmits its monitoring data to the controller. The controller can manipulate the flow controller to adjust the oxygen density or chamber pressure. Generally speaking, the flow controller takes the form of a mass flow controller, which adjusts the nitrogen flow into the chamber. By turning on the flow controller, more nitrogen enters the ship's processing unit, thereby minimizing the oxygen concentration inside the BHU. However, because nitrogen is pressurized, this also increases the internal pressure of B HU. In one embodiment, the mass flow controller can assume various positions between fully open and fully closed. Similarly, the controller can open or close a bleed valve. The bleed valve controls the evacuation of gas from inside the BHU. When the bleed valve is open, a low-pressure vent vents gas from inside the BHU. When the bleed valve is closed, there is no escape path for the gas. Therefore, opening the bleed valve reduces the pressure inside the BHU, while keeping the bleed valve closed increases the pressure. In addition, because the oxygen level inside the ship's processing unit remains fairly static (except that some oxygen moves in through cracks or gaps in the BHU wall), flushing the gas mixture inside the BHU through the vent valve can quickly reduce the oxygen density in the BHU . This is especially true when the evacuated gas is replaced by nitrogen flowing through the mass flow controller. The invention also contemplates a method for adjusting the operating parameters of the chamber. Generally speaking, this method includes the steps of monitoring the density of the first gas; monitoring the pressure in the chamber; calculating a proportional basis 値 (proportional c ο ntributi ο η) based on the first gas density; determining whether the pressure in the chamber is within the normal pressure range ; In the case that the pressure of the chamber is not within the normal pressure range, calculate an integral contribution based on the first gas density; and adjust based on the ratio of -7- (5) (5) 200406818. Operating parameters. The operating parameters may include the chamber pressure and the first gas density. More specifically, this method can be implemented by a proportional / integral / derivative (PID) controller ', and the PID controller is implemented by software. Other embodiments may use different types of controllers (such as fuzzy logic), or may use hardware implementations. The PID controller regulates the amount of nitrogen flowing into the chamber, and can operate to adjust the chamber pressure or oxygen density. In general, the proportional term of the g 'controller is applied to the oxygen density, and the integral term of the controller is applied to the oxygen density or the chamber pressure. Typically, the integral term is applied to the oxygen density only if the pressure is within acceptable levels. The proportional and integral terms are used to calculate the ratio and integral basis, respectively. The proportional and integral constants can then be used to adjust the nitrogen flow into the volume. If the BHU pressure exceeds a maximum pressure, the oxygen density is ignored to facilitate the application of the integral direction to reduce the pressure. [Embodiment] 1. General overview An embodiment 100 of the present invention generally takes the form of a gas flow control system as shown in FIG. Embodiment 100 monitors and controls the gas level and the gas flow into and out of the container 120 or another enclosed space. Embodiment 100 can monitor the level of the same type of gas and control the flow of the same type of gas, or can monitor the level of the first gas and control the flow of the second gas. This embodiment monitors the oxygen (0 2) level and controls the nitrogen (N 2) gas flow level. (6) (6) 200406818 The operations of this embodiment 100, including monitoring and flow control functions, are generally performed by the controller η 0. The controller 110 is operatively connected to one or more sensors 130, which monitor the pressure and gas level in the chamber 120. Typically, the sensor 130 is electrically connected to the controller 110. Other embodiments may use different connections, such as pressure or other mechanical connections. The gas and pressure data are relayed from the sensor 130 to the controller 110. The controller 1 10 is also operatively connected to the flow controller 1 65. The controller 110 can open, close, or throttle the flow controller 165, thereby changing the flow rate of the gas flowing into the chamber 120 through the inlet port 140. The flow controller 165 is placed in a gas pipe or line 160 connecting the gas source 150 to the chamber 120. In addition, the controller 110 may be operatively connected to a bleed or outlet valve 170 (herein referred to as a "bleed valve"). Typically, the controller is electrically connected to the bleed valve, so that the controller 110 can transmit electrical control signals to the bleed valve 170. The bleed valve 170 is placed in-line along the pipe 190 leading from the outlet 180 of the container 120 to the vent 195 or other low pressure area. When the bleed valve 170 is opened, the gas escapes from the chamber 120, passes through the pipe 190, and finally reaches the vent 195. As with the flow controller 1 65, the controller 1 10 can open or close the purge valve 170 as needed to ensure the correct gas level inside the chamber 120. In this embodiment, the valve has only two states. In other embodiments, an adjustable valve or a throttle may be used as the purge valve. In this embodiment 1000, the controller 1 10 takes the form of a proportional / integral / derivative (PID) software controller. The operation of a PID controller is known to those skilled in the art. The software controller 1 I 0 of this embodiment assigns the proportional term of the PID algorithm to the gas flow rate (7) 200406818 via the flow controller 1 65. Unlike the standard PID controller, the software controller 1 ι includes two integral terms instead of only one integral term. The first integral term adjusts the pressure (ie, "pressure variable") of the chamber 120, and the second integral term is assigned to the monitored gas level of the chamber. The i software controller 110 neither associates the variable with the differential term, nor calculates the differential term. In another embodiment, a variable may be assigned to a differential term, such as a pressure change rate in the chamber 120, a gas level in the chamber, and the like. In other embodiments, the proportional (P) and / or differential (D) terms may be assigned to the pressure variable. Although this embodiment 100 includes a software controller 110, other embodiments may use various PID controls. For example, PID controllers can be implemented as hardware solutions, such as programmable logic controllers (PLC), custom control boards, and so on. In addition, other embodiments may use different control designs other than PID logic, such as pure proportional (or error) control or fuzzy logic implementations. Returning to the discussion of this embodiment 100, the software implementing the controller 110 is typically residing on a computer located at a monitoring station or in a control room. The computer may be of any type known to those skilled in the art, including a mini computer, a microcomputer, a personal or desktop computer, a NUIX station, a SUN station, a web server, etc. Still referring to FIG. 1, in this embodiment 1 In 〇〇, the sensor 130 is a combined oxygen level and pressure sensor. Of course, other embodiments may use two discrete sensors, one of which detects the gas level, and the other of which detects the pressure of the chamber 120. Generally speaking, the oxygen level is detected and expressed in parts per million (pprn), and the pressure is detected and expressed in Torr. -10- (8) (8) 200406818

Torr爲等於大氣壓力的1 /7 60的壓力單位,或近似由一毫 米汞柱所施加的壓力。如前所述,感測器1 30電連接於控 制器1 1 〇。 此實施例100的流量控制器165典型上爲質量流量控制 器,但是其他實施例可採用不同類型的流量控制器,例如 壓力流量控制器,體積流量控制器,或流動速率控制器。 質量流量控制器165從P ID控制器1 10接收命令且據以調整 流入容室120內的氣體的質量流量。在此實施例100中,流 量控制器1 65可完全打開,完全關閉,或在二狀態之間節 流。不像以上討論的放氣閥1 70,流量控制器1 65可以可變 地調整氣體流量。另外的實施例可使用一或多個二狀態( 亦即打開及關閉)質量流量控制器。 如此,實施例1〇〇—般而言監視二變數,亦即容室120 內的氧位準及壓力。類似地,實施例100可只經由單一輸 入(亦即通過質量流量控制器165至容室120內的氣體流量 )來改變二變數。應注意本案所用的術語「容室」係槪括 地指稱大致密封的區域或圍封物而非特定地指稱房室。 藉著改變通過質量流量控制器1 65的氣體流量,實施 例100可改變由感測器130測得的容室120的壓力及氣體密 度。在此實施例1⑼中,氮經由質量流量控制器165被進給 。一般而言,被引入容室120的氮越多,則氧密度越低。 當實施例1〇〇在一開始開始操作時,容室120含有相當高的 氧位準。此由氧感測器130測得,而感測器130又將資料替 續至控制器Π 〇。回應於此,PID控制器1 1 〇打開質量流量 200406818 Ο) 控制器1 6 5,將氮引入系統內。進給氮至容室1 2 0內強制地 加壓容室,因而經由放氣閥170抽空已經在容室中的氣體 。因爲氮在氣體混合物被抽空的同時被連續地進給至容室 1 2 0內,所以容室內部的氮濃度隨著時間增加,而氧濃度 減小。氮的流量是藉著將P ID軟體控制器1 1 〇的計算的比 例項施加於氮流量而被保持。一旦感測器1 3 0偵測到氧濃 度已經達到一標稱位準,控制器1 1 0就關閉放氣閥1 70。 一旦容室120的內部已經達到正確的氧位準,PID軟 體控制器110的積分項就將通過質量流量控制器165的氮流 量保持成爲穩定容室內部的氣體混合物所需者。此取決於 所用的質量流量控制器1 65的類型可用兩種方式之一達成 。首先,軟體控制器1 10可「顫振(flutter )」質量流量 控制器1 6 5,使其在完全打開位置與完全關閉位置之間擺 動。一般而言,此只在不可變的MFC (質量流量控制器 )之下使用。或者,PID控制器1 10可將質量流量控制器 1 6 5依需要節流,以確保固定的流量。 φ 此時,在理想上,容室120的壓力應在大氣壓力以上 大約2至4Torr。藉著稍微強制加壓容室120,可消除氧及 其他氣體從大氣移入容室的內部。但是,如果容室120被 太大地過度加壓,則容室壁可能會開始畸變及彎曲。當容 室壁畸變時,應力加在壁之間及/或在容室的流入及流出 區域周圍的密封件上。此種應力造成密封件較快地退化, 且最終可能導致出入容室1 20的不想要有的空氣流動路徑 的形成。 -12- (10) (10)200406818 爲避免過度加壓,感測器130持續地監視容室120的壓 力。如果感測器1 3 0偵測到容室1 2 0被過度加壓,則其將此 資料替續通過P1D控制器1 10。控制器1 10又可首先藉著減 少通過質量流量控制器1 65的氣體流量且其次藉著打開放 氣閥170來降低容室120的壓力。雖然容室的氧含量在減壓 期間被監視,但是其被忽略直到容室120的壓力回至可接 受的狀態。亦即,即使是減壓過程導致氧濃度超過由PID 控制器1 1 〇所決定的可接受値的極大値,通過質量流量控 制器1 65的氮流量也不被增加,直到達成正確壓力。實施 例1 00有效地將過度加壓狀態視爲優先於過氧狀態。另外 的實施例可顛倒此分類。 2.操作環境 圖2顯示用於本發明的實施例2 0 0的例示性操作環境。 明確地說,此操作環境爲半導體製造環境的船處理單元( BHU )容室220。船處理單元220在晶圓在半導體爐2 05中 被處理之前接受及儲存半導體晶圓。術語「晶圓」在此處 被廣泛地用來指示含有多個積體電路,一或多個平板顯示 器,及類似者的任何基板。一般而言,晶圓從前方開口統 一莢件(front-opening unified pod,FOUP) 201 或晶圓卡 匣被載入BHU220內。晶圓由自動臂202從FOUP201取出 且被插入晶圓載具203內。 載具203藉著位在載具下方的升降機2 04而升高通過 BHU220且至爐205內。然後,藉著此船推(boat push )而 被放置在爐2 0 5中的晶圓根據熟習此項技術者已知的方法 (11) (11)200406818 被處理。例如,汽相沈積被用來在不造成與下方晶圓層化 學反應之下在晶圓表面上形成膜。 在將載具203載入爐205之前,載具203及相關聯的晶 圓可被預熱來加速處理過程。萬一氧在爐2 05中的沈積及/ 或預熱期間存留在晶圓表面上,則介電膜可能形成在晶圓 上。此破壞晶圓的一些或所有的功能性。氧可能在晶圓儲 存在BHU容室220內之下附著於晶圓。因此,在處理之前 ,應將BHU220的氧含量減至最小。 實施例200以與先前所述者相同的方式操作,以降低 BHU220內的氧(或周圍大氣)濃度。感測器230監視BHU 容室22 0的壓力以及氧濃度二者。此資料替續至軟體PID 控制器210,而控制器210又調整通過質量流量控制器265 至BHU22 0內的氮流量。PID控制器210也可打開或關閉放 氣閥270,以從容室抽空氧或避免過度加壓。 另外,PID控制器2 10連接於升降機204的控制系統( 未顯示)。升降機204不會將晶圓載具203推入爐205內, 直到BHU容室220內部的氧位準落至最大臨限値以下。此 臨限値可藉著改變PID軟體控制器210內的設定點而被調 整。 3 .操作參數 在相關於圖2所討論的實施例2 0 0中,控制器2 1 0的操 作是由一或多個可由使用者設定的値來控制。在圖2的實 施例中,可由使用者設定的値包括(1 ) BHU220內部的想 (12) (12)200406818 要的氧位準’ (2 ) BHU內部的警示氧位準,(3 ) BHU 容室220內部的最大可容許氧位準,(4 ) BHU內部的最 小壓力,(5 ) BHU內部的最大可容許壓力,及(6) BHU 內部的安全壓力。以下會敘述這些變數的每一個。典型上 ,這些變數成爲軟體被執行,但是另外的實施例可對每一 變數採用硬體實施方式。 「想要的氧位準」變數指示控制器2 1 0在理想上藉著 調整MFC265及放氣閥270的位置而保持的BHU容室220內 的氧位準。在此實施例200中,此變數被設定爲每百萬十 份(1 0 p p m )。 「警示氧位準」變數被設定爲在對於BHU容室220而 言仍然可接受之下比想要的氧位準高的氧濃度。在此實施 例200中,此變數被設定爲二十ppm。 「最大氧位準」變數指示在船推期間於BHU220內的 最大可容許氧濃度。在此實施例200中,此値在標稱上被 設定爲每百萬三十份(30ppm)。 此實施例2 00中的下一個使用者可設定的變數爲「最 小容室壓力」變數。此變數的値指示在BHU容室220內部 由控制器2 1 0保持的標準或常態壓力範圍的底線。關於此 實施例,最小壓力變數在標稱上被設定爲大氣壓力以上 2 Torr 〇 另一使用者可設定的變數爲「最大容室壓力」變數, 其値代表BHU容室220內部的可接受壓力的標準或常態範 圍的上限。在此實施例2 〇 〇中,此變數被設定爲大氣壓力 (13) (13)200406818 以上4Torr。 此實施例2 0 0中最後一個使用者可選擇的變數爲「安 全容室壓力」變數。一般而言,此變數指示BHU容室220 中的壓力的安全臨限値。控制器2 1 0操作來將壓力保持在 安全容室壓力變數値以下。在此實施例中,此値被設定爲 大氣壓力以上9Torr。 一般而言,此實施例200經由控制器210採用這些變數 來控制 BHU220內部的氧位準及壓力位準二者。氧及壓力 位準一般而言是由感測器23 0採樣。以下爲舉例說明的目 的給予此實施例操作的例子。 在例子的一開始,當氧濃度超過最大氧位準變數的値 時,控制器2 1 0打開放氣閥2 7 0,以容許較大的氮流量通過 系統。此又有助於快速地降低BHU220中的氧濃度。在某 些實施例中,放氣閥可在氧濃度等於最大氧位準時被打開 〇 類似地,如果氧位準落至警示氧位準以下,則放氣閥 2 7 0被控制器210關閉,因爲氧位準趨近想要的位準,並且 容許氧移回BHU220內的任何路徑應被減至最少。如此, 如果BHU220中的氧密度超過最大氧位準,則放氣閥270 打開,而如果氧濃度小於警示氧位準,則放氣閥270關閉 〇 繼續此例子,如果BHU220的氧密度小於或等於想要 的氧位準(亦即想要的氧位準變數的値),且B HU壓力 在最小容室壓力變數的値與最大容室壓力變數的値之間, -16- (14) (14)200406818 則Βίίϋ220的操作情況一般而言是在典型或常態的範圍中 。因此,控制器2 1 0關閉放氣閥2 7 0 (如果放氣閥是打開的 ),且保持足以將容室壓力保持於常態範圍以及將氧密度 保持於想要的氧位準變數的値或其以下的氣體說量通過 MFC265。典型上,MFC2 65維持部份打開,以復原經由裂 縫或其他通過路徑從BHU220內部喪失至外部的氮。 此例子的另一部份爲如果BHU220的壓力超過最大容 室壓力變數,則通過MFC265的流量的計算(如由控制器 2 10所實施者)會強調減小氮流量,以將BHU壓力向下帶 回至常態範圍,即使是氧位準比所想要者高。換句話說, 控制器2 1 0強調保持想要的壓力優先於想要的氧位準。 類似地,如果容室2 2 0的壓力落至最小B HU壓力變數 値以下,則控制器210會增加通過MFC265的氣體流量, 以將容室壓力向上帶回至常態範圍,即使是此有害地影響 容室中的氧濃度。 此例子的最後一部份爲如果 BHU220的壓力超過安全 容室壓力變數的値,則控制器210關閉MFC265,且打開 放氣閥270。因此,在沒有氣體流入及放氣閥打開之下, 過大的壓力應快速地泄出。在此實施例2 0 0中,此種情況 在常態操作期間就算會也極少發生。然而,控制器2丨〇仍 然提供此種功能,以確保系統的安全。 應瞭解以上所述的特定變數以及所給的特定數値均只 是例示性質。另外的實施例可採用不同的變數,或對此種 變數使用不同的値。另外,另外的實施例可根據環境或其 -17- (15) (15)200406818 他變化的情況來改變一或多個變數的値。因此,以上的資 訊是以舉例的方式提供而非成爲限制。 4.實施例的操作 圖3爲顯示由圖1的實施例1 0 0的比例/積分/微分控制 器1 1 0使用的例示性常數組的表3 0 0。這些常數也可由圖2 的實施例200使用。應瞭解這些常數可在另外的實施例中 改變,並且可依需要爲零或非零的値。‘一般而言,任何給 定變數的零的値抑制控制器2 1 0的該部份(亦即比例,積 分,或微分)的操作。 一般而言,表300被分成三行及六欄。最上面的一行 代表當容室120的壓力在最小容室壓力變數以下時的常數 的値。中間的一行代表當容室壓力是在最小與最大容室壓 力變數之間時的常數値。最底部的最後一行代表當容室 120的壓力超過最大容室壓力變數時的常數的値。Torr is a pressure unit equal to 1/7 60 of atmospheric pressure, or approximately a pressure applied by one millimeter of mercury. As described above, the sensor 1 30 is electrically connected to the controller 1 1 0. The flow controller 165 of this embodiment 100 is typically a mass flow controller, but other embodiments may use different types of flow controllers, such as a pressure flow controller, a volume flow controller, or a flow rate controller. The mass flow controller 165 receives commands from the PID controller 110 and adjusts the mass flow of the gas flowing into the chamber 120 accordingly. In this embodiment 100, the flow controller 165 may be fully opened, fully closed, or throttled between the two states. Unlike the bleed valve 1 70 discussed above, the flow controller 1 65 can variably adjust the gas flow. Other embodiments may use one or more two-state (ie, on and off) mass flow controllers. In this way, the embodiment 100 monitors two variables, that is, the oxygen level and pressure in the chamber 120. Similarly, the embodiment 100 can change the two variables only through a single input (that is, the gas flow rate through the mass flow controller 165 to the chamber 120). It should be noted that the term "container" as used in this case refers inclusively to a generally sealed area or enclosure rather than a specific reference to a room. By changing the gas flow rate through the mass flow controller 165, the embodiment 100 can change the pressure and gas density of the chamber 120 measured by the sensor 130. In this embodiment 1), nitrogen is fed through the mass flow controller 165. Generally, the more nitrogen is introduced into the chamber 120, the lower the oxygen density. When the operation of Example 100 was started at the beginning, the chamber 120 contained a relatively high oxygen level. This is measured by the oxygen sensor 130, which in turn replaces the data with the controller Π0. In response to this, the PID controller 1 10 opens the mass flow 200406818 〇) the controller 16 5 and introduces nitrogen into the system. Feeding nitrogen into the container chamber 120 forcibly pressurizes the container chamber, so that the gas already in the container chamber is evacuated via the bleed valve 170. Since nitrogen is continuously fed into the chamber 120 while the gas mixture is evacuated, the nitrogen concentration inside the chamber increases with time and the oxygen concentration decreases. The nitrogen flow rate is maintained by applying the calculated proportional term of the PID software controller 1 1 0 to the nitrogen flow rate. Once the sensor 130 detects that the oxygen concentration has reached a nominal level, the controller 110 closes the air release valve 170. Once the interior of the chamber 120 has reached the correct oxygen level, the integral term of the PID software controller 110 will maintain the nitrogen flow through the mass flow controller 165 as needed to stabilize the gas mixture inside the chamber. This depends on the type of mass flow controller 165 used. This can be achieved in one of two ways. First, the software controller 1 10 can "flutter" the mass flow controller 1 6 5 to swing it between the fully open position and the fully closed position. Generally, this is only used under the immutable MFC (mass flow controller). Alternatively, the PID controller 1 10 can throttle the mass flow controller 1 6 5 as needed to ensure a fixed flow. φ At this time, ideally, the pressure of the chamber 120 should be about 2 to 4 Torr above the atmospheric pressure. By slightly forcibly pressurizing the chamber 120, oxygen and other gases can be removed from the atmosphere and moved into the interior of the chamber. However, if the container 120 is excessively pressurized too much, the walls of the container may begin to distort and bend. When the walls of the chamber are distorted, stress is placed on the seals between the walls and / or around the inflow and outflow areas of the chamber. This stress causes the seal to degrade faster, and may eventually lead to the formation of unwanted air flow paths into and out of the container 120. -12- (10) (10) 200406818 To avoid excessive pressure, the sensor 130 continuously monitors the pressure of the chamber 120. If the sensor 130 detects that the chamber 120 is over-pressurized, it will pass this data to the P1D controller 110 instead. The controller 110 may in turn reduce the pressure of the chamber 120 by reducing the gas flow through the mass flow controller 1 65 and secondly by opening the bleed valve 170. Although the oxygen content of the chamber is monitored during decompression, it is ignored until the pressure of the chamber 120 returns to an acceptable state. That is, even if the decompression process causes the oxygen concentration to exceed the acceptable threshold determined by the PID controller 110, the nitrogen flow through the mass flow controller 1 65 is not increased until the correct pressure is reached. Example 100 effectively treats an over-pressurized state as a priority over a peroxygen state. Other embodiments can reverse this classification. 2. Operating Environment FIG. 2 shows an exemplary operating environment for Embodiment 200 of the present invention. Specifically, the operating environment is a ship processing unit (BHU) container 220 in a semiconductor manufacturing environment. The ship processing unit 220 receives and stores semiconductor wafers before the wafers are processed in the semiconductor furnace 2005. The term "wafer" is used broadly herein to refer to any substrate containing multiple integrated circuits, one or more flat panel displays, and the like. Generally speaking, the front-opening unified pod (FOUP) 201 or wafer cassette is loaded into the BHU220. The wafer is removed from the FOUP 201 by the robotic arm 202 and inserted into the wafer carrier 203. The carrier 203 is lifted through the BHU 220 and into the furnace 205 by an elevator 204 positioned below the carrier. Then, the wafer placed in the furnace 205 by this boat push is processed according to a method known to those skilled in the art (11) (11) 200406818. For example, vapor deposition is used to form a film on the wafer surface without causing a chemical reaction with the underlying wafer layer. Prior to loading the carrier 203 into the furnace 205, the carrier 203 and associated wafers can be preheated to speed up the process. In the event that oxygen remains on the wafer surface during deposition and / or preheating in the furnace 2005, a dielectric film may be formed on the wafer. This destroys some or all of the functionality of the wafer. Oxygen may be attached to the wafer under the wafer storage in the BHU container 220. Therefore, before processing, the oxygen content of BHU220 should be minimized. Embodiment 200 operates in the same manner as previously described to reduce the oxygen (or surrounding atmosphere) concentration in BHU220. The sensor 230 monitors both the pressure of the BHU container 220 and the oxygen concentration. This data is continued to the software PID controller 210, which in turn adjusts the nitrogen flow through the mass flow controller 265 to BHU220. The PID controller 210 may also open or close the bleed valve 270 to evacuate oxygen from the containment chamber or avoid over-pressurization. In addition, the PID controller 2 10 is connected to a control system (not shown) of the elevator 204. The lifter 204 does not push the wafer carrier 203 into the furnace 205 until the oxygen level inside the BHU chamber 220 falls below the maximum threshold. This threshold can be adjusted by changing the set point in the PID software controller 210. 3. Operating parameters In the embodiment 200 discussed in relation to FIG. 2, the operation of the controller 210 is controlled by one or more chirps that can be set by the user. In the embodiment of FIG. 2, the user-settable includes (1) the desired oxygen level in the BHU220 (12) (12) 200406818 '(2) the warning oxygen level in the BHU, (3) BHU The maximum allowable oxygen level inside the chamber 220, (4) the minimum pressure inside the BHU, (5) the maximum allowable pressure inside the BHU, and (6) the safe pressure inside the BHU. Each of these variables is described below. Typically, these variables are implemented as software, but other embodiments may use a hardware implementation for each variable. The "desired oxygen level" variable indicates the oxygen level in the BHU container 220 that the controller 2 10 ideally maintains by adjusting the positions of the MFC265 and the air release valve 270. In this embodiment 200, this variable is set to ten parts per million (10 p p m). The "warning oxygen level" variable is set to an oxygen concentration higher than the desired oxygen level while still acceptable for the BHU container 220. In this embodiment 200, this variable is set to twenty ppm. The "maximum oxygen level" variable indicates the maximum allowable oxygen concentration in the BHU220 during the push of the boat. In this embodiment 200, this volume is nominally set at 30 parts per million (30 ppm). The next user-settable variable in this example 200 is the "minimum chamber pressure" variable. The 値 of this variable indicates the bottom line of the standard or normal pressure range maintained by the controller 2 10 inside the BHU chamber 220. Regarding this embodiment, the minimum pressure variable is nominally set to 2 Torr above atmospheric pressure. Another user-settable variable is the "maximum chamber pressure" variable, where 値 represents the acceptable pressure inside the BHU chamber 220. The upper limit of the standard or normal range. In this embodiment 2000, this variable is set to be 4Torr or more than atmospheric pressure (13) (13) 200406818. The last user-selectable variable in this embodiment is the "safety chamber pressure" variable. In general, this variable indicates the safety threshold of the pressure in the BHU container 220. The controller 210 operates to maintain the pressure below the safety chamber pressure variable 变. In this embodiment, this chirp is set to 9 Torr above atmospheric pressure. Generally speaking, in this embodiment 200, these variables are used by the controller 210 to control both the oxygen level and the pressure level inside the BHU 220. The oxygen and pressure levels are typically sampled by the sensor 230. The following is an example given to this embodiment for the purpose of illustration. At the beginning of the example, when the oxygen concentration exceeds 最大 of the maximum oxygen level quasi-variable, the controller 210 opens the bleed valve 27 to allow a larger nitrogen flow through the system. This in turn helps to quickly reduce the oxygen concentration in the BHU220. In some embodiments, the air release valve may be opened when the oxygen concentration is equal to the maximum oxygen level. Similarly, if the oxygen level falls below the warning oxygen level, the air release valve 270 is closed by the controller 210, Because the oxygen level approaches the desired level, and any path that allows oxygen to move back into the BHU 220 should be minimized. In this way, if the oxygen density in BHU220 exceeds the maximum oxygen level, the bleed valve 270 is opened, and if the oxygen concentration is less than the warning oxygen level, the bleed valve 270 is closed. Continue this example, if the oxygen density of BHU220 is less than or equal The desired oxygen level (ie, the desired oxygen level variable 値), and the B HU pressure is between the minimum chamber pressure variable 値 and the maximum chamber pressure variable 値, -16- (14) ( 14) 200406818 The operation of Βίίϋ220 is generally in the typical or normal range. Therefore, the controller 2 10 closes the bleed valve 2 7 0 (if the bleed valve is open) and maintains a pressure sufficient to maintain the chamber pressure in a normal range and to maintain the oxygen density at the desired oxygen level quasi-variable 値The amount of gas below or above is passed through MFC265. Typically, the MFC2 65 remains partially open to restore nitrogen that has been lost from the inside of the BHU 220 to the outside via a crack or other pathway. Another part of this example is that if the pressure of the BHU220 exceeds the maximum chamber pressure variable, the calculation of the flow through the MFC265 (as implemented by the controller 2 10) will emphasize reducing the nitrogen flow to reduce the BHU pressure downwards. Bring back to the normal range, even if the oxygen level is higher than desired. In other words, the controller 210 emphasizes maintaining the desired pressure over the desired oxygen level. Similarly, if the pressure in the chamber 2 2 0 falls below the minimum B HU pressure variable 値, the controller 210 increases the gas flow through the MFC265 to bring the chamber pressure back up to the normal range, even if this Affects the oxygen concentration in the chamber. The last part of this example is that if the pressure of the BHU220 exceeds the pressure of the safety chamber pressure variable, the controller 210 closes the MFC265 and opens the air release valve 270. Therefore, when there is no gas inflow and the bleed valve is opened, excessive pressure should be quickly released. In this embodiment 200, such a situation rarely occurs even during normal operation. However, the controller 2 still provides this function to ensure the safety of the system. It should be understood that the specific variables described above and the specific numbers given are merely illustrative. Other embodiments may use different variables, or use different chirps for such variables. In addition, other embodiments may change the 値 of one or more variables according to the circumstances or other circumstances of the change of -17- (15) (15) 200406818. Therefore, the above information is provided by way of example rather than limitation. 4. Operation of the embodiment Fig. 3 is a table 300 showing an exemplary constant set used by the proportional / integral / derivative controller 110 of the embodiment 100 of Fig. 1. These constants can also be used by the embodiment 200 of FIG. 2. It should be understood that these constants may be changed in other embodiments, and may be zero or non-zero chirp as desired. ‘In general, the zero 任何 of any given variable inhibits the operation of that part of the controller 2 1 0 (i.e. proportional, integral, or derivative). Generally, the table 300 is divided into three rows and six columns. The top row represents the constant 値 when the pressure of the chamber 120 is below the minimum chamber pressure variable. The middle row represents the constant 値 when the chamber pressure is between the minimum and maximum chamber pressure variables. The bottommost row represents the constant 値 when the pressure of the chamber 120 exceeds the maximum chamber pressure variable.

一般而言,欄代表不同的常數。例如,「02-P」欄代 表以下相關於圖4的步驟435討論的比例氧帶( proportional oxygen band) 。「02-1」欄代表以下相關於 圖4的步驟45 0討論的氧積分常數。「02-D」欄代表氧微 分常數,其可在某些實施例中由控制器1 1 0使用來調整通 過MFC 165的氣體流量。「壓力-P」欄代表比例壓力常數 。如同氧微分常數,此常數可由本發明的實施例使用來控 制通過MFC 165的氣體流量,以及相應地控制容室120內 的氧密度及整體壓力。「壓力-1」欄代表壓力積分常數, 其可由控制器1 1 〇用於類似的控制計算。最後,「壓力-D (16) (16)200406818 」欄代表微分壓力常數,其也可由控制器1 1 0使用來計算 通過MFC的氣體流量。 圖3的表3 00所示的値對於實施例1〇〇而言爲例示性。 在「02-D」,「壓力-P」,及「壓力-D」欄的所有的三 行中的零値指示這些特定常數未由實施例採用。但是,另 外的實施例可能會利用此種常數。 圖4顯示圖1所示的實施例1〇〇的一般操作細節的流程 圖。此流程圖也可應用於圖2所示的實施例。圖4所示的步 驟典型上是由軟體或硬體系統邏輯來執行。此種系統邏輯 通常(雖然並非永遠)被實施成爲比例/積分/微分控制器 1 1 0的一部份。 操作過程於步驟400開始,其中PID控制器1 10打開放 氣閥170,並且氮開始流動通過MFC 165。 一旦步驟400完成,實施例100執行包含步驟4 05至465 的迴路。此典型上是在設定的時間週期或已經經過某一時 間週期之後的週期性基礎上被執行,例如在一實施例中’ 迴路大約每四秒被執行一次。另外的實施例包含回應一觸 發器來執行迴路,例如回應環境變數的改變。例示性的觸 發器可包含被超過的變數或使用者啓始的命令。在另一另 外的實施例中,此迴路可只被執行一次,或其可被執行設 定的次數。 首先,在步驟405中,實施例100判定容室120的壓力 長否超過上述的安全容室壓力變數的値。如果超過’則實 施例執行步驟4 1 〇。在步驟4 1 0中,控制器Π 〇關閉 -19- (17) (17)200406818 MFC1 65且打開放氣閥170。在完成步驟410之後,實施例 100執行步驟46 5,其中實施例等候迴路的下一次重複。 如果實施例100判定容室120的壓力在安全容室壓力變 數的設定値以下,則步驟4 1 5被執行。在步驟4 1 5中’判定 氧濃度是否超過最大氧變數的値,如果超過,則步驟420 被執行,使得放氣閥1 70被打開(如果其尙未被打開)。 在步驟420之後,實施例執行步驟43 5,其細節如下。 如果氧密度在步驟4 1 5中未超過最大氧位準變數,則 步驟4 2 5被執行。在此步驟中,實施例1 〇 〇判定容室1 2 0中 的氧濃度是否小於警示氧位準變數値。如果氧濃度在此値 以上,則接達步驟4 3 5。否則,步驟4 3 0被執行。在步驟 43 0中,控制器1 10關閉如果是在打開狀態的放氣閥170。 在步驟43 5中,實施例1〇〇決定對於通過MFC165的氣 體流量的「比例基値(proportional contribution)」。此 比例基値是根據目前容室1 2 0的氧濃度。比例基値一般而 言等於目前的氧位準與想要的氧位準之間的差異除以「氧 比例帶(〇xygen Proportional band)」的尺寸。氧比例帶 爲使用者可改變的參數,其定義控制器1 1 0的比例項以比 例方式回應的範圍。 以數學方式表示,比例基値可用以下的方式決定:In general, the columns represent different constants. For example, the "02-P" column represents the proportional oxygen band discussed below in relation to step 435 of FIG. 4. The "02-1" column represents the oxygen integral constants discussed below in relation to step 450 of FIG. The "02-D" column represents the oxygen differential constant, which may be used by the controller 110 in some embodiments to adjust the gas flow through the MFC 165. The "Pressure-P" column represents the proportional pressure constant. Like the oxygen differential constant, this constant can be used by embodiments of the present invention to control the gas flow through the MFC 165, and to control the oxygen density and overall pressure within the chamber 120 accordingly. The "Pressure-1" column represents the pressure integral constant, which can be used by the controller 1 10 for similar control calculations. Finally, the "Pressure-D (16) (16) 200406818" column represents the differential pressure constant, which can also be used by the controller 110 to calculate the gas flow through the MFC.値 shown in Table 3 00 in FIG. 3 is illustrative for Example 100. A zero 中 in all three rows of the "02-D", "Pressure-P", and "Pressure-D" columns indicates that these specific constants are not used by the embodiment. However, other embodiments may use such constants. Fig. 4 is a flowchart showing the general operation details of the embodiment 100 shown in Fig. 1. This flowchart can also be applied to the embodiment shown in FIG. 2. The steps shown in Figure 4 are typically performed by software or hardware system logic. This system logic is usually (though not always) implemented as part of the proportional / integral / derivative controller 1 1 0. Operation begins at step 400, where the PID controller 110 opens the purge valve 170 and nitrogen begins to flow through the MFC 165. Once step 400 is completed, embodiment 100 executes a loop containing steps 405 to 465. This is typically performed on a set time period or on a periodic basis after a certain time period has elapsed, for example, in one embodiment, the 'loop is executed approximately every four seconds. Another embodiment includes responding to a trigger to execute a loop, such as responding to changes in environmental variables. Exemplary triggers may include variables that are exceeded or commands initiated by the user. In another alternative embodiment, this loop may be executed only once, or it may be executed a set number of times. First, in step 405, the embodiment 100 determines whether the pressure of the chamber 120 exceeds the pressure of the safety chamber pressure variable 値. If it exceeds', the embodiment executes step 41. In step 4 10, the controller Π0 closes -19- (17) (17) 200406818 MFC1 65 and opens the air release valve 170. After completing step 410, the embodiment 100 performs step 465, where the embodiment waits for the next iteration of the loop. If the embodiment 100 determines that the pressure of the chamber 120 is equal to or lower than the setting of the pressure variable of the safety chamber, steps 4 to 15 are performed. In step 4 1 ', it is determined whether the oxygen concentration exceeds the maximum oxygen variable 値, and if it is exceeded, step 420 is performed so that the purge valve 1 70 is opened (if its 尙 is not opened). After step 420, the embodiment performs step 435, the details of which are as follows. If the oxygen density does not exceed the maximum oxygen level variability in step 4 1 5, step 4 2 5 is performed. In this step, Example 100 determines whether the oxygen concentration in the chamber 12 is smaller than the warning oxygen level 警. If the oxygen concentration is higher than this value, proceed to step 4 3 5. Otherwise, step 430 is performed. In step 43 0, the controller 1 10 closes the purge valve 170 if it is in an open state. In step 435, Example 100 determines the "proportional contribution" to the gas flow through MFC165. This proportional basis is based on the current oxygen concentration in the chamber. The proportional basis is generally equal to the difference between the current oxygen level and the desired oxygen level divided by the size of the "oxygen proportional band". The oxygen proportional band is a user-changeable parameter that defines the range in which the proportional term of the controller 110 responds proportionally. Expressed mathematically, the proportional basis 値 can be determined in the following ways:

Pc = ( 〇2C - 〇2D ) /02P ;其中 P c =比例基値; 〇2C= 目前的容室120的氧濃度; 02D =想要的容室120的氧濃度(亦即上面所討論的 (18) (18)200406818 「想要的氧位準」變數);且 〇2P =氧比例帶(在此實施例100中,顯示在以上的 「02-P」欄)。 舉例而言,本發明的一實施例中的比例帶可能爲 lOOOppm (相對於圖3所示的5 000 ),而想要的氧位準變 數可能爲1 Oppm。因此,1 1 Oppm的目前容室的氧濃度會 導致MFC最大流量的10%的比例基値: (1 1 0- 1 0 ) / 1 000 = MFC 流動容量的 10% 在步驟43 5完成之後,實施例100在步驟445中判定目 前容室120的壓力是否在最小容室壓力變數與最大容室壓 力變數之間。如果壓力是在此常態範圍內,則步驟45 0被 執行。 在步驟450中,實施例100計算「積分基値(integral contribution)」。積分基値由控制器110使用來至少部份 地控制通過MFC165的氣體流量。一般而言,積分基値代 表流入容室120的氣體流量,在此處被表示成爲通過 MFC 165的最大氣體流量的百分比。積分及比例基値一般 而言被用來保持容室1 2 0內的操作參數。此處的「操作參 數」一般而言指的是容室1 20的氧密度及容室壓力。比例 及積分基値一起幫助來決定通過MFC 165的氣體流量,如 在步驟460中會更詳細說明的。 繼續步驟4 5 0的討論,實施例藉著求得目前容室1 2 0的 (19) (19)200406818 氧濃度與容室中想要的氧濃度之間的差且然後將其乘以氧 積分常數而計算積分基値。此公式可以用數學方式表示如 下:Pc = (〇2C-〇2D) / 02P; where P c = proportional basis 値; 〇2C = the current oxygen concentration of the chamber 120; 02D = the desired oxygen concentration of the chamber 120 (that is, as discussed above) (18) (18) 200406818 ("desired oxygen level" variable); and 〇2P = oxygen proportional band (in this example 100, shown in the "02-P" column above). For example, the proportional band in an embodiment of the present invention may be 1,000 ppm (relative to 5,000 shown in FIG. 3), and the desired oxygen level variable may be 10 ppm. Therefore, the oxygen concentration in the current chamber at 1 Oppm will result in a proportional basis of 10% of the maximum flow rate of the MFC: (1 1 0- 1 0) / 1 000 = 10% of the MFC flow capacity. After step 43 5 is completed, The embodiment 100 determines in step 445 whether the pressure of the current chamber 120 is between the minimum chamber pressure variable and the maximum chamber pressure variable. If the pressure is within this normal range, step 450 is performed. In step 450, the embodiment 100 calculates an "integral contribution". The integral base is used by the controller 110 to at least partially control the flow of gas through the MFC 165. In general, the integral base 値 represents the flow rate of the gas flowing into the chamber 120, and is expressed here as a percentage of the maximum gas flow rate through the MFC 165. The integral and proportional basis are generally used to maintain operating parameters in the chamber 120. The "operating parameter" here generally refers to the oxygen density of the chamber 1 20 and the pressure of the chamber. Proportion and integral basis together help determine the gas flow through the MFC 165, as explained in more detail in step 460. Continuing the discussion at step 4 50, the embodiment finds the difference between the (19) (19) 200406818 oxygen concentration of the current chamber 1 2 0 and the desired oxygen concentration in the chamber and then multiplies it by the oxygen Integrate the constant to calculate the integral basis 値. This formula can be expressed mathematically as follows:

Ic= (〇2C-02D) *〇21;其中 IC =積分基値; 〇2C=目前的容室120的氧濃度; 〇2D =想要的容室12〇的氧濃度(亦即上面所討論的 「想要的氧位準」變數);且 φ 〇2l ==氧積分常數(在此實施例100中,顯示在圖3的 「02-1」欄中)。 舉例而言,如果目前的容室120的氧位準爲1 lOppm, 且實施例採用0·01的氧積分常數及l〇ppm的想要的氧位準 變數,則積分基値爲: (110-10) *0.01=MFC 流動容量的 1〇/〇 如果實施例1 0 0在步驟4 4 5中判定容室1 2 0的壓力在常 態範圍之外,則步驟440被執行。在步驟440中,實施例根 據容室壓力計算要對此次迴路的重複加入的積分基値(相 對於在步驟450中的使積分基値根據氧密度)。在步驟44〇 中,實施例1〇〇計算目前容室120的壓力與最小壓力(如果 目前的容室壓力是在最小壓力變數以下)或最大壓力·(如 果目前的容室壓力是在最大壓力以上)之間的差値,並且 將此差値乘以壓力積分常數。所得的數爲積分基値。以數 -22- (20) (20)200406818 ’當目前的容室壓力小於最小容室壓力變數時 ,積分常數的公式如下:Ic = (〇2C-02D) * 〇21; where IC = integral basis 値; 〇2C = current oxygen concentration of the chamber 120; 〇2D = desired oxygen concentration of the chamber 120 (ie, discussed above) The "desired oxygen level" variable); and φ 〇2l == oxygen integral constant (in this embodiment 100, shown in the "02-1" column of FIG. 3). For example, if the current oxygen level of the chamber 120 is 110 ppm, and the embodiment uses an oxygen integral constant of 0.01 and a desired oxygen level variable of 10 ppm, the integration basis 値 is: (110 -10) * 0.01 = 10/00 of the flow capacity of the MFC If the pressure of the chamber 12 is determined to be outside the normal range in step 4 45 in Example 100, step 440 is performed. In step 440, the embodiment calculates the integration basis 値 to be repeatedly added to the loop based on the pressure of the chamber (as opposed to making the integration basis 値 according to the oxygen density in step 450). In step 44, the embodiment 100 calculates the current pressure of the chamber 120 and the minimum pressure (if the current chamber pressure is below the minimum pressure variable) or the maximum pressure (if the current chamber pressure is at the maximum pressure Above) and multiply this difference by the pressure integral constant. The resulting number is the integral basis 値. With the number -22- (20) (20) 200406818 ’when the current pressure in the chamber is less than the minimum chamber pressure variable, the formula for the integral constant is as follows:

Ic ( Cvar - Ccurr ) *PI ;其中Ic (Cvar-Ccurr) * PI; where

Ic =積分基値;Ic = integral basis 値;

Cvai·==所超過的容室壓力變數(在以上的第3節所討 論的最小或最大容室壓力變數);Cvai · == exceeded chamber pressure variable (minimum or maximum chamber pressure variable discussed in section 3 above);

Ccurr =目前的容室1 20的壓力;且 pI =壓力積分常數(在此實施例1〇〇中,顯示在圖3 的「壓力-1」欄中)。 舉例而言,在具有1.9T〇rr的目前容室120的壓力, 2Torr的最小容室壓力變數,及〇1的壓力積分常數的實施 例中,積分基値爲: (2-1.9) *0.1=MFC 流動容量的 1% 另一例子可能有幫助。在具有被設定爲4T〇rr的最大 容室壓力變數,4.2 Torr的目前容室120的壓力,及0.1的 壓力積分常數的實施例中,積分基値爲: (4.0-4.2) *〇.l=MFC 流動容量的-2% 一旦積分基値在步驟440或步驟45〇中被決定,步驟 4 5 5就被執行。在此步驟中’實施例1 〇 〇將計算所得的積分 基値加入於「積分總和」。積分總和爲在先前的迴路重複 -23- (21) (21)200406818 中計算所得的所有積分基値的總和。因此,積分總和將積 分基値對連續的迴路重複進行積分。使用積分基値爲-2 % 的上述例子,且假設先前的積分總和爲6 5 %,則新的積分 總和爲63%。一般而言,積分總和的升高代表通過 MFC 165的氣體流量增加,而積分總和的減小代表通過 FMC的流量減小。 在步驟4 5 5完成之後,步驟4 6 0被執行。在步驟4 6 0中 ,實施例1 0 〇決定對於特定的迴路重複的MF C的最終流量 (或「操作百分比」)。流量控制器165又藉著調整MFC 的位置來實施操作百分比。一般而言,M F C 1 6 5的流量是 藉著將在步驟4 3 5中計算所得的比例項加入於在步驟4 5 5中 計算所得的積分總和。繼續上述的例子,比例項在步驟 4 3 5中被設定爲1 0 %,並且積分總和在步驟4 5 5中被設定爲 63%。因此,新的MFC165的操作百分比爲其最大可能流 量的7 3 %。如此,流量控制器1 6 5設定M F C 7 3 %打開。 在步驟4 6 0完成之後,步驟4 6 5被執行。在此步驟中, 實施例1 00等候一時計終止以啓始下一迴路循環。 在迴路回應觸發器被執行的另外實施例中,例如回應 容室1 2 0內部的氧密度或壓力的改變,一旦觸發器被偵測 到,迴路就在步驟405處再次開始。此種改變典型上是由 於MFC 165的定位被控制器1 10調整而發生。 5 .結論 如由熟習此項技術者從以上本發明的樣本實施例的敘 述會認知到的,在不離開本發明的精神及範圍下,可對所 -24 - (22) (22)200406818 述的實施例進行極多的改變。例如,氣體控制系統可使用 不同的設定點,流量控制器,PID/PI控制器的實施等。另 外,雖然本發明已經就特定的實施例及過程被敘述,但是 此種敘述只是舉例說明而非成爲限制。因此,本發明的正 確範圍是由附隨的申請專利範圍而非先前的例子來界定。 【圖式簡單說明】 圖1顯示本發明的一實施例。 圖2顯示用於本發明的一實施例的例示性操作環境。 圖3爲顯示圖1及2的實施例的例示性操作參數的表。 圖4顯不圖1及2的實施例的操作細節的流程圖。 [圖號說明] 1 00 實施例 110 控制器 120 容室 130 感測器 140 入口通口 1 5 0 氣體源 160 氣體管件或管線Ccurr = current pressure of the chamber 120; and pI = pressure integral constant (in this example 100, it is shown in the "pressure-1" column of FIG. 3). For example, in an embodiment having a current chamber pressure of 1.9 Torr, a minimum chamber pressure variable of 2 Torr, and a pressure integral constant of 〇1, the integration basis 値 is: (2-1.9) * 0.1 = 1% of MFC flow capacity Another example may help. In an embodiment with a maximum chamber pressure variable set to 4 Torr, a current pressure of 4.2 Torr of the current chamber 120, and a pressure integral constant of 0.1, the integration basis 値 is: (4.0-4.2) * 0.1 = -2% of MFC flow capacity Once the integration basis 値 is determined in step 440 or step 45, step 4 5 5 is performed. In this step, 'Example 100' adds the calculated integral base 値 to the "point total". The integral sum is the sum of all integral bases 値 calculated in the previous loop repeat -23- (21) (21) 200406818. Therefore, the sum of the integrals repeats the integral basis for successive loops. Using the above example with an integral base 値 of -2%, and assuming the previous total of 65%, the new total of 63%. Generally speaking, an increase in the integral sum represents an increase in the gas flow through the MFC 165, while a decrease in the integral sum represents a decrease in the flow through the FMC. After step 4 5 5 is completed, step 4 60 is executed. In step 460, the embodiment 100 determines the final flow rate (or "percentage of operation") of the MFC that is repeated for a specific circuit. The flow controller 165 in turn operates the percentage by adjusting the position of the MFC. Generally speaking, the flow of M F C 1 6 5 is obtained by adding the proportional term calculated in step 4 3 5 to the sum of the points calculated in step 4 5 5. Continuing the above example, the proportional term is set to 10% in step 4 3 5 and the total point is set to 63% in step 4 5 5. Therefore, the operating percentage of the new MFC165 is 73% of its maximum possible flow. In this way, the flow controller 16 5 is set to M F C 7 3% on. After step 460 is completed, step 465 is performed. In this step, Example 100 waits for the one-hour meter to terminate to start the next loop cycle. In another embodiment where the loop response trigger is performed, such as in response to a change in oxygen density or pressure inside the chamber 120, once the trigger is detected, the loop starts again at step 405. This change typically occurs because the positioning of the MFC 165 is adjusted by the controller 110. 5. Conclusions As will be appreciated by those skilled in the art from the above description of the sample embodiments of the present invention, without departing from the spirit and scope of the present invention, it is possible to describe the subject-24-(22) (22) 200406818 The embodiment makes a lot of changes. For example, gas control systems can use different set points, flow controllers, implementations of PID / PI controllers, etc. In addition, although the present invention has been described in terms of specific embodiments and processes, such description is for illustration only and not for limitation. Therefore, the correct scope of the present invention is defined by the scope of the accompanying patent application rather than the previous examples. [Brief Description of the Drawings] FIG. 1 shows an embodiment of the present invention. FIG. 2 shows an exemplary operating environment for an embodiment of the invention. FIG. 3 is a table showing exemplary operating parameters of the embodiment of FIGS. 1 and 2. FIG. 4 is a flowchart showing details of the operation of the embodiment of FIGS. 1 and 2. FIG. [Explanation of drawing number] 1 00 Embodiment 110 Controller 120 Container 130 Sensor 140 Inlet port 1 50 Gas source 160 Gas pipe or pipeline

165 流量控制器,MFC 17 0 放氣閥或出口閥 180 出□ 1 90 管件 -25- (23) 200406818 195 通風口 200 實施例 201 前方開口統一莢件(FOUP ) 202 自動臂 2 03 晶圓載具 204 升降機165 Flow controller, MFC 17 0 Bleed valve or outlet valve 180 Out □ 1 90 Pipe fitting-25- (23) 200406818 195 Vent 200 Example 201 Unified front pod (FOUP) 202 Robotic arm 2 03 Wafer carrier 204 lifts

205 半導體爐 2 10 控制器 220 船處理單元(BHU)容室,船處理單元(BHU) 23 0 感測器 26 5 質量流量控制器(MFC) 270 放氣閥205 Semiconductor furnace 2 10 Controller 220 Ship processing unit (BHU) chamber, ship processing unit (BHU) 23 0 Sensor 26 5 Mass flow controller (MFC) 270 Purge valve

-26--26-

Claims (1)

(1) (1)200406818 拾、申請專利範圍 1. 一種控制容室內的容室壓力及第一氣體密度的設備 ,包含: 一第一氣體密度感測器; 一第二壓力感測器; 控制機構,連接於該第一及第二感測器,該控制機構 從該第一及第二感測器接收與第一氣體密度及壓力有關的 資料;及 一流量控制器,連接於該控制機構,該流量控制器調 節一第二氣體流入容室的流量。 2. 如申請專利範圍第1項所述的設備,另外包含: 一放氣閥,連接於該控制機構,該放氣閥調節來自容 室的大氣氣流; 其中該控制機構可操作成爲回應來自該第一及第二感 測器之一的訊號而調整該流量控制器的位置。 3 .如申請專利範圍第2項所述的設備,其中該控制機 構另外可操作成爲回應來自該第一及第二感測器之一的訊 號而調整該放氣閥的位置。 4. 如申請專利範圍第1項所述的設備,其中該控制機 構包含一比例/積分/微分控制器。 5. 如申請專利範圍第4項所述的設備,其中該第一及 第二感測器互相整合。 6. 如申請專利範圍第4項所述的設備,其中該流量控 制器爲質量流量控制器。 -27- (2) (2)200406818 7 .如申請專利範圍第6項所述的設備,其中該質量流 量控制器可在打開位置與關閉位置之間被部份地調整。 8 .如申請專利範圍第2項所述的設備,其中該訊號係 擇自由指示第一氣體密度已經在一臨限値以上的訊號及指 示第一氣體密度已經在一臨限値以下的訊號所構成的群類 〇 9. 如申請專利範圔第8項所述的設備,其中 該放氣閥的操作位置可在打開與關閉之間連續地改變 ;且 該放氣閥的該操作位置隨著該訊號而改變。 10. 如申請專利範圍第7項所述的設備,其中第一氣體 與第二氣體爲不同的氣體。 11. 如申請專利範圍第1 〇項所述的設備,其中第一氣 體包含氧。 1 2 .如申請專利範圍第1 1項所述的設備,其中第二氣 體包含氮。 13.如申請專利範圍第4項所述的設備,其中該控制機 構另外包含: 一系統邏輯,在操作上連接於該控制器;及 第一及第二設定點,在操作上連接於該系統邏輯。 1 4 ·如申請專利範圍第1 3項所述的設備,其中 該第一設定點包含一第一容室壓力設定點; 該第二設定點包含一第二容室壓力設定點; 該第一及第二容室壓力設定點界定從零延伸至該第一 -28- (3) (3)200406818 容室壓力設定點的一第一容室壓力範圍,從該第一容室壓 力設定點延伸至該第二容室壓力設定點的一第二容室壓力 範圍,及從該第二容室壓力設定點延伸至無限大的一第三 容室壓力範圍; 該控制器包含一比例/積分/微分控制器; 該系統邏輯另外包含在操作上連接於該比例/積分/微 分控制器的第一,第二,及第三組調諧常數;且 該第一,第二,及第三組調諧常數相應於該第一,第 二,及第三容室壓力範圍。 1 5 .如申請專利範圍第1 4項所述的設備,其中該第一 ,第二,及第三組調諧常數中的一組中的調諧常數之一爲 零。 1 6 ·如申請專利範圍第1 5項所述的設備,其中 該比例/積分/微分控制器的一第一積分項被指定給容 室壓力;且 該第一積分項在容室壓力於該第二容室壓力範圍內時 不爲零,否則該第一積分項爲零。 1 7 .如申請專利範圍第1 6項所述的設備,其中 該比例積分/微分控制器的一第二積分項被指定給容 室氧位準;且 該第二積分項在容室壓力於該第二容室壓力範圍內時 不爲零,否則該第二積分項爲零。 1 8 .如申請專利範圍第1 6項所述的設備,其中容室爲 在半導體製造環境中的船處理單元。 -29- (4) 200406818 1 9 · 一種調節容室的操作參數的方法,包含: 監視第一氣體密度; 監視容室壓力; 根據該第一氣體密度計算一比例基値; 判定該容室壓力是否在常態壓力範圍內; 在該容室壓力不在該常態壓力範圍內的情況中,根據 該第一氣體密度計算一積分基値;及(1) (1) 200406818 Scope of patent application 1. A device for controlling the pressure and the first gas density of a chamber, comprising: a first gas density sensor; a second pressure sensor; control A mechanism connected to the first and second sensors, the control mechanism receiving data related to the first gas density and pressure from the first and second sensors; and a flow controller connected to the control mechanism The flow controller regulates the flow of a second gas into the chamber. 2. The device according to item 1 of the scope of patent application, further comprising: an air bleed valve connected to the control mechanism, the air bleed valve regulating the atmospheric air flow from the chamber; wherein the control mechanism is operable to respond to The signal of one of the first and second sensors adjusts the position of the flow controller. 3. The device according to item 2 of the patent application scope, wherein the control mechanism is further operable to adjust the position of the air release valve in response to a signal from one of the first and second sensors. 4. The device according to item 1 of the scope of patent application, wherein the control mechanism includes a proportional / integral / derivative controller. 5. The device according to item 4 of the patent application scope, wherein the first and second sensors are integrated with each other. 6. The device according to item 4 of the patent application scope, wherein the flow controller is a mass flow controller. -27- (2) (2) 200406818 7. The device according to item 6 of the scope of patent application, wherein the mass flow controller can be partially adjusted between the open position and the closed position. 8. The device according to item 2 of the scope of patent application, wherein the signal is a signal indicating that the first gas density is already above a threshold and signals indicating that the first gas density is below a threshold Groups formed 9. The device according to item 8 of the patent application, wherein the operation position of the air release valve can be continuously changed between opening and closing; and the operation position of the air release valve follows The signal changes. 10. The device according to item 7 of the patent application scope, wherein the first gas and the second gas are different gases. 11. The device according to item 10 of the patent application scope, wherein the first gas contains oxygen. 1 2. The device according to item 11 of the patent application scope, wherein the second gas contains nitrogen. 13. The device according to item 4 of the scope of patent application, wherein the control mechanism further comprises: a system logic operatively connected to the controller; and first and second set points operatively connected to the system logic. 1 4 · The device according to item 13 of the scope of patent application, wherein the first set point includes a first chamber pressure set point; the second set point includes a second chamber pressure set point; the first set point And the second chamber pressure set point define a first chamber pressure range extending from zero to the first -28- (3) (3) 200406818 the chamber pressure set point, extending from the first chamber pressure set point A second chamber pressure range to the second chamber pressure set point, and an infinitely large third chamber pressure range extending from the second chamber pressure set point; the controller includes a ratio / integral / Differential controller; the system logic additionally includes first, second, and third sets of tuning constants operatively connected to the proportional / integral / differential controller; and the first, second, and third sets of tuning constants Corresponding to the first, second, and third chamber pressure ranges. 15. The device according to item 14 of the scope of patent application, wherein one of the tuning constants in one of the first, second, and third tuning constants is zero. 16 · The device as described in item 15 of the scope of patent application, wherein a first integral term of the proportional / integral / derivative controller is assigned to the chamber pressure; It is not zero when it is within the pressure range of the second chamber, otherwise the first integral term is zero. 17. The device according to item 16 of the scope of patent application, wherein a second integral term of the proportional-integral / derivative controller is assigned to the oxygen level of the chamber; It is not zero when it is within the pressure range of the second chamber, otherwise the second integral term is zero. 18. The device according to item 16 of the scope of patent application, wherein the container is a ship processing unit in a semiconductor manufacturing environment. -29- (4) 200406818 1 9 · A method for adjusting the operating parameters of a containment chamber, comprising: monitoring a first gas density; monitoring a chamber pressure; calculating a proportional basis 値 based on the first gas density; determining the chamber pressure Whether it is within the normal pressure range; in the case that the pressure of the chamber is not within the normal pressure range, calculate an integral basis 値 based on the first gas density; 根據該比例基値及該積分基値調整操作參數。 2 0 ·如申請專利範圍第i 9項所述的調節容室的操作參 數的方法’另外包含在該容室壓力在該常態壓力範圍內的 情況中根據該容室壓力計算一積分基値的步驟。 2 1 ·如申請專利範圍第2 〇項所述的調節容室的操作參 數的方法’其中該調整操作參數的步驟包含: 將該積分基値與先前計算的積分比例的總和合計以計 算一積分總和;Adjust the operating parameters based on the proportional basis and the integral basis. 2 0. The method of adjusting the operating parameters of the container as described in item i 9 of the scope of the patent application 'additionally includes the calculation of an integral basis based on the pressure of the container when the pressure of the container is within the normal pressure range. step. 2 1 · The method for adjusting the operating parameters of a container as described in item 20 of the scope of the patent application, wherein the step of adjusting the operating parameters includes: summing the integral base 値 with the sum of the previously calculated integral ratios to calculate an integral sum; 將該比例基値與該積分總和合計以決定一操作百分比 ;及 根據該操作百分比調整操作參數。 22.如申請專利範圍第21項所述的調節容室的操作參 數的方法’其中該操作參數係選擇自由第—氣體密度及容 室壓力所構成的群類。 23·如申請專利範圍第”項所述的調節容室的操作 數的方法,其中根據該操作百分比調整操作參數的步騷 含將至該容室內的〜流動路徑以相等於該操作百分比的 -30 - (5) (5)200406818 分比打開。 24.如申請專利範圍第22項所述的調節容室的操作參 數的方法,其中根據該操作百分比調整操作參數的步驟包 含將一第二氣體引入該容室內。 2 5.如申請專利範圍第24項所述的調節容室的操作參 數的方法,其中 該第一氣體爲氧;且 該第二氣體爲氮。 Φ 2 6.如申請專利範圍第21項所述的調節容室的操作參 數的方法,另外包含: 判定該第一氣體密度是否超過一最大第一氣體密度; 及 在該第一氣體密度超過該最大第一氣體密度的情況中 ,減小該第一氣體密度。 2 7.如申請專利範圍第21項所述的調節容室的操作參 數的方法,另外包含: ® 判定該第一氣體密度是否小於一警示第一氣體密度; 及 在該第一氣體密度小於該警不第一氣體密度時,減小 該第一氣體密度。 2 8.如申請專利範圍第20項所述的調節容室的操作參 數的方法,另外包含: 判定該容室壓力是否超過一安全臨限値; 在該容室壓力超過該安全臨限値的情況中,減小該容 -31 - (6) 200406818 室壓力;及 進一步回應超過該安全臨限値的該容室壓力,不根據 該比例基値及該積分基値來調整該操作參數。 -32-Sum the proportional base and the total of the points to determine an operating percentage; and adjust the operating parameters based on the operating percentage. 22. The method for adjusting the operating parameters of a container according to item 21 of the scope of the patent application, wherein the operating parameter is a group consisting of a free-gas density and a chamber pressure. 23. The method for adjusting the operand of a storage chamber as described in the item "Scope of the Patent Application", wherein the step of adjusting the operation parameter according to the operation percentage includes ~ the flow path to the chamber to be equal to the operation percentage- 30-(5) (5) 200406818 open. 24. The method for adjusting the operating parameter of the chamber as described in item 22 of the scope of the patent application, wherein the step of adjusting the operating parameter according to the operating percentage includes a second gas Introduced into the chamber. 2 5. The method for adjusting the operating parameters of the chamber as described in item 24 of the scope of patent application, wherein the first gas is oxygen; and the second gas is nitrogen. Φ 2 6. As claimed in the patent The method for adjusting an operating parameter of a chamber according to item 21 of the scope, further comprising: determining whether the first gas density exceeds a maximum first gas density; and when the first gas density exceeds the maximum first gas density In order to reduce the first gas density, 2 7. The method for adjusting the operating parameters of the chamber according to item 21 of the patent application scope, further comprising: ® determining the first gas density If it is less than a warning first gas density; and when the first gas density is less than the warning first gas density, reduce the first gas density. 2 8. The regulating chamber according to item 20 of the scope of patent application The method of operating parameters further includes: determining whether the pressure in the chamber exceeds a safety threshold; in a case where the pressure in the chamber exceeds the safety threshold, reducing the chamber pressure -31-(6) 200406818 chamber pressure And further responding to the pressure of the chamber exceeding the safety threshold, the operating parameters are not adjusted based on the proportional basis and the integral basis.
TW92119303A 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber TW200406818A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US42852602P 2002-11-22 2002-11-22

Publications (1)

Publication Number Publication Date
TW200406818A true TW200406818A (en) 2004-05-01

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment
TW92119297A TW200409176A (en) 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus
TW92119303A TW200406818A (en) 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber
TW92119298A TW200416773A (en) 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119301A TW200416775A (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges
TW92119299A TW200416774A (en) 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment
TW92119297A TW200409176A (en) 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus

Family Applications After (6)

Application Number Title Priority Date Filing Date
TW92119298A TW200416773A (en) 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119301A TW200416775A (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges
TW92119299A TW200416774A (en) 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber

Country Status (6)

Country Link
EP (2) EP1522090A4 (en)
JP (2) JP2005533378A (en)
CN (1) CN1643322A (en)
AU (9) AU2003249030A1 (en)
TW (9) TW200419890A (en)
WO (9) WO2004008054A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI384341B (en) * 2008-03-31 2013-02-01 Azbil Corp Flow control system
TWI702383B (en) * 2018-07-30 2020-08-21 日商Tdk股份有限公司 Container mounting device, semiconductor manufacturing device, and method for controlling ambient gas in the container

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (en) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusion furnace and method for generating a gas flow
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (en) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 Linkage system
KR101877494B1 (en) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 Vacuum heat treatment apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (en) * 2012-09-17 2014-09-18 주식회사 유진테크 Apparatus for processing substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10386019B2 (en) 2013-03-15 2019-08-20 Southwire Company, Llc Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20160348240A1 (en) * 2014-01-27 2016-12-01 Applied Materials, Inc High speed epi system and chamber concepts
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (en) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
JP6143964B1 (en) * 2016-01-25 2017-06-07 三菱電機株式会社 Control device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
FR3057391B1 (en) * 2016-10-11 2019-03-29 Soitec THERMAL TREATMENT EQUIPMENT WITH COLLECTING DEVICE
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102127130B1 (en) * 2017-02-17 2020-06-26 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing device, manufacturing method and program of semiconductor device
JP7158133B2 (en) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (en) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019099125A1 (en) * 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy Substrate processing apparatus and method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (en) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 High Pressure Chamber to Improve Cooling Efficiency
CN114990299B (en) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPH088220B2 (en) * 1988-09-05 1996-01-29 株式会社日立製作所 Semiconductor wafer heat treatment apparatus and heat treatment method
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
DE3885833T2 (en) * 1987-09-22 1994-03-24 Nec Corp Chemical vapor deposition apparatus for the production of high quality epitaxial layers with a uniform density.
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH02130943A (en) * 1988-11-11 1990-05-18 Tel Sagami Ltd Accommodation jig
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (en) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch METHOD FOR THERMALLY TREATING SEMICONDUCTOR MATERIALS AND DEVICE FOR CARRYING OUT THE SAME
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (en) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 Method of forming doped thin film
JP3040212B2 (en) * 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
DE69221152T2 (en) * 1992-05-15 1998-02-19 Shinetsu Quartz Prod VERTICAL HEAT TREATMENT DEVICE AND HEAT INSULATION MATERIAL
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (en) * 1993-07-24 2000-03-21 ヤマハ株式会社 Vertical heat treatment furnace and heat treatment method
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (en) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
JPH08213446A (en) * 1994-12-08 1996-08-20 Tokyo Electron Ltd Processing equipment
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (en) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat insulation structure thereof, and heat shield plate
JP3423131B2 (en) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 Heat treatment equipment and treatment equipment
JPH09306980A (en) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd Vertical wafer boat
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
DE69940161D1 (en) * 1998-06-18 2009-02-05 Kline & Walker L L C AUTOMATIC DEVICE FOR MONITORING EQUIPPED OPTIONS AND MACHINES WORLDWIDE
JP3487497B2 (en) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 Object to be processed accommodation jig and heat treatment apparatus using the same
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (en) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd Substrate treating system
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (en) * 2000-01-21 2003-05-12 株式会社真空技研 Ultra high temperature heat treatment equipment
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (en) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (en) * 2002-04-01 2012-02-08 株式会社エフティーエル Semiconductor device manufacturing equipment

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI384341B (en) * 2008-03-31 2013-02-01 Azbil Corp Flow control system
TWI702383B (en) * 2018-07-30 2020-08-21 日商Tdk股份有限公司 Container mounting device, semiconductor manufacturing device, and method for controlling ambient gas in the container

Also Published As

Publication number Publication date
TW200416775A (en) 2004-09-01
AU2003249028A1 (en) 2004-02-02
EP1522090A2 (en) 2005-04-13
TW200416774A (en) 2004-09-01
AU2003253874A1 (en) 2004-02-02
AU2003256486A8 (en) 2004-02-02
WO2004008491A2 (en) 2004-01-22
CN1643322A (en) 2005-07-20
WO2004008008A3 (en) 2004-12-16
EP1522090A4 (en) 2006-04-05
AU2003259104A1 (en) 2004-02-02
EP1540258A1 (en) 2005-06-15
JP2005533232A (en) 2005-11-04
WO2004008008A2 (en) 2004-01-22
WO2004008054A9 (en) 2005-01-13
WO2004008493A3 (en) 2004-05-27
AU2003253907A1 (en) 2004-02-02
WO2004008494A2 (en) 2004-01-22
AU2003256487A1 (en) 2004-02-02
AU2003253874A8 (en) 2004-02-02
AU2003249029A8 (en) 2004-02-02
TW200419890A (en) 2004-10-01
AU2003249029A1 (en) 2004-02-02
TW200411717A (en) 2004-07-01
TW200405401A (en) 2004-04-01
AU2003259104A8 (en) 2004-02-02
WO2004007318A3 (en) 2004-08-05
WO2004008493A2 (en) 2004-01-22
WO2004008054A1 (en) 2004-01-22
WO2004007800A9 (en) 2005-01-13
TW200416773A (en) 2004-09-01
WO2004008052A3 (en) 2004-05-13
WO2004007318A2 (en) 2004-01-22
AU2003249030A8 (en) 2004-02-02
AU2003253873A1 (en) 2004-02-02
AU2003256486A1 (en) 2004-02-02
TW200411960A (en) 2004-07-01
WO2004008491A3 (en) 2004-06-03
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
WO2004007105A1 (en) 2004-01-22
WO2004008493A9 (en) 2004-07-22
AU2003253907A8 (en) 2004-02-02
JP2005533378A (en) 2005-11-04
TW200409176A (en) 2004-06-01
AU2003249030A1 (en) 2004-02-02
WO2004008052A2 (en) 2004-01-22

Similar Documents

Publication Publication Date Title
TW200406818A (en) Control of a gaseous environment in a wafer loading chamber
JP6391362B2 (en) Vacuum drying apparatus, substrate processing apparatus, and vacuum drying method
JP5075819B2 (en) Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
WO2006049199A1 (en) Insulating film forming method and substrate processing method
TW509963B (en) Method for manufacturing a semiconductor device and device for manufacturing a semiconductor
WO2002059955A1 (en) Method and device for heat treatment
JP5538128B2 (en) Exhaust method and gas processing apparatus
JP2007127032A (en) Decompression processing device
JP2020053476A (en) Vacuum processing apparatus and control method of the vacuum processing apparatus
JP2008047588A (en) Substrate processing apparatus and substrate processing method
US20140295675A1 (en) Silicon oxide film forming method and silicon oxide film forming apparatus
JP2010109335A (en) Removing method and processing device for silicon oxide film
JP6811638B2 (en) Substrate processing method and its equipment
JPH0729962A (en) Method and device for evacuation
JP5597433B2 (en) Vacuum processing equipment
US20190371633A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US20200173025A1 (en) Substrate Processing Apparatus
JP6630237B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP3471077B2 (en) Vacuum vessel pressure control method
WO2020196025A1 (en) Substrate treatment device, method for manufacturing semiconductor device, and substrate supporting tool and method for treating same
WO2024057590A1 (en) Exhaust structure, exhaust system, processing device, and method for manufacturing semiconductor device
JP2005136370A (en) Substrate-processing equipment
WO2005008755A1 (en) Temperature control method, substrate processing system and process for producing semiconductor
JP2003017434A (en) Method and device for heat treatment
JP4718964B2 (en) Plasma CVD apparatus and temperature maintaining method for plasma CVD apparatus