TW200416773A - Thermal processing system and configurable vertical chamber - Google Patents

Thermal processing system and configurable vertical chamber Download PDF

Info

Publication number
TW200416773A
TW200416773A TW92119298A TW92119298A TW200416773A TW 200416773 A TW200416773 A TW 200416773A TW 92119298 A TW92119298 A TW 92119298A TW 92119298 A TW92119298 A TW 92119298A TW 200416773 A TW200416773 A TW 200416773A
Authority
TW
Taiwan
Prior art keywords
processing chamber
carrier
substrates
processing
shaft seat
Prior art date
Application number
TW92119298A
Other languages
Chinese (zh)
Inventor
Bois Dale R Du
Jamie H Nam
Craig Wildman
Tai-Quing Thomas Qiu
Jeffrey M Kowalski
Original Assignee
Asml Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Us Inc filed Critical Asml Us Inc
Publication of TW200416773A publication Critical patent/TW200416773A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Abstract

An apparatus (100) and method are provided for thermally processing substrates (108) held in a carrier (106). The apparatus (100) includes a vessel (101) having a top (134), side (136) and bottom (138), and a heat source (110) with heating elements (112-1, 112-2, 112-3) proximal thereto. The vessel (101) is sized to enclose a volume substantially no larger than necessary to accommodate the carrier (106), and to provide an isothermal process zone (128) extending throughout. In one embodiment, the bottom wall (138) includes a movable pedestal (140) with a bottom heating element therein (112-1), and the pedestal can be lowered and raised to insert the carrier (106) into the vessel (101). The apparatus (100) can include a movable shield (146) that is inserted between the pedestal (140) and the carrier (106) to shield the substrates (108) from the heating element (112-1) and to maintain pedestal temperature. A magnetically coupled repositioning system (162) repositions the carrier (106) during processing of the substrates (108) without use of a movable feedthrough into the volume enclosed by the vessel (101), and without moving the bottom heating element (112-1) in the pedestal (140).

Description

200416773 (1) 玖、發明說明 【發明所屬之技術領域】 本發明係關於用於熱處理諸如基板的物件之系統及方 法更h別地’本發明係關於用來熱處理、退火及沉積材 料層或自半導體晶圓或基板而移除材料層之裝置及方法。 【先前技術】 熱處理裝置普遍使用用於積體電路(IC)或半導體裝 鲁 置由半導體基板或晶圓的製造。半導體晶圓的熱處理包 括’例如’掺雜劑材料的熱處理、退火、擴散或驅動,材 料層的沉積或成長,以及材料自基板的蝕刻或移除。此些 製程通常要求晶圓加熱至3 0 0 °C至1 3 0 0 °C的溫度在處理時 及之則’且,諸如處理氣體或試劑的一或更多液體輸送至 曰曰圓。再者’此些處理通常要求晶圓保持在一均勻溫度在 整個製程’不管處理氣體的溫度的變化或處理氣體導入處 理室的速率。 __ 一習知熱處理裝置通常包括定位於或由一爐所包圍之 大容量處理室。將熱處理的基板係密封於處理室中,處理 室然後由爐加熱至實施處理的想要溫度。用於許多處理, 諸如化學蒸汽沉積(CVD ),密封的處理室首先蒸發, 且,一旦處理室已達到想要的溫度,一反應或處理氣體被 倒入以形成或沉積試劑件在基板上。 過去’熱處理裝置,尤其是垂直熱處理裝置,需要配 置鄰接至處理室的側壁之防護加熱器在處理的產品晶圓的 -5- (2) (2)200416773 處理帶之上及下方。此配置係不合意地,因爲其需要一更 大的室容量,此容量必須泵送,以處理氣體或蒸汽充塡及 回塡或淸洗,導致增加的處理時間。更者,由於晶’圓距加 熱器的不良視線因素,此架構佔用大量的空間及電力。 習知的熱處理裝置的其它問題包括在加溫處理室及將 處理的晶圓的溫度的處理之前所需之相當長時間,及在降 低溫度的處理之後所需之時間。更者,附加時間係經常需 求來確定在處理可開始之前,處理室的溫度已穩定均勻在 想要的溫度。雖然晶圓的處理所需之實際時間可以是半小 時或更短,預先及後處理時間通常需要1至3小時或更 長。因此,快速增加及/或減小處理室的溫度至一均勻溫 度所需的時間明顯地限制習知熱處理裝置的產量。 相當長的增溫及減溫時間之基本理由係習知熱處理裝 置中的處理室及/或爐的熱容量,在有效加熱或冷卻晶圓 之前,此熱處理裝置係必須加熱或冷卻。 最小化或補償習知熱處理裝置的產量上的限制之普遍 方式,已增加能夠於單一循環中處理的晶圓的數量。藉由 減少每一晶圓的有效處理時間,大量的晶圓的同時處理有 助於最大化裝置的有效產量。然而,如果於處理時出錯, 此方式亦增加風險的大小。亦即,如果於單一處理循環時 發生設備或處理故障,大量晶圓可能由於單一故障而被摧 毀或破壞。這尤其是更大的晶圓尺寸及更複雜的積體電路 之顧慮,其中單一晶圓可能價値1 0 〇 〇至1 〇 〇 〇 〇,依處理 的階段而定。 -6 - (3) (3)200416773 此解決方式的另一問題在於,增大處理室的尺寸來容 納大量的晶圓,以增加處理室的熱容量效應,因此降低晶 圓可被加熱或冷卻之速率。,再者,處理較大批的晶圓之較 大處理室導致或妥協一先進後出的問題,其中最先載入室 的晶圓亦成爲最後移除的晶圓,致使此些晶圓曝露至上升 的溫度中於更長期間,且降低整批晶圓的均勻性。 以上方式的另一問題在於,對於大量晶圓的同時處 理,使用於在熱處理之前及後的許多製程之系統及裝置係 無法補救的。因此,大批或大量晶圓的熱處理,同時增加 熱處理裝置的產量,幾乎不能改善半導體製造廠的整個產 量,且實際上,可能藉由在熱處理裝置之前累積晶圓而降 低產量,或者在下游的其它系統或裝置而造成瓶頸。 上述的習知的熱處理裝置之替代裝置爲快速熱處理 (RTP )系統,其已被發展用於晶圓的快速熱處理。習知 RTP系統通常使用高強度燈來選擇性地加熱單一晶圓或小 量晶圓於一小且透明的通常爲石英的處理室內。RTP系統 最小化或消除處理室的熱容量效應,且因爲此燈具有非常 低的熱容量,晶圓可能由於瞬間開或關此燈而快速加熱及 冷卻。 遺憾地,習知的RTP系統具有明顯的缺點,包括燈 的配置,其在過去係以各包括數個燈鄰接至處理室的側壁 之區或列而配置。此架構係有問題的’因爲由於其不良視 線因素而佔用一大量的空間及電力爲了有效作用,以上所 述爲半導體處理設備的最後生產過程中之額外費用。 (4) (4)200416773 習知的RTP系統的另一問題爲,其不可能提供均勻 溫度分佈在單批晶圓內的多片晶圓上,切甚至在單一晶圓 上。此非均勻溫度分佈的數個理由包括(1 ) 一或數片晶 圓藉由一或數個燈的不良視線因素,及(2 )來自燈的輸 出功率的變化。 再者,單一燈的輸出之故障或變化可能不利地影響晶 圓上的溫度分佈。因爲此燈系統的問題,晶圓被旋轉來確 定由於燈輸出的變化之溫度不均勻性不會轉移至晶圓於處 理中。然而,旋轉晶圓所需之移動部件,尤其是饋通旋轉 入處理室,增加此系統的成本及複雜性,且降低其整個可 靠性。 RTP系統的另一問題爲,保持跨過晶圓的外緣及中央 之均勻溫度分佈。最爲習知的RTP系統不具適當的機構 來調整此類型的溫度不均勻性。結果,過度溫度起伏發生 在晶圓的表面上,其可在高溫造成晶圓中的滑移分離的形 成,除非一黑體接收器被使用,其直徑上比晶圓更大。 習知的以燈爲基礎的 RTP _系統具有其它缺點。例 如,沒有適當機構用來提供均勻功率分佈及溫度均勻於過 度期間,諸如當燈開或關時,除非相位角控制被使用,其 產生電噪音。性能的重複性通常亦是以燈爲基礎的背景, 因爲每一燈隨著其老化而產生不同的性能。更換燈亦是高 成本且費時的’尤其當考慮到一指定的燈系統時可能具有 1 8 0個燈。電力具備條件亦是高成本的,因爲此燈系統可 能需要約2 5 0千瓦的功率消耗。 (5) (5)200416773 因此’需要一種裝置及方法用來快速且均勻地加熱一 批一或數個基板至一想要的溫度跨過每一基板的表面於熱 處理期間。 【發明內容】 本發明提供一解決手段給此些及其它問題,且提供超 過熟習此項技藝的其它益處。 本發明提供用來等溫加熱諸如半導體基板或晶圓的工 作件之裝置及方法,用於實施諸如掺雜材料的退火、擴散 或驅動的製程,材料層的沉積或成長,及材料自晶圓的蝕 刻或移除。 一種熱處理裝置被提供用來處理固持於載體的基板在 局或上升的溫度。此裝置包括一處理室,其具有頂壁、側 壁及底壁,及一熱源,其具有一數個加熱元件接近處理室 的頂壁、側壁及底壁,以提供一等溫環境於一處理帶中, 其中載體係定位來熱處理基板。依據一形態,處理室的尺 寸係選擇來包圍實質不大於容納載體所需的容量之容量, 且,此處理帶實質地延伸遍及處理室。較佳地,此處理室 具有選擇來包圍實質上不大於容納載體所需的125%的容 量。更特別地,此裝置另包括在處理壓力之前抽空處理室 之泵送系統及在處理完成之後回塡處理室之淸洗系統, 且,處理室的尺寸係選擇來提供處理室的快速蒸發及回 塡。 依據本發明的另一形態,處理室的底壁包括具有至少 -9- (6) (6)200416773 一加熱元件於其中之可移動軸座’且’可移動軸座係用來 下降及上升以致使載體具有插入及移除自處理室之基板。 於一個實施例中,此裝置另包括一可移除熱遮蔽,用來插 於軸座中的加熱元件與固持於載體的基板之間。熱遮蔽用 來反射來自軸座中的加熱元件之熱能量回到軸座,且,保 護載體上的基板免受來自軸座中的加熱元件之熱能量。於 此實施例的一版本中,此裝置另包括一閘板,當軸座位於 下位置時,用來移動在載體上方以隔絕處理室。此裝置包 括一泵送系統,用來抽空處理室,且,閘板可用來密封處 理室,藉此,當軸座係於下位置時,致使泵送系統抽空處 理室。 於另一貫施例中,此裝置另包括一磁性輔合重定位系 統’其重定位載體於基板的熱處理期間。較佳地,使用來 重定位載體的機械能量係經由軸座而磁性地耦合至載體, 而無需利用可移動饋通進入處理室,且實質上無需移動軸 座中的加熱元件。更佳地,磁性耦合重定位系統係一磁性 耦合旋轉系統,其旋轉載體於處理帶內於基板的熱處理期 間。 依據本發明的另一形態,此裝置另包括一墊片,其將 載體分離自處理室的頂壁及側壁,及一分佈或交叉流注射 器系統,用來導引一流體流跨過固持於載體的基板的每一 者的表面。交叉流注射器系統一般包括一交叉流注射器, 其具有數個注射器口相對於固持於載體的基板而定位, 且’經由此注射器口,此液體導引在此數個基板的一側 -10- (7) (7)200416773 上。相對於固持於載體的基板而定位之墊片的數個排出口 造成此液體流過此基板的表面。由交叉流注射器系統所導 引的液體可包括處理、氣體或蒸汽,及使用於淸洗或回塡此 室或用來冷卻於其中的基板之惰性淸洗氣體或蒸汽。 【實施方式】 本發明針對用來處理相當小量或小批的一或更多工作 件之裝置及方法,諸如半導體基板或晶圓,其固持於一載 體中,諸如晶盒或晶舟,本發明提供減少的處理循環次數 及改進的製程一致性。 如本文中所使用的,用辭”小批式”意指典型分批系統 中少於數百片晶圓之晶圓數量,較佳在1至約5 3片半導 體晶圓或晶圓的範圍,在此範圍中,1至50片爲產品晶 圓’而剩餘的晶圓係使用於監視目的及作爲隔板晶圓之非 產品晶圓。 熱處理意指將工作件或晶圓加熱至一想要溫度之處 理,此溫度通常在約3 5 0 °C至1 3 0 0 °C的範圍。半導體晶圓 的熱處理可包括例如,加熱處理、退火、掺雜材料的擴散 或推動、材料層的沉積或成長、諸如化學蒸汽沉積,及材 料自晶圓的蝕刻或遺除。 現將參考圖1說明之依據一實施例的熱處理裝置。爲 淸楚的目的,熟習此項技藝者所熟知之熱處理裝置的細節 將在此省略。此些細節係更詳細地說明於例如,共同讓渡 的USP4770590,其在本文中倂入參考。 -11 - (8) (8)200416773 圖1係用來熱處理一批半導體晶圓之熱處理裝置的實 施例的橫截面圖。如其所示,熱處理裝置1 0 0通常包括容 器101,其包圍形成處理室102的容積,處理室102具有 用來容納載體或晶舟106的支撐104,其中一批晶圓108 固持於其中;及熱源或爐110,具有數個加熱元件1121-1、1121-2及1121-3 (以下稱爲加熱元件112),用來升 高晶圓的溫度至用於熱處理的想要溫度。熱處理裝置1 〇〇 另包括一或更多光學或電氣溫度感知元件,諸如電阻溫度 機制(RTD )或熱耦(T/C ),用以監視處理室102內的 溫度及/或控制加熱元件1 1 2的操作。於所示的實施例 中,溫度感知元件係溫度分佈T/C1 14,其具有多個獨立 的溫度感知節或點(未顯示),用以檢測在處理室1 02內 多個位置之溫度。熱處理裝置100亦可包括一或更多噴射 器1 1 6 (僅顯示一個),用來將諸如氣體或蒸汽的流體導 入用以處理及/或冷卻晶圓108之處理室102中,及一或 更多淸洗口或通孔1 1 8 (僅顯示一個),用以導入氣體以 淸洗處理室及/或冷卻晶圓。墊片1 2 0增加接近晶圓i 〇 8 之處理氣體或蒸汽的濃度於處理的晶圓的區或處理帶 1 2 8,且減少晶圓免於沉積物的剝落或剝離的污染,此沉 積物可形成在處理室1 02的內表面上。處理氣體或蒸汽經 由室襯1 2 0中的排氣口或槽1 2 1離開處理帶。 一些其它適合於注射器1 1 6的架構、製造技術及材料 係更詳細地說明於案名爲”Apparatus and Method f〇r Backfilling a Semiconductor Wafer Process Chamber” 之共 -12- (9) (9)200416773 同讓與的PCT專利申請案,此案在本文中倂入做爲參 考。 通常,容器101係藉由諸如0形環122的密封件而 密封至平台或底座板124以形成處理室102,處理室102 完全封閉晶圓108於熱處理時,處理室102及底座板124 的尺寸係選定來提供處理室的快速蒸發、快速加熱及快速 回塡。有利地,容器1 0 1及底座板1 24係訂製來提供具有 選擇來封閉一容積的尺寸之處理室1〇2,此容積實質上不 0 大於容納具有固持在其中的晶圓1 08的載體丨06的需求。 較佳地,容器1 0 1及底座板1 24係訂製來提供具有容納固 持於其中的晶圓i 〇 8的載體丨0 6所需的約1 2 5至1 5 0 %的 尺寸之處理室102,更佳地,處理室具有不大於容納載體 及晶圓所需的約1 2 5 %之尺寸,爲了最小化輔助所需的打 氣及回塡之室容積。 噴射器1 16的開口、T/C1 14及通孔1 18係使用諸如 〇形環、VCR®、或CF®安裝的密封件而予以密封的。處 馨 理中釋放或導入之氣體或蒸汽係經由形成於處理室1 02 (未顯示)的壁或底座板1 2 4的通風系統1 2 7之前管道或 排氣口 126而蒸發的,如圖1所示。處理室102可於熱處 理時保持在大氣壓力,或經由一泵送系統(未顯示)而蒸 發至低如5毫托的真空,此泵送包括一或更多粗加工泵、 鼓風機、高真空泵及粗加工、節流與前管道閥。 於圖2所示的另一實施例中,底座板! 2 4另包括一實 環形流通道1 2 9,用來容納及支撐包括環1 3 1的噴射器 -13- (10) (10)200416773 1 1 6,噴射器1 1 6依賴數個垂直噴射管或噴射器π 6 A。噴 射器1 1 6 A可被訂製且成形以提供一向上流、向下流或交 叉流的流動圖案,如下述。環1 3 1及噴射器1 1 6 A係配置 以使氣體噴入晶舟106及容器101之間的處理室1〇2。再 者,噴射器1 1 6 A係繞著環1 3 1而隔開,以使處理氣體或 蒸汽均勻地導入處理室1 02,且,若需要的話,可使用於 淸洗或回塡而將淸洗氣體導入處理室。底座板124係訂製 成具有向外延伸的上凸緣1 3 3、側壁1 3 5及向內延伸的底 座1 3 7之短圓柱形式。上凸緣1 3 3係用來容納並支撐容器 1 〇 1,且包括0形環1 22用來將此容器密封至上凸緣。底 座1 3 7係用來容納並支撐墊片1 2 0於支撐的噴射器1 1 6的 環1 3 1的外側。 再者,圖2所示的底座板1 24結合各種口,其包括回 塡/淸洗氣體進入口 139、143、用來循環底座板124中的 冷卻流體之冷卻口 145、147及用於監視處理室102內的 壓力之壓力監視口 149。處理氣體進入口 151、161將一 氣體自一供應源(未顯示)導入噴射器1 1 6。回塡/淸洗氣 體進入口 1 3 9、1 4 3係提供在底座板1 2 4的側壁1 3 5,主 要地將一氣體自通風/淸洗氣體供應(未顯示)導入通孔 1 1 8。一質量流量控制器(未顯示)或任何其它適當的流 量控制器係成列地配置於氣體供應與口 1 3 9、1 43、1 5 1及 1 6 1之間,以控制氣體流入處理室1 02。 容器1 〇 1及墊片1 20可以任何金屬、陶瓷、結晶或玻 璃材料而製成,此材料能夠承受高溫及高真空操作的熱及 -14- (11) (11)200416773 機械應力,且抗拒來自處理中所使用或釋放的玻璃及蒸汽 之侵蝕。較佳地,容器1 0 1.及墊片1 2 0係以具有一足夠厚 度的不透明、半透明或透明石英玻璃製成,以承受機械應 力且抗拒製程副產品的沉積,藉此減少處理環境的潛在污 染。更佳地,容器101及墊片120係以石英而製成,石英 減少或消除離開處理的晶圓1 0 8的區或處理帶1 2 8之傳 熱。 此批晶圓1 08係經由載入閘門或載入口(未顯示)而 導入熱處理裝置100,然後經由處理室或底座板124中的 入口或開口進入處理室102,底座板124能夠與其形成一 氣密密封。於圖1所示的架構中,處理室1 〇 2係一垂直反 應器,且,此入口利用一可移動軸座1 3 0,軸座1 3 0係於 處理時升高而以諸如0形環1 3 2的密封而密封在底座板 1 2 4上,且,降低以使諸如晶舟操縱單元(b Hu )(未顯 示)的操作器或自動化操縱系統以定位載體或晶舟1 0 6在 附接至此軸座的支撐104。 加熱元件11 2包括定位接近處理室1 〇 2的頂部1 3 4 (元件1 1 2 - 3 )側部1 3 6 (元件1 1 2 - 2 )及底部1 3 8 (元件 1 1 2 -1 )之元件。有效地,加熱元件1 1 2圍繞晶圓以達到 晶圓的良好觀察要素,因此提供等溫控制容積或處理帶 1 2 8於處理的晶圓1 0 8的處理室中。接近處理室1 〇2的底 部1 3 8之加熱元件1 1 2 - 1可配置於軸座1 3 0中或之上。如 果想要的話,附加的加熱元件可配置於底座板1 2 4中或之 上以自加熱元件1 1 2 -1補充熱。 -15- (12) (12)200416773 於圖1所示的實施例,接近處理室的底部之加熱元件 112-1較佳地凹入可移動軸座130中。軸座130係以熱及 電子絕緣材料或絕緣塊1 40而製成,其具有崁入其中或附 接至上之電阻加熱元件112-1。軸座130另包括一或更多 反饋感知器或使用來控制加熱元件1 1 2 - 1的T/C 1 1 4。於 所示的架構中,T/C 1 4 1係崁入於絕緣塊1 4 0的中心。 側加熱元件112-2及上加熱元件112-3可配置於容器 1 〇 1附近的絕緣塊1 1 0中或之上。較佳地,側加熱元件 112-2及上加熱元件112-3係凹陷於絕緣塊11〇。 加熱元件1 1 2及絕緣塊1 1 〇與1 4 0可以任一方式而予 以架構,且可以任一方式及以任一材料而予以製造。一些 適合的架構、製造技術及材料係熟習此項技藝中,且,其 它者係說明於案名爲”Variable Heater Element For Low To High Temperature Ranges”的PCT專利申請案中,此案係 與本所案號FP-71795-PC同一天提出申請,且在本文中倂 入作爲參考。 較佳地,爲了獲得高至 1 1 5 0 °C的想要處理溫度,接 近處理室102的底部138之加熱元件112-1具有自約 O.lkW至10kW的最大功率輸出,以及至少1150°C的最大 處理溫度。尤其,此些下加熱元件1 1 2 -1具有至少約 3 · 8 k W的功率輸出,以及至少9 5 0 °C的最大處理溫度。於 一個實施例中,側加熱元件1 1 2-2功能上分成數個帶,其 包括最接近軸座1 3 0的下帶及上帶,每一帶能夠自上加熱 元件1 1 2 - 3及下加熱元件1 1 2 -1相互獨立地操作在不同功 -16- (13) (13)200416773 率位準及工作循環。 加熱元件1 1 2係以任合適合方式而予以控制,其它者 係說明於案名爲”Feed Forward Temperature Co ή troller” 的 PCT專利申請案中,此案係與本所案號FP-71754-PC同一 天提出申請,且在本文中倂入作爲參考。 如果未去除,來自絕緣塊1 4 0及下加熱元件1 1 2 -1之 污染係藉由容納加熱元件及絕緣塊於倒置的石英坩堝〗4 2 中而減少’石英坩堝1 42作爲加熱元件及絕緣塊與處理室 0 102之間的障壁。坩堝142亦對著載入口及BHU環境而 予以密封,以更進一步減小或去除處理環境的污染。通 常,坩堝1 42的內部係在標準大氣壓力,使得坩堝丨42應 足夠的強來承受處理室102及軸座130之間的壓力差在大 氣壓的整個坩堝1 4 2中。 在晶圓1 0 8係載入或卸載時,也就是說軸座〗3 〇位於 下降位置(圖3 ),下加熱元件n 2 -1被起動來保持低於 想要的處理溫度的空載溫度。例如,用於具有9 5 0 °C的下 加熱元件的想要處理溫度之製程,空載溫度可以是5 0至 1 5 0度。空載溫度可設定更高用於特定製程,諸如具有一 高想要的處理溫度及/或高想要的升溫率之製程,或降低 下加熱兀件1 1 2 - 1上的熱循環功效,因此,延伸元件壽 命。 爲了更降低預處理時間,此時間爲製備用於處理的熱 處理裝置1 0 0所需之時間,下加熱元件;[丨2 -1可升溫至想 要的製程溫度或以下於推動或負載時,也就是在具有晶圓 -17- (14) (14)200416773 108的晶舟106定位於其上之軸座130正上升時。然而, 爲最小化晶圓1 0 8及熱處理裝置1 0 0的組件上之熱應力, 這是較佳地在加熱元件1 ·1·2 - 3及1 1 2 - 2分別地配置接近處 理室1 0 2的頂部1 3 4與側部1 3 6的同時,使下加熱元件 1 1 2 -1達到想要的製程溫度。因此,用於某些製程,諸如 需要高想要的製程溫度的製程,下加熱元件1 12-1的溫度 可在軸座1 3 0係上升之前而係上升的,同時一批中的最後 一個晶圓1 0 8正被載入。 同樣地,將領會到,在處理之後及於拉動或卸載循環 時’也就是在軸座1 2 8正下降時,對下加熱元件U 2 - 1的 功率可被降低或完全去除,以使軸座1 3 0降溫至空載溫 度’於用於晶圓108的冷卻及藉由 BHU的卸載之製備 中〇 在習知的推動或卸載循環之前爲輔助冷卻軸座1 3 0, -空氣用之淸洗管線或一惰性淸洗,諸如氮氣,係經由絕 緣塊1 4 〇而安裝的。較佳地,氮氣係經由絕緣塊〗4 〇的中 心注入穿過通道1 44,且允許流出於絕緣塊1 40的上部及 iff ^ 1 42的內部之間至其周圍。熱氮氣然後經由高效率顆 半立;(HEPA)過濾器(未顯示)或至工廠廢氣系統 (未顯示)。此中心注入架構促成晶圓1 0 8的中心的更快 冷谷卩’且,因此理想地最小化晶圓的底部晶圓的中心/邊 ϋΜ ^差’此可能以不同方式導致由於水晶晶格結構的滑 動脫節之受損。 如上所述,爲增加或延伸下加熱元件1 1 2 - 1的壽命, -18- (15) (15)200416773 空載溫度可設定更高、更接近想要的處理溫度以降低熱循 環的效果。再者,這亦是合意地週期性烘烤加熱元件 1 1 2 - 1於富氧的環境,以促成保護性氧化表面塗層的形 成。例如,在以諸如Kanthal®的含鋁合金而形成之抗熱元 件之處,烘烤加熱元件I〗2-1於一富氧環境中醋成一鋁土 氧化物表面成長。因此,絕緣塊1 4 0可另包括一氧氣管線 (未顯示),以促使保護性氧化表面塗層的形成於加熱元 件1 1 2 - 1的烘烤期間。替代地,烘烤用的氧氣可經由使用 於處理期間的淸洗管線而導入,以經由三向閥而供應冷卻 氮氣。 圖3係熱處理裝置1 0 0的一部份的橫截面圖。圖3顯 示晶圓1 0 8正載入或卸載時之熱處理裝置1 〇〇,也就是在 軸座1 3 0位於下位置。於此操作的模式中,熱處理裝置 1〇〇另包括熱遮蔽146,熱遮蔽146可旋轉或滑入定位於 軸座]3 0及晶舟1 〇 6中的下晶圓1 0 8上方。爲改善熱遮蔽 1 4 6的性能,熱遮蔽通常係反射在面向加熱元件n 2 _丨的 側上’而吸收在面向晶圓1 08之側上。下降於晶舟1 〇6的 目的包括增加下降於晶舟1 0 6之晶圓1 0 8的冷卻率,且輔 助保持軸座1 3 0及下加熱元件1 1 2 - 1的空載溫度,以減少 升溫處理室1 02至想要的處理溫度所需的時間。現將更詳 細地參考圖3至6的說明的具有一熱遮蔽之熱處理裝置的 實施例。 圖3亦顯示具有軸座加熱元件112-1及熱遮蔽146之 熱處理裝置1 00的實施例。於此所示的實施例中,熱遮蔽 -19- (16) (16)200416773 146係經由臂M8附接至可旋轉軸150,可旋轉軸15〇係 藉由一電氣、氣動或液壓致動器而轉動的,以將熱遮蔽 1 4 6轉入加熱的軸座丨3 〇與晶舟! 〇 6中的最低晶圓丨〇 8間 之第一位置於拉動或卸載循環期間,且,在晶舟丨〇6的底 部正要進入室1 02之前而移除或旋轉至不在軸座及晶圓之 間的第二位置於推動或負載循環的至少一最後部份或端的 期間。較佳地,可旋轉軸1 5 0係安裝在或附接至使用於升 及降軸座1 3 0的機械(未顯示),因此在軸座的頂部已淸 除處理室1 02時,能夠使熱遮蔽〗46旋轉進入定位。使熱 遮蔽146定位於負載循環期間,能夠使加熱元件ιυ」加 熱至一想要的溫度,此溫度比以其它方式更快速。相似 地’於卸載循環期間,藉由反射自軸座加熱元件η 2 放 射的熱’熱遮蔽1 4 6有助於冷卻晶圓,尤其更接近軸座的 晶圓。 替代地,可旋轉軸1 5 0可安裝在或附接至熱處理裝置 1 0 0的另一部份,且其適於與軸座1 3 0同步軸向地移動, 或’僅在軸座完全下降時,將熱遮蔽1 4 6轉入定位。 圖4係圖3的軸座加熱元件]1 2- 1的示意圖,其解說 熱能量或熱輻射自下加熱元件回到軸座1 3 0的反射,以 及,來自晶圓的成批或堆疊中的下晶圓1 08之熱能量或熱 輻射的吸收。這已確定到,想要的特性、高反射性及高吸 收性可使用數種不同的材料而獲得,諸如金屬、陶瓷、玻 璃或聚合物塗層、或者其組合物。經由實例,以下的表列 出各種適合的材料及對應參數。 -20- 200416773 (17) 表1 材料 吸收性 反射性 不鏽鋼 0.2 0.8 不透明石英 0.5 0.5 拋光β呂 0.03 0.97 碳化矽 0.9 0.1 依據一個實施例,熱遮蔽1 46可以單一材料諸如碳化 砂(SiC)、不透明石英或不鏽鋼而製成,其已被拋光在 一側上,而磨損、硏磨或粗糙加工在另一側上。粗糙加工 熱遮敝1 4 6的表面可明顯地改變其傳熱特性,特別是其反 射性。 於另一實施例中,熱遮蔽1 4 6可以兩層不同的材料而 製成。圖5係熱遮蔽146的示意圖,其具有諸如siC或不 透明石英的材料的上層1 5 2,具有高吸收性,及諸如拋光 不鏽鋼或拋光銘的金屬或材料的下層丨5 4,具有高反射 性。雖然如具有大約相等厚度所示,將領會到,由於熱膨 漲的係數的差,依據熱遮蔽1 4 6諸如最小化層間的熱應力 的具備條件而定,上層152或下層154可具有一相對更大 的厚度。例如,於某些實施例中,下層〗5 4可以是一非常 薄層或膜的拋光金屬,沉積、形成或電鍍在一石英板上, 石英板形成上層1 5 2。此些材料可藉由諸如結合或扣接件 的習知機構而整體地形成或互鎖或,結g。 於另一實施例中,熱遮蔽1 4 6另包括內部冷卻通道 -21 . (18) (18)200416773 156,更加地使晶圓i〇8與下加熱元件112-1絕緣。於此 實施例的一種版本中,圖6所示,內部冷卻通道1 5 6係形 成在兩個不同的材料層1 5 2與1 5 4之間。例如,內部冷卻 通道1 5 6可藉由銑床或任何其它適合技術而形成於高吸收 性不透明石英層1 52,且藉由金屬層1 54或諸如錫或鋁塗 層的塗層而予以覆蓋。替代地,內部冷卻通道1 5 6可形成 於金屬層H4或金屬層154及石英層152兩者中。 圖7係熱遮蔽組合1 5 3的實施例的立體圖,其包括熱 遮蔽1 4 6、臂1 4 8、可旋轉軸1 5 0及致動器1 5 5。 如圖8所示,熱處理裝置1 00另包括閘板1 5 8,閘板 1 5 8可旋轉或滑動或以其它方式移入定位在晶舟1 06的上 方,當軸座1 3 0係位於完全下降位置時,使處理室1 02與 外側或載入口環境隔絕。例如,當軸座1 3 0係位於一下降 位置時,閘板1 5 8可滑動入位在晶舟1 0 6上方,且上升來 隔絕處理室1 02。替代地,當軸座1 3 0係位於一下降位置 時,閘板1 5 8可旋轉或擺入定位在晶舟1 0 6上方,且接著 上升來隔絕處理室1 〇2。選擇性地,閘板1 5 8可繞著或相 對於螺栓或桿而旋轉,當擺入定位在晶舟1 06上方時,同 時上升此閘板來隔絕處理室1 02。 用於在真空下正常操作的處理室102,諸如於一 CVD 系統,閘板1 5 8可緊靠著底座板1 24來形成真空密封,以 致使處理室1 02泵送至處理壓力或真空。例如’可能想要 泵送處理室1 02在連續成批的晶圓之間,以降低或消除污 染製程環境之可能性。形成一真空密封較佳地係以諸如〇 -22- (19) (19)200416773 形環的大直徑密封而予以完成,且因此,閘板1 5 8可合意 地包括數個冷卻此密封的水道1 6 0。於圖8所示的實施例 中,當軸座1 3 0係位於上升位置時,閘板1 5 8以使用來密 封坩堝1 42的相同0形環1 3 2而予以密封。 用於處理室102正常操作在大氣壓力之熱處理裝置 1 3 0,閘板1 5 8簡單地爲一絕緣塞,其設計來減小來自處 理室的底部之熱損失。用來完成上述目的的一個實施例包 含不透明石英板的使用,其可或不可另包括數個冷卻通道 位在其下方或內部。 當軸座1 3 0係位於完全下降位置時,閘板1 5 8係移入 定位在處理室102下方,然後藉由一個或更多電氣、液壓 或氣動致動器(未顯示)而上升來隔絕處理室。較佳地, 致動器係使用約1 5至6 0 ( P S I G )空氣的氣動致動器,此 致動器係普遍可取得在用於氣動閥的操作的熱處理裝置 1 〇 0上。例如,於此實施例的版本中,閘板1 5 8可包含具 有數個輪的板,經由此些輪短閉臂或懸臂而附接至其兩 側。操作時,此板或閘板1 5 8輥入定位在兩平行導軌上的 處理室1 02下方。停止在導軌上,然後使懸臂樞轉而將閘 板1 5 8的運動轉換成向上方向以密封處理室1 〇 2。 如圖9所示,熱處理裝置1 00另包括磁耦合晶圓旋轉 系統1 6 2,於處理時,磁耦合晶圓旋轉系統1 6 2旋轉支撐 1 〇 4及晶舟1 0 6以及支撐至其上的晶圓1 0 8。旋轉晶圓 1 〇 8於處理時藉由平均加熱元件1 1 2中及處理氣體流中之 任何非均勻性而改善晶圓內(WIW )均勻性,以產生一均 (20) (20)200416773 勻的晶圓上溫度及特別反應溫度分佈。通常,晶圓旋轉系 統1 6 2能夠旋轉晶圓1 〇 8在約0 . 1至1 〇轉/分(RP Μ )的 速度。 晶圓旋轉系統1 6 2包括驅動組合或旋轉機械1 6 4,其 具有諸如電氣或氣動馬達之旋轉馬達1 66,及裝入諸如退 火的聚四氟乙譆或不鏽鋼的抗化學容器之磁鐵1 6 8。配置 在軸座1 3 0的絕緣塊1 4 0正下方之鋼環1 7 0及具有絕緣塊 之驅動軸1 72將旋轉能量轉移至配置在軸座的上部的絕緣 塊上之另一磁鐵174。鋼環170、驅動軸172及第二磁鐵 1 74亦裝入抗化學的容器化合物。配置在軸座〗3 〇的側之 磁鐵174經由坩堝142而與鋼環或磁鐵176而磁性地耦 合,磁鐵176崁入或附接至處理室1〇2中的支撐104。 經由軸座1 3 0而磁性地耦合旋轉機械1 64去除了將其 配置於處理環境內或具有一機械饋通之需要,因此消除洩 露及污染的潛在源。更者,配置旋轉機械1 64在外側且在 距處理的一些距離最小化曝光的最大溫度,因此增加晶圓 旋轉系統1 62的可靠度及操作壽命。 除了以上之外,晶圓旋轉系統1 6 2可另包括一或更多 感知器(未顯示),以確定適當的晶舟1 0 6位置及適當的 磁性耦合於處理室1 02中的鋼環或磁鐵1 7 6及軸座1 3 0中 的磁鐵1 74之間。決定晶舟1 06或晶舟位置確認感知器的 相對位置之感知器係尤其有效。於一個實施例中,晶舟位 置確認感知器包括一感知器凸部(未顯示)在晶舟106 上,以及一光學或雷射感知器配置在底座板124下方。操 -24- (21) (21)200416773 作時’在晶圓1 0 8已被處理之後,軸座丨3 〇下將約3英吋 在底座板1 24下方。在此,晶圓旋轉系統1 62被下指令轉 動晶舟1 0 6,直到晶舟感知器凸部可被看到。然後,晶圓 旋轉系統1 6 2被操作來校準此晶舟,使得晶圓丨〇 8可被卸 載。在此操作完成之後,晶舟下降至負載/卸載高度。在 起始檢查之後’僅#夠自標記感知器而確認晶舟位置。 如圖1 〇所示’改良的噴射器2 1 6較佳地使用於熱處 理裝置1 0 0。噴射器2 1 6係分佈或交叉(X )流噴射器 2 1 6 - 1,其中處理氣體或蒸汽係經由噴射器開口或孔口 1 8 0而導引在晶圓1 〇 8及晶舟1 06的一側上,且,於層流 中致使流過晶圓的表面而離開相對側上的室管路1 2 0中的 排出口或槽1 82。藉由提供處理氣體或蒸汽的改良分佈在 較早的向J1流或向下流架構上’ X-流噴射器116-1改善一 批晶圓1 0 8內的晶圓均勻性。 因此,X-流噴射器2 1 6可用作其它目的,包括冷卻用 的氣體(例如,氦 '氮、氫)的注入,用於晶圓1 08間的 強迫對流冷卻。X-流噴射器2 1 6的使用導致晶圓1 〇8間之 更不均勻冷卻,不管配置在堆疊或成批的下或上,且,相 較於較早的向上流或向下流架構,此些晶圓係配置於中 間。較佳地,噴射器2 1 6的孔口 1 8 0係訂製、成形且定位 以提供一噴霧圖案’此噴霧圖案促成晶圓1 0 8間的強迫對 流冷卻,因此不會產生跨過晶圓的大溫度斜率。 圖1 1係圖1 〇的熱處理裝置1 〇〇的部份的橫截側視 圖,其顯不與室襯120相關之注射器孔口 180及與晶圓 -25- (22) (22)200416773 1 08相關之排出槽1 82的解說部份。 圖1 2係沿著圖1 0的線A - A的熱處理裝置1 〇 〇的部 份的平面'圖,其顯示來自主要與次要注射器1 8 4、·,U 6的 孔口 1 8 0 -1、1 8 0 - 2之層氣流,跨過晶圓丨〇 8的解說一者 且到依據一個實施例之排出槽1 8 2 -1及1 8 2 - 2。應注意 到,如圖1 0所示的排出槽1 8 2的位置已自此位置圖1 2所 示的排出槽182-1及182-2而移位,以允許解說排出槽及 噴射器1 1 6 -1於熱處理裝置的單一橫截面圖中。亦應注意 到,注射器184、186及排出槽182-1及182-2相對於晶 圓1 〇 8與室襯1 2 0的尺寸已被擴大,以使更淸楚地解說自 注射器至排出槽之氣體流。 亦如圖1 2所示,處理氣體或蒸汽最先自晶圓1 0 8離 開而導向墊片1 20,以致使處理氣體或蒸汽在達到晶圓之 前而混合。孔口 180-1及180-2的架構特別有效用於製程 或製法,其中不同反應物係自主要與次要注射器1 84、 186的每一者而引出以形成一多成份膜或層。 圖13係沿著圖10的線A-A的熱處理裝置1〇〇的部 份的另一平面圖,其顯示來自主要與次要注射器184、 1 8 6的孔口 1 8 0之替代氣體流路徑,跨過晶圓1 0 8的解說 一者且到依據另一實施例之排出槽1 82。 圖14係沿著圖10的線A-A的熱處理裝置1〇〇的部 份的另一平面圖,其顯示來自主要與次要注射器184、 1 8 6的孔口 1 8 0之替代氣體流路徑,跨過晶圓1 0 8的解說 一者且到依據另一實施例之排出槽1 82 ° -26- (23) (23)200416773 圖1 5係沿著圖1 0的線A-A的熱處理裝置1 〇〇的部 份的另一平面圖,其顯示來自主要與次要注射器184、 1 8 6的孔口 1 8 0之替代氣體流路徑,跨過晶圓1 0 8的解說 一者且到依據另一實施例之排出槽1 8 2。 圖1 6係熱處理裝置1 00的橫截面圖,其具有依據替 代實施例之兩或更多個向上流注射器1 16-1、1 16-2。於此 實施例中,處理室1 〇2低處中自具有各別出口孔的處理注 射器116-1及116-2進入之處理氣體或蒸汽,向上且跨過 晶圓108,以及消耗氣體離開墊片120的上部的排出槽 1 8 2。一向上流注射器亦係顯示於圖1。 圖1 7係熱處理裝置1 00的橫截面圖,其具有依據替 代實施例之向下流注射器系統。於此實施例中,處理室 1 02高處中自具有各別孔口的處理注射器1 16-1及1 1 6-2 進入之處理氣體或蒸汽,向下且跨過晶圓1 08,以及消耗 氣體離開墊片120的下部中的排出槽182。 有利地,注射器1 1 6、2 1 6及/或墊片1 2 0可快速且容 易地置換,或與其它注射器及墊片交換,其具有自處理帶 1 28注入且排出之不同位置。此些熟習此項技藝者將領會 到,圖1 〇所示之X -流噴射器2 1 6的實施例增加一程度的 製程撓性,其藉由能夠使處理室1 〇 2內的流圖案快速且容 易地自如圖1 〇所示的交叉流架構改變成向上流如圖i及 1 6所示,或如圖1 7所示的向下流架構。此可利用容易安 裝的注射器組合2 1 6及墊片1 20而予以達成,而將流程幾 何自交叉流轉換至向上流或向下流。 -27- (24) (24)200416773 噴射器1 1 6、2 1 6及墊片丨20可以是分開的組件,或 注射器可與墊片整體形成作爲單一件。後者實施例係特別 有效於想要經常改變處理室1 02架構之應用。 用於操作熱處理裝置1 00之解說方法或製程係參考圖 1 8而予以說明。圖1 8係顯示用於熱處理一成批的晶圓 1 0 8的方法的步驟之流程圖,其中此批的晶圓的每一晶圓 係快速且均勻加熱至想要的溫度。於此方法中,軸座1 3 0 被下降,而,熱遮蔽146移入定位,然而軸座130被下降 來自下加熱元件1 1 2 -1的熱反射回到軸座1 3 0,爲保持其 溫度且使完成的晶圓1 〇 8絕緣(步驟1 9 0 )。選擇性地, 閘板 1 5 8移入定位以密封或隔絕處理室1 〇2 (步驟 192 ),及,電力係施加至加熱元件 1 12-2、1 12-3,以係 預加熱處理室1 〇2至或保持一中間或空載溫度(步驟 194)。裝有新晶圓108的載體或晶舟106係定位在軸座 1 3 0上(步驟1 96 )。軸座1 3 0被上升來定位晶舟於處理 帶128,然而同時移除閘板158及熱遮蔽146,並升溫下 加熱元件 1 1 2 _ 1,以預加熱晶圓至中間溫度(步驟 197)。較佳地,在晶舟106正位於處理帶128之前熱遮 蔽1 4 6被移除。諸如處理氣體或蒸汽的流體係經由數個注 射器口 180而導引在晶圓108的一側上(步驟198 )。此 流體自注射器口 1 8 0跨過晶圓1 0 8的表面而流至排出槽 1 82,排出槽1 82定位於晶圓相對於注射器口的相反側上 的墊片120 (步驟199 )。選擇性地,晶舟106可旋轉於 處理帶1 2 8內於此批晶圓1 0 8的熱處理期間,以更進一步 -28- (25) (25)200416773 加強熱處理的一致性’其藉由經由軸座1 3 0將機械能量磁 性地耦合至載體或晶舟1 〇 6而將其重定位於晶圓處理期間 (步驟2 0 0 )。 現將參考圖1 9說明依據另一實施例的熱處理裝置 100之方法及處理。圖19係顯示用來熱處理一載體中一 批晶圓10 8的方法的實施例的步驟。於此方法中,裝置 1〇〇設有處理室102,其尺寸及容量實質上不大於容納具 有晶圓108固持其中的載體106所需的尺寸及容量(缺防 護加熱器)。軸座130被下降,且,具有晶圓108固持其 中之晶舟106定位其上(步驟202)。軸座130被上升以 插入處理室1 02中的晶舟,然而同時預加熱晶圓1 08至一 中間溫度(步驟204 )。電力被施加至加熱元件1 12-1、 1 12-2、1 12-3,每一加熱元件配置接近處理室102的頂部 1 3 4、側部1 3 6及底部1 3 8的至少一者以加熱處理室(步 驟2 0 6 )。選擇性地,對加熱元件的至少一者之電力係獨 立地調整,以提供一實質地等溫環境在一想要的溫度於處 理室1 0 2中的處理帶1 2 8 (步驟2 0 8 )。當晶圓1 0 8已熱 處理且同時保持一想要的溫度於處理帶1 2 8時,軸座1 3 0 被下降,且熱遮蔽1 4 6移入定位,以絕緣加工的晶圓! 〇 8 且將來自下加熱元件1 1 2 - 1的熱反射回軸座1 3 0而保持其 溫度(步驟2 1 0 )。且,選擇性地,閘板1 5 8移入定位以 密封或隔絕處理室1 〇 2以及施加至加熱元件1 1 2 - 2、1 1 2 - 3 的電力,而保持處理室的溫度(步驟2 1 2 )。晶舟1 06然 後自軸座1 3 G而移除(步驟2 1 4 ),且,裝有新一批將處 -29- (26) (26)200416773 理的晶圓之另一晶舟定位在軸座上(步驟216)。閘板 158被重定位或移除(步驟218),熱遮蔽被退出或重定 位以預加熱晶舟1 0 6中的晶圓1 0 8至一中間溫度,然而同 時上升軸座1 3 0以使晶舟插入處理室1 〇 2中來熱處理此批 新晶圓(步驟2 2 0 )。 已被確認,如上述而提供並操作之熱處理裝置1 〇〇比 習知系統減少處理或循環達約7 5 % 。例如,,一習知大 批量熱處理裝置可在約2 3 2分內處理1 〇 〇片產品晶圓,其 包括預處理及後處理時間。本發明的熱處理裝置1 〇 〇在約 5 8分內實施相同處理在一小批2 5片產品晶圓1 〇 8上。 爲了解說及說明的目的,本發明的特定實施例及實例 的以上說明已被提出,且,雖然本發明已藉由一些先前實 例而予以說明,不應被解釋爲限制之用。此些實例將不預 期是徹底的、或者將本發明限制成所揭示的精確形式,依 據以上的教導,本發明範圍內的許多修改、改良及變化都 是可能的。可預期到,本發明的範圍包含以上所述的一般 性領域,以及申請專利範圍內所界定的及其等效物。 【圖式簡單說明】 在閱讀以下詳細說明以及以下提供的附圖及申請專利 範圍之後,本發明的此些及各種其它特徵與優點將係顯而 易見’其中· 圖1係依據本發明的實施例之具有用來提供等溫控制 容量之熱處理裝置的橫截面圖,其利用習知上升流架構; -30- (27) (27)200416773 圖2係使用於圖1所示的熱處理裝置之底座板的替代 實施例的透視圖; 匱I 3係依據本發明的實施例之具有軸座加熱器及熱遮 板之熱處理裝置的一部份的橫截面圖; 圖4係依據本發明的實施例之圖3的軸座加熱器及熱 遮板的示意圖; Η 5係依據本發明之熱遮板的實施例的示意圖,其具 有高吸收性的材料的上層及具有高反射性的材料的下層; 圖6係依據本發明之具有冷卻通道的熱遮板的另一實 施例的示意圖; 匱I 7係依據本發明之熱遮板及致動器的實施例的透視 圖; 匱i 8係依據本發明的實施例之具有閘板的熱處理裝置 的一部份的橫截面圖; W 9係依據本發明的實施例之具有軸座加熱器及磁耦 合晶圓旋轉系統的處理室的橫截面圖; 圖1 0係依據本發明的實施例之具有交叉流噴射器系 就的熱處理裝置的橫截面圖; 圖1 1係依據本發明的實施例之圖1 〇的熱處理裝置的 一部份的橫截側視圖,其顯示噴射器孔口相對於墊片及排 氣槽相對於晶圓的位置; 圖1 2係依據本發明的實施例之沿著圖1 〇的線a-A 的圖1 0的熱處理裝置的一部份的平面圖,其顯示來自跨 過一晶圓之主要及次要噴射器的孔口及至排氣口之氣體 -31 - (28) (28)200416773 流; 圖1 3係依據本發明的另一實施例之沿著圖1 0的線 A-A的圖1 0的熱處理裝置的一部份的平面圖,其顯示來 自跨過一晶圓之主要及次要噴射器的孔口及至排氣口之氣 體流; 圖1 4係依據本發明的另一實施例之沿著圖丨〇的線 A-A的圖1〇的熱處理裝置的一部份的平面圖,其顯示來 自跨過一晶圓之主要及次要噴射器的孔口及至排氣口之氣 體流; 圖1 5係依據本發明的另一實施例之沿著圖1 0的線 A-A的圖1 0的熱處理裝置的一部份的平面圖,其顯示來 自跨過一晶圓之主要及次要噴射器的孔口及至排氣口之氣 體流; 圖1 6係依據本發明的實施例之具有替代的上升流噴 射器系統之熱處理裝置的橫截面圖; 圖1 7係依據本發明的實施例之具有替代的下降流噴 射器系統之熱處理裝置的橫截面圖; 圖1 8係顯示依據本發明的實施例之用來熱處理一批 晶圓的過程的實施例之流程圖,藉此,此批晶圓的每一晶 圓係快速且均勻加熱至想要溫度;及 圖1 9係顯示依據本發明的另一實施例之用來熱處理 一批晶圓的過程的實施例之流程圖,藉此,此批晶圓的每 一晶圓係快速且均勻加熱至想要溫度。 -32- (29) (29)200416773 【符號說明】 RTD 電阻溫度機制 τ/c 熱耦, BHU 晶舟操縱單元 HEPA 高效率顆粒空氣 WIW 晶圓內 RPM 轉/分 100 熱處理裝置 101 容器 102 處理室 104 支撐 106 晶舟 108 晶圓 110 絕緣塊 112 加熱元件 116-1、116-2 向上流注射器200416773 (1) Description of the invention [Technical field to which the invention belongs] The present invention relates to a system and method for heat-treating an object such as a substrate, and more particularly, the present invention relates to heat treatment, annealing, and deposition of material layers or Device and method for removing material layer from semiconductor wafer or substrate. [Prior art] Heat treatment devices are commonly used for the manufacture of integrated circuits (ICs) or semiconductor devices from semiconductor substrates or wafers. Heat treatment of semiconductor wafers includes heat treatment, annealing, diffusion or driving of a 'e.g.' dopant material, deposition or growth of a material layer, and etching or removal of a material from a substrate. These processes typically require the wafer to be heated to a temperature of 300 ° C to 130 ° C during processing and then 'and that one or more liquids, such as a processing gas or reagent, are delivered to the circle. Furthermore, these processes usually require that the wafer be kept at a uniform temperature throughout the entire process, regardless of changes in the temperature of the process gas or the rate at which the process gas is introduced into the processing chamber. __ A conventional heat treatment apparatus usually includes a large-capacity processing chamber positioned or surrounded by a furnace. The heat-treated substrate is sealed in a processing chamber, and the processing chamber is then heated by a furnace to a desired temperature for processing. For many processes, such as chemical vapor deposition (CVD), the sealed process chamber evaporates first, and once the process chamber has reached the desired temperature, a reaction or process gas is poured to form or deposit reagent pieces on the substrate. In the past, a heat treatment device, especially a vertical heat treatment device, needed to be provided with a protective heater adjacent to the side wall of the processing chamber above and below the processing belt of the processed product wafer. This configuration is undesirable because it requires a larger chamber capacity, which must be pumped to handle gas or steam filling and backwashing or washing, resulting in increased processing time. Furthermore, due to the poor sight factor of the crystal's round pitch heater, this architecture takes up a lot of space and power. Other problems with the conventional heat treatment apparatus include the considerable time required before warming the processing chamber and the temperature of the wafer to be processed, and the time required after the temperature is lowered. Furthermore, the additional time is often required to determine that the temperature of the processing chamber has stabilized to a desired temperature before processing can begin. Although the actual time required for wafer processing can be half an hour or less, pre- and post-processing times typically require 1 to 3 hours or more. Therefore, the time required to rapidly increase and / or decrease the temperature of the processing chamber to a uniform temperature significantly limits the throughput of conventional heat treatment equipment. The basic reason for the considerable temperature increase and decrease time is the heat capacity of the processing chamber and / or furnace in the conventional heat treatment device, which must be heated or cooled before the wafer can be effectively heated or cooled. A common way of minimizing or compensating the limitations of the throughput of conventional thermal processing equipment has been to increase the number of wafers that can be processed in a single cycle. By reducing the effective processing time of each wafer, simultaneous processing of a large number of wafers helps maximize the effective throughput of the device. However, this method also increases the risk if an error occurs during processing. That is, if a device or process failure occurs during a single processing cycle, a large number of wafers may be destroyed or destroyed due to the single failure. This is especially a concern for larger wafer sizes and more complex integrated circuits, where a single wafer may cost between 100 and 100 000, depending on the stage of processing. -6-(3) (3) 200416773 Another problem with this solution is that the size of the processing chamber is increased to accommodate a large number of wafers in order to increase the thermal capacity effect of the processing chamber, thus reducing the number of wafers that can be heated or cooled. rate. Furthermore, larger processing chambers that process larger batches of wafers cause or compromise a first-in-first-out problem, in which the wafer loaded first into the chamber also becomes the last wafer removed, causing these wafers to The rising temperature is in the longer period, and the uniformity of the entire wafer is reduced. Another problem with the above method is that for the simultaneous processing of a large number of wafers, the systems and devices used in many processes before and after the heat treatment cannot be remedied. Therefore, the heat treatment of a large number or a large number of wafers, while increasing the output of the heat treatment device, can hardly improve the overall output of the semiconductor manufacturing plant, and in fact, it may reduce the output by accumulating wafers before the heat treatment device, or other downstream System or device. An alternative to the conventional thermal processing apparatus described above is a rapid thermal processing (RTP) system, which has been developed for rapid thermal processing of wafers. Conventional RTP systems typically use high-intensity lamps to selectively heat a single wafer or a small number of wafers in a small and transparent processing chamber, usually quartz. The RTP system minimizes or eliminates the heat capacity effect of the processing chamber, and because the lamp has a very low heat capacity, the wafer may heat up and cool down quickly due to the lamp being turned on or off instantly. Unfortunately, conventional RTP systems have significant disadvantages, including the configuration of lamps, which in the past were configured in zones or columns each including several lamps adjoining the side wall of the processing chamber. This architecture is problematic because it takes up a lot of space due to its bad sight and power. In order to function effectively, the above is the extra cost in the final production process of the semiconductor processing equipment. (4) (4) 200416773 Another problem with the conventional RTP system is that it is impossible to provide uniform temperature distribution across multiple wafers in a single batch of wafers, and even on a single wafer. Several reasons for this non-uniform temperature distribution include (1) the poor line of sight of one or more wafers with one or more lamps, and (2) the change in output power from the lamps. Furthermore, a failure or change in the output of a single lamp may adversely affect the temperature distribution on the wafer. Because of the problem with this lamp system, the wafer is rotated to make sure that temperature inhomogeneities due to changes in lamp output are not transferred to the wafer for processing. However, the moving parts required to rotate the wafer, especially the feed-through rotation into the processing chamber, increase the cost and complexity of this system, and reduce its overall reliability. Another problem with RTP systems is maintaining a uniform temperature distribution across the outer and center edges of the wafer. Most conventional RTP systems do not have the proper mechanism to adjust this type of temperature heterogeneity. As a result, excessive temperature fluctuations occur on the surface of the wafer, which can cause slip separation in the wafer at high temperatures, unless a blackbody receiver is used, which is larger in diameter than the wafer. The conventional lamp-based RTP system has other disadvantages. For example, there is no appropriate mechanism to provide uniform power distribution and temperature uniformity over time, such as when the lamp is on or off, and unless phase angle control is used, it generates electrical noise. The repeatability of performance is also usually based on a lamp, because each lamp produces different performance as it ages. Replacing lamps is also costly and time consuming ', especially when considering a given lamp system, which may have 180 lamps. The availability of electricity is also costly, as this lamp system may require approximately 250 kilowatts of power consumption. (5) (5) 200416773 Therefore, there is a need for an apparatus and method for quickly and uniformly heating a batch of one or several substrates to a desired temperature across the surface of each substrate during thermal processing. SUMMARY OF THE INVENTION The present invention provides a solution to these and other problems, and provides other benefits beyond familiarity with this technique. The present invention provides an apparatus and method for isothermally heating a work piece such as a semiconductor substrate or a wafer, for performing a process such as annealing, diffusion, or driving of a doped material, deposition or growth of a material layer, and material from a wafer Etched or removed. A heat treatment apparatus is provided for processing a substrate held on a carrier at a local or elevated temperature. The device includes a processing chamber having a top wall, a side wall, and a bottom wall, and a heat source, which has a plurality of heating elements close to the top wall, the side wall, and the bottom wall of the processing chamber to provide an isothermal environment in a processing zone. In which, the carrier is positioned to heat treat the substrate. According to one form, the size of the processing chamber is selected to surround a capacity that is substantially not greater than the capacity required to accommodate the carrier, and the processing belt extends substantially throughout the processing chamber. Preferably, this processing chamber has a capacity to be selected to encompass substantially no more than 125% of the capacity required to contain the carrier. More specifically, the device further includes a pumping system that evacuates the processing chamber before the processing pressure and a washing system that returns the processing chamber after the processing is completed, and the size of the processing chamber is selected to provide rapid evaporation and return Alas. According to another aspect of the present invention, the bottom wall of the processing chamber includes a movable shaft seat having at least -9- (6) (6) 200416773 a heating element therein, and the 'movable shaft seat is used for lowering and raising so that The carrier is provided with a substrate inserted and removed from the processing chamber. In one embodiment, the device further includes a removable thermal shield for inserting between the heating element in the shaft seat and the substrate held on the carrier. The heat shield reflects the heat energy from the heating element in the shaft seat back to the shaft seat, and protects the substrate on the carrier from the heat energy from the heating element in the shaft seat. In a version of this embodiment, the device further includes a shutter, which is used to move above the carrier to isolate the processing chamber when the shaft seat is in the lower position. This device includes a pumping system to evacuate the processing chamber, and a shutter can be used to seal the processing chamber, thereby causing the pumping system to evacuate the processing chamber when the shaft seat is tied to the lower position. In another embodiment, the device further includes a magnetic auxiliary repositioning system 'which repositions the carrier during the heat treatment of the substrate. Preferably, the mechanical energy used to reposition the carrier is magnetically coupled to the carrier via a shaft seat, without the need to use a movable feedthrough to enter the processing chamber, and substantially without moving a heating element in the shaft seat. More preferably, the magnetic coupling repositioning system is a magnetic coupling rotation system, and the rotation carrier thereof is in the processing belt during the heat treatment of the substrate. According to another aspect of the present invention, the device further includes a gasket that separates the carrier from the top wall and the side wall of the processing chamber, and a distributed or cross-flow injector system for guiding a fluid flow across the holding carrier The surface of each of the substrates. A cross-flow syringe system generally includes a cross-flow syringe having a plurality of injector ports positioned relative to a substrate held on a carrier, and 'through this injector port, this liquid is directed to one side of the plurality of substrates -10- ( 7) (7) 200416773. Several discharge ports of the spacer positioned relative to the substrate held on the carrier cause this liquid to flow through the surface of the substrate. The liquid guided by the cross-flow injector system may include process, gas, or steam, as well as inert cleaning gas or steam used in cleaning or recirculating this chamber or the substrate used to cool it. [Embodiments] The present invention is directed to a device and method for processing a relatively small or small batch of one or more work pieces, such as a semiconductor substrate or wafer, which is held in a carrier, such as a crystal box or wafer boat. The invention provides reduced number of processing cycles and improved process consistency. As used herein, the term "small batch" means the number of wafers of less than several hundred wafers in a typical batch system, preferably in the range of 1 to about 53 semiconductor wafers or wafers In this range, 1 to 50 wafers are product wafers and the remaining wafers are non-product wafers used for monitoring purposes and as spacer wafers. Heat treatment refers to the process of heating a work piece or wafer to a desired temperature, which is usually in the range of about 350 ° C to 130 ° C. Heat treatment of semiconductor wafers may include, for example, heat treatment, annealing, diffusion or promotion of doped materials, deposition or growth of material layers, such as chemical vapor deposition, and etching or removal of materials from the wafer. A heat treatment apparatus according to an embodiment described with reference to FIG. 1 will now be described. For the sake of brevity, details of the heat treatment apparatus familiar to those skilled in the art will be omitted here. These details are described in more detail in, for example, commonly assigned USP 4770590, which is incorporated herein by reference. -11-(8) (8) 200416773 Fig. 1 is a cross-sectional view of an embodiment of a heat treatment apparatus for heat treating a batch of semiconductor wafers. As shown, the heat treatment apparatus 100 generally includes a container 101 surrounding a volume forming a processing chamber 102 having a support 104 for receiving a carrier or wafer boat 106, of which a batch of wafers 108 is held therein; and The heat source or furnace 110 has a plurality of heating elements 1121-1, 1121-2, and 1121-3 (hereinafter referred to as heating elements 112) for raising the temperature of the wafer to a desired temperature for heat treatment. The heat treatment device 100 further includes one or more optical or electrical temperature sensing elements such as a resistance temperature mechanism (RTD) or a thermocouple (T / C) to monitor the temperature in the processing chamber 102 and / or control the heating element 1 1 2 operations. In the illustrated embodiment, the temperature sensing element is a temperature distribution T / C1 14, which has a plurality of independent temperature sensing nodes or points (not shown) for detecting temperatures at multiple locations in the processing chamber 102. The heat treatment apparatus 100 may also include one or more ejectors 1 1 6 (only one is shown) for introducing a fluid such as a gas or steam into the processing chamber 102 for processing and / or cooling the wafer 108, and one or more More cleaning ports or through holes 1 1 8 (only one shown) for introducing gas to clean the processing chamber and / or cool the wafer. The gasket 1 2 0 increases the concentration of the processing gas or vapor close to the wafer 008 in the area or the processing zone 1 2 8 of the processed wafer, and reduces the wafer from peeling off or contamination by peeling off the deposit. This deposition Objects may be formed on the inner surface of the processing chamber 102. The process gas or vapor leaves the processing zone through an exhaust port or tank 1 2 1 in the chamber liner 1 2 0. Some other structures, manufacturing techniques and materials suitable for the syringe 1 1 6 are described in more detail in the case entitled "Apparatus and Method f〇r Backfilling a Semiconductor Wafer Process Chamber" -12- (9) (9) 200416773 The assigned PCT patent application is incorporated herein by reference. Generally, the container 101 is sealed to the platform or the base plate 124 by a seal such as an O-ring 122 to form a processing chamber 102. The processing chamber 102 completely closes the wafer 108. The dimensions of the processing chamber 102 and the base plate 124 during heat treatment The system was selected to provide rapid evaporation, rapid heating, and rapid recovery of the processing chamber. Advantageously, the container 101 and the base plate 1 24 are customized to provide a processing chamber 102 of a size selected to close a volume that is substantially not greater than 0 to accommodate a wafer having a wafer held therein 108. Demand for carrier 丨 06. Preferably, the container 101 and the base plate 1 24 are customized to provide a processing having a size of about 125 to 150% required for a carrier having a wafer i 08 held therein. The chamber 102, more preferably, the processing chamber has a size not larger than about 125% of the volume required to accommodate the carrier and wafers, in order to minimize the volume of air pumping and recirculation required for assistance. The opening of the injector 16, the T / C1 14, and the through hole 1 18 are sealed using seals such as O-rings, VCR®, or CF® installations. The gas or steam released or introduced during processing is evaporated through the duct or exhaust port 126 before the ventilation system 1 2 7 formed in the wall or base plate 1 2 4 of the processing chamber 10 (not shown), as shown in the figure. 1 is shown. The processing chamber 102 can be maintained at atmospheric pressure during the heat treatment, or evaporated to a vacuum as low as 5 mTorr via a pumping system (not shown). The pumping includes one or more roughing pumps, blowers, high vacuum pumps and Roughing, throttling and front pipe valves. In another embodiment shown in FIG. 2, the base plate! 2 4 also includes a solid annular flow channel 1 2 9 for receiving and supporting the ejector including the ring 1 3 1-13- (10) (10) 200416773 1 1 6 and the ejector 1 1 6 depends on several vertical jets Tube or injector π 6 A. The injector 1 1 6 A can be customized and shaped to provide an up-flow, down-flow or cross-flow flow pattern, as described below. The ring 1 3 1 and the ejector 1 1 6 A are arranged so that the gas is injected into the processing chamber 10 2 between the wafer boat 106 and the container 101. In addition, the ejectors 1 1 6 A are spaced around the ring 1 3 1 so that the processing gas or steam is evenly introduced into the processing chamber 10 2 and, if necessary, can be used for cleaning or backwashing. The scrub gas is introduced into the processing chamber. The base plate 124 is a short cylindrical shape having an upper flange 1 3 3, a side wall 1 3 5 extending outward, and a base 1 3 7 extending inwardly. The upper flange 1 3 3 is used to receive and support the container 101, and includes an O-ring 1 22 to seal the container to the upper flange. The base 1 3 7 is used to receive and support the gasket 1 2 0 on the outer side of the ring 1 3 1 of the supported injector 1 1 6. Furthermore, the base plate 1 24 shown in FIG. 2 is combined with various ports, which include return / wash gas inlet ports 139, 143, cooling ports 145, 147 for circulating cooling fluid in the base plate 124, and monitoring ports. The pressure monitoring port 149 of the pressure in the processing chamber 102. The process gas inlets 151, 161 direct a gas from a supply source (not shown) to the ejector 1 1 6. The return / cleaning gas inlets 1 3 9 and 1 4 3 are provided on the side wall 1 2 5 of the base plate 1 2 4 and mainly introduce a gas from the ventilation / cleaning gas supply (not shown) into the through hole 1 1 8. A mass flow controller (not shown) or any other suitable flow controller is arranged in rows between the gas supply and the ports 1 3 9, 1, 43, 1 5 1 and 1 6 1 to control the flow of gas into the processing chamber. 1 02. Container 1 〇1 and gasket 1 20 can be made of any metal, ceramic, crystal or glass material, this material can withstand the heat of high temperature and high vacuum operation and -14- (11) (11) 200416773 mechanical resistance, and resist Erosion from glass and steam used or released during processing. Preferably, the container 1 0 1. And gasket 120 is made of opaque, translucent or transparent quartz glass with a sufficient thickness to withstand mechanical stress and resist deposition of by-products from the process, thereby reducing potential pollution of the processing environment. More preferably, the container 101 and the spacer 120 are made of quartz, and the quartz reduces or eliminates heat transfer from the area 108 or the processing belt 1 28 of the processed wafer. This batch of wafers 108 is introduced into the heat treatment apparatus 100 through a loading gate or a loading port (not shown), and then enters the processing chamber 102 through an inlet or an opening in the processing chamber or the base plate 124. Sealed tightly. In the structure shown in FIG. 1, the processing chamber 1 02 is a vertical reactor, and this entrance uses a movable shaft seat 130, which is raised during processing and has a shape such as 0. The ring 1 3 2 is hermetically sealed on the base plate 1 2 4 and is lowered to allow an operator such as a boat handling unit (b Hu) (not shown) or an automated control system to position the carrier or boat 1 0 6 At the support 104 attached to this shaft seat. The heating element 11 2 includes a top 1 3 4 (element 1 1 2-3) side 1 3 6 (element 1 1 2-2) and a bottom 1 3 8 (element 1 1 2 -1) positioned close to the processing chamber 1 〇2. ) Components. Effectively, the heating element 1 12 surrounds the wafer to achieve a good viewing element of the wafer, so an isothermally controlled volume or processing strip 1 2 8 is provided in the processing chamber of the processed wafer 108. The heating element 1 1 2-1 which is close to the bottom portion 1 38 of the processing chamber 1 02 can be arranged in or on the shaft seat 130. If desired, additional heating elements can be arranged in or on the base plate 1 2 4 to replenish heat from the self-heating elements 1 1 2 -1. -15- (12) (12) 200416773 In the embodiment shown in FIG. 1, the heating element 112-1 near the bottom of the processing chamber is preferably recessed into the movable shaft seat 130. The shaft seat 130 is made of a thermal and electronic insulating material or an insulating block 1 40, which has a resistance heating element 112-1 inserted into or attached thereto. Shaft base 130 additionally includes one or more feedback sensors or T / C 1 1 4 used to control heating elements 1 1 2-1. In the architecture shown, T / C 1 4 1 is inserted into the center of the insulating block 1 4 0. The side heating element 112-2 and the upper heating element 112-3 may be arranged in or on the insulating block 110 near the container 101. Preferably, the side heating element 112-2 and the upper heating element 112-3 are recessed in the insulating block 110. The heating element 1 12 and the insulating blocks 1 10 and 140 can be constructed in any manner, and can be manufactured in any manner and with any material. Some suitable architectures, manufacturing techniques, and materials are familiar with this technique, and others are described in the PCT patent application named "Variable Heater Element For Low To High Temperature Ranges". This case is related to our firm. Case number FP-71795-PC was filed on the same day and is incorporated herein by reference. Preferably, in order to obtain a desired processing temperature as high as 115 ° C, the heating element 112-1 near the bottom 138 of the processing chamber 102 has a temperature of about 0.1. Maximum power output from lkW to 10kW, and a maximum processing temperature of at least 1150 ° C. In particular, these lower heating elements 1 1 2 -1 have a power output of at least about 3 · 8 k W, and a maximum processing temperature of at least 95 ° C. In one embodiment, the side heating element 1 1 2-2 is functionally divided into a plurality of belts, which includes a lower belt and an upper belt closest to the shaft seat 1 3 0, and each belt can be from the upper heating element 1 1 2-3 and The lower heating elements 1 1 2 -1 operate independently of each other at different power levels -16- (13) (13) 200416773 level and duty cycle. The heating element 1 1 2 is controlled in any suitable manner. The others are described in the PCT patent application named "Feed Forward Temperature Co. troller", which is related to our case number FP-71754- The PC filed an application on the same day and is incorporated herein by reference. If it is not removed, the pollution from the insulating block 1 40 and the lower heating element 1 1 2 -1 is reduced by accommodating the heating element and the insulating block in an inverted quartz crucible 4 2 'Quartz crucible 1 42 as a heating element and A barrier between the insulating block and the processing chamber 0 102. The crucible 142 is also sealed against the loading port and the BHU environment to further reduce or remove pollution from the processing environment. In general, the interior of the crucible 142 is at a standard atmospheric pressure, so that the crucible 42 should be strong enough to withstand the pressure difference between the processing chamber 102 and the shaft seat 130 in the entire crucible 142 at atmospheric pressure. When the wafer 108 is loaded or unloaded, that is, the shaft seat 30 is located in the lowered position (Figure 3), and the lower heating element n 2 -1 is activated to maintain a no-load below the desired processing temperature. temperature. For example, for a process with a desired heating temperature of a heating element of 950 ° C, the no-load temperature may be 50 to 150 degrees. The no-load temperature can be set higher for a specific process, such as a process with a high desired processing temperature and / or a high desired heating rate, or reduced thermal cycling efficiency on the lower heating element 1 1 2-1, Therefore, the component life is extended. In order to further reduce the pretreatment time, this time is the time required to prepare a heat treatment device for processing 100, and lower the heating element; [丨 2 -1 can be heated to the desired process temperature or below when pushing or loading, That is, when the wafer boat 106 having the wafer-17- (14) (14) 200416773 108 is positioned on the shaft seat 130 is rising. However, in order to minimize the thermal stress on the components of the wafer 108 and the heat treatment device 100, it is preferable to arrange the proximity processing chambers respectively at the heating elements 1 · 1 · 2-3 and 1 1 2-2 At the same time as the top portion 1 3 4 of the 0 2 and the side portion 1 3 6, the lower heating element 1 1 2 -1 is brought to the desired process temperature. Therefore, for certain processes, such as those that require a high desired process temperature, the temperature of the lower heating element 1 12-1 may rise before the shaft seat 130 rises, and the last one in the batch at the same time Wafer 108 is being loaded. Similarly, it will be appreciated that after processing and during the pulling or unloading cycle, that is, when the shaft seat 1 2 8 is descending, the power to the lower heating element U 2-1 can be reduced or completely removed so that the shaft The seat 130 is cooled to the no-load temperature 'in the preparation for cooling the wafer 108 and the unloading by the BHU. The auxiliary seat cooling 130 is used for auxiliary cooling before the conventional pushing or unloading cycle. The purging line or an inert purging, such as nitrogen, is installed via the insulating block 1 40. Preferably, the nitrogen gas is injected through the channel 1 44 through the center of the insulating block 40, and is allowed to flow between the upper part of the insulating block 1 40 and the interior of the iff ^ 1 42 to its surroundings. The hot nitrogen is then passed through a high efficiency pellet (HEPA) filter (not shown) or to the factory exhaust system (not shown). This center-injection architecture facilitates faster cold valleys at the center of the wafer 108, and therefore ideally minimizes the center / edge difference of the bottom wafer of the wafer. This may result in different ways due to the crystal lattice Damage to the sliding dislocation of the structure. As mentioned above, in order to increase or extend the life of the lower heating element 1 1 2-1, -18- (15) (15) 200416773 can be set higher and closer to the desired processing temperature to reduce the effect of thermal cycling. Furthermore, it is also desirable to periodically bake the heating element 1 1 2-1 in an oxygen-enriched environment to facilitate the formation of a protective oxidized surface coating. For example, in the case of a heat-resistant element formed of an aluminum-containing alloy such as Kanthal®, the baking heating element 2-1 is grown in an oxygen-enriched environment into an alumina oxide surface. Therefore, the insulating block 140 may further include an oxygen line (not shown) to facilitate the formation of a protective oxidized surface coating layer during the baking of the heating element 1 2-1. Alternatively, the oxygen for baking may be introduced through a rinsing line used during processing to supply cooling nitrogen through a three-way valve. Fig. 3 is a cross-sectional view of a part of a heat treatment apparatus 100. Fig. 3 shows the heat treatment device 100 when the wafer 108 is being loaded or unloaded, that is, the shaft seat 130 is in a lower position. In this mode of operation, the heat treatment apparatus 100 further includes a thermal shield 146, which can be rotated or slid into the shaft seat] 30 and the lower wafer 108 in the wafer boat 106. In order to improve the performance of the thermal shielding 1 4 6, the thermal shielding is usually reflected on the side facing the heating element n 2 _ ′ and absorbed on the side facing the wafer 108. The purpose of falling down to the wafer boat 106 is to increase the cooling rate of the wafer 108 falling to the wafer boat 106, and to help maintain the no-load temperature of the shaft seat 130 and the lower heating element 1 12-1, This reduces the time required to increase the temperature in the processing chamber 102 to the desired processing temperature. An embodiment of a heat treatment apparatus having a heat shield will now be described in more detail with reference to Figs. Fig. 3 also shows an embodiment of a heat treatment apparatus 100 having a shaft seat heating element 112-1 and a heat shield 146. In the embodiment shown here, the thermal shield -19- (16) (16) 200416773 146 is attached to the rotatable shaft 150 via the arm M8, and the rotatable shaft 150 is actuated by an electric, pneumatic or hydraulic The device is turned to turn the heat shield 1 4 6 into the heated shaft seat 丨 3 〇 and the crystal boat! The lowest wafer in 〇 丨 the first position between 〇 08 is during the pull or unload cycle, and the bottom of the wafer boat 〇 06 is just before entering the chamber 102 and removed or rotated until it is not in the shaft seat and the crystal The second position between the circles is during at least one last part or end of the push or load cycle. Preferably, the rotatable shaft 150 is mounted on or attached to a machine (not shown) used for raising and lowering the shaft seat 130, so when the processing chamber 102 is removed from the top of the shaft seat, The heat shield 46 is rotated into position. Positioning the heat shield 146 during the load cycle enables the heating element ιυ ″ to be heated to a desired temperature, which is faster than otherwise. Similarly, during the unloading cycle, the thermal shielding 1 4 6 by reflecting the heat radiated from the shaft seat heating element η 2 helps to cool the wafer, especially the wafer closer to the shaft seat. Alternatively, the rotatable shaft 150 can be mounted on or attached to another part of the heat treatment device 100, and it is adapted to move axially synchronously with the shaft seat 130, or 'only when the shaft seat is completely When descending, turn the heat shield 1 4 6 into position. FIG. 4 is a schematic diagram of the shaft seat heating element of FIG. 3] 1 2-1, which illustrates the reflection of thermal energy or heat radiation from the lower heating element back to the shaft seat 130, and from a batch or stack of wafers The absorption of thermal energy or thermal radiation of the lower wafer 108. It has been determined that the desired characteristics, high reflectivity and high absorbency can be obtained using several different materials, such as metal, ceramic, glass or polymer coatings, or combinations thereof. By way of example, the following table lists various suitable materials and corresponding parameters. -20- 200416773 (17) Table 1 Material Absorptive Reflective Stainless steel 0. 2 0. 8 Opaque quartz 0. 5 0. 5 Polished β Lu 0. 03 0. 97 Silicon Carbide 0. 9 0. 1 According to one embodiment, the heat shield 1 46 may be made from a single material such as carbide (SiC), opaque quartz, or stainless steel, which has been polished on one side and worn, honed, or roughened on the other . Roughing the surface of the heat shield 1 4 6 can significantly change its heat transfer characteristics, especially its reflectivity. In another embodiment, the heat shield 1 4 6 can be made of two different materials. FIG. 5 is a schematic diagram of a heat shield 146, which has an upper layer 1 5 2 of a material such as siC or opaque quartz, has high absorptivity, and a lower layer of a metal or material such as polished stainless steel or polished inscription. 5 4 has high reflectivity . Although, as shown with approximately equal thickness, it will be appreciated that due to the difference in thermal expansion coefficients, depending on the conditions of thermal shielding 1 4 6 such as minimizing thermal stress between layers, the upper layer 152 or the lower layer 154 may have a relative Greater thickness. For example, in some embodiments, the lower layer 5 4 may be a very thin layer or film of polished metal that is deposited, formed, or plated on a quartz plate, which forms the upper layer 15 2. Such materials may be integrally formed or interlocked or knotted by a conventional mechanism such as a joint or fastener. In another embodiment, the thermal shield 1 4 6 further includes an internal cooling channel -21.  (18) (18) 200416773 156, which further insulates the wafer 108 from the lower heating element 112-1. In a version of this embodiment, as shown in FIG. 6, the internal cooling channel 156 is formed between two different material layers 152 and 154. For example, the internal cooling channels 156 may be formed on the highly absorbent opaque quartz layer 152 by a milling machine or any other suitable technique, and covered by a metal layer 154 or a coating such as a tin or aluminum coating. Alternatively, the internal cooling channel 156 may be formed in the metal layer H4 or both the metal layer 154 and the quartz layer 152. FIG. 7 is a perspective view of an embodiment of the thermal shielding assembly 153, which includes a thermal shielding 146, an arm 148, a rotatable shaft 1500, and an actuator 155. As shown in FIG. 8, the heat treatment device 100 further includes a shutter 1 58, which can be rotated or slid or otherwise moved into and positioned above the wafer boat 1.06. When the shaft seat 1 30 is located completely In the lowered position, the processing chamber 102 is isolated from the outside or the loading port environment. For example, when the pedestal 130 is in a lowered position, the shutter 15 8 can be slid into position above the wafer boat 106 and raised to isolate the processing chamber 102. Alternatively, when the pedestal 130 is in a lowered position, the shutter 15 8 can be rotated or swung into position above the wafer boat 106 and then raised to isolate the processing chamber 102. Optionally, the shutter 15 8 can be rotated around or relative to the bolt or rod. When swinging in and positioned above the wafer boat 106, the shutter is raised at the same time to isolate the processing chamber 102. The processing chamber 102 for normal operation under vacuum, such as in a CVD system, a shutter 15 8 may be placed next to the base plate 12 to form a vacuum seal, so that the processing chamber 102 is pumped to a processing pressure or vacuum. For example, 'may want to pump processing chamber 102 between successive batches of wafers to reduce or eliminate the possibility of contaminating the process environment. The formation of a vacuum seal is preferably accomplished with a large diameter seal such as a 0-22- (19) (19) 200416773 ring, and therefore, the shutter 1 58 may desirably include several water channels cooling the seal. 1 6 0. In the embodiment shown in Fig. 8, when the shaft seat 130 is in the raised position, the shutter 15 8 is sealed with the same O-ring 1 32 used to seal the crucible 1 42. The heat treatment device 130 for the normal operation of the processing chamber 102 at atmospheric pressure, and the shutter 15 8 is simply an insulating plug, which is designed to reduce heat loss from the bottom of the processing chamber. One embodiment to accomplish the above-mentioned purpose involves the use of an opaque quartz plate, which may or may not additionally include several cooling channels located below or inside it. When the pedestal 130 is in the fully lowered position, the ram 15 8 is moved in and positioned below the processing chamber 102 and then isolated by being raised by one or more electrical, hydraulic or pneumatic actuators (not shown). Processing room. Preferably, the actuator is a pneumatic actuator using about 15 to 60 (PSIG) air, and this actuator is generally available on a heat treatment device 1000 for operation of a pneumatic valve. For example, in the version of this embodiment, the shutter 1 58 may include a plate having a plurality of wheels, which are attached to both sides by short-closed arms or cantilevers of the wheels. During operation, this plate or shutter plate 1 5 8 rolls into the processing chamber 10 02 positioned on two parallel guide rails. Stop on the guide rail and then pivot the cantilever to convert the movement of the shutter 15 8 into an upward direction to seal the processing chamber 102. As shown in FIG. 9, the heat treatment device 100 further includes a magnetically coupled wafer rotation system 16 2. During processing, the magnetically coupled wafer rotation system 16 2 rotates to support 1.04 and the wafer boat 106 and supports it. On the wafer 1 0 8. The rotating wafer 1 08 improves the uniformity in the wafer (WIW) by averaging any non-uniformities in the heating element 1 12 and the process gas flow to produce a uniform (20) (20) 200416773 Uniform wafer temperature and special reaction temperature distribution. Generally, the wafer rotation system 16 is capable of rotating the wafer 108 at about 0.  Speeds from 1 to 10 revolutions per minute (RP M). The wafer rotation system 1 6 2 includes a drive assembly or a rotating machine 1 6 4 having a rotary motor 1 66 such as an electric or pneumatic motor, and a magnet 1 housed in a chemical-resistant container such as annealed polytetrafluoroethylene or stainless steel. 6 8. The steel ring 1 70 directly below the insulating block 1 40 of the shaft seat 1 40 and the driving shaft 1 72 having the insulating block transfer the rotational energy to another magnet 174 placed on the insulating block of the upper part of the shaft seat . The steel ring 170, the drive shaft 172, and the second magnet 1 74 are also filled with a chemical-resistant container compound. A magnet 174 disposed on the side of the shaft seat 30 is magnetically coupled with a steel ring or a magnet 176 via the crucible 142, and the magnet 176 is inserted into or attached to the support 104 in the processing chamber 102. Magnetically coupling the rotating machine 1 64 via the shaft seat 130 removes the need to place it in a processing environment or have a mechanical feedthrough, thus eliminating potential sources of leakage and contamination. Furthermore, the configuration of the rotating machine 1 64 on the outside and at some distance from the processing minimizes the maximum temperature of the exposure, thereby increasing the reliability and operating life of the wafer rotating system 1 62. In addition to the above, the wafer rotation system 16 may further include one or more sensors (not shown) to determine the proper position of the wafer boat 106 and the appropriate magnetic coupling to the steel ring in the processing chamber 102. Or between magnet 1 7 6 and magnet 1 74 in the shaft seat 1 30. Sensors that determine the relative position of the wafer boat 106 or wafer boat position confirmation sensor are particularly effective. In one embodiment, the boat position confirmation sensor includes a sensor protrusion (not shown) on the boat 106, and an optical or laser sensor is disposed under the base plate 124. Operation -24- (21) (21) 200416773 Operation time ′ After the wafer 108 has been processed, the shaft seat will be about 3 inches below the base plate 1 24. Here, the wafer rotation system 1 62 is instructed to rotate the wafer boat 106 until the wafer boat sensor convex portion can be seen. The wafer rotation system 16 is then operated to calibrate the wafer boat so that the wafer 8 can be unloaded. After this operation is completed, the wafer boat is lowered to the load / unload height. After the initial inspection, 'only # is enough to self-mark the sensor to confirm the wafer position. As shown in FIG. 10, the 'improved ejector 2 1 6 is preferably used for a heat treatment device 100. Ejector 2 1 6 is a distributed or cross (X) flow ejector 2 1 6-1, where the process gas or vapor is guided on the wafer 1 08 and the wafer boat 1 through the ejector opening or orifice 1 8 0 06 on one side, and in a laminar flow caused to flow through the surface of the wafer leaving the discharge port or slot 182 in the chamber tube 12 on the opposite side. The wafer uniformity within a batch of 108 is improved by providing an improved distribution of process gas or steam on an earlier J1 flow or downflow structure 'X-flow ejector 116-1. Therefore, the X-flow ejector 2 1 6 can be used for other purposes, including the injection of cooling gases (eg, helium 'nitrogen, hydrogen) for forced convection cooling between wafers 108. The use of X-flow injectors 2 1 6 results in more uneven cooling of wafers 108, regardless of whether they are stacked or batched down or up, and, compared to earlier upflow or downflow architectures, These wafers are arranged in the middle. Preferably, the orifice 1 180 of the ejector 2 1 6 is customized, shaped and positioned to provide a spray pattern 'This spray pattern promotes forced convective cooling between the wafers 108, so no cross crystal Large round temperature slope. FIG. 11 is a cross-sectional side view of a part of the heat treatment device 100 of FIG. 10, showing the syringe orifice 180 associated with the chamber liner 120 and the wafer-25- (22) (22) 200416773 1 The commentary part of the discharge tank 1 82 related to 08. Fig. 12 is a plan view of a portion of the heat treatment apparatus 100 along the line A-A of Fig. 10, which shows the orifices 1 8 0 from the primary and secondary syringes 1 8 4 ··, U 6 The laminar air flow of -1, 1 0 0-2 crosses one of the wafers 008 and goes to the discharge grooves 1 8 2 -1 and 1 8 2-2 according to an embodiment. It should be noted that the position of the discharge groove 18 2 shown in FIG. 10 has been displaced from this position of the discharge grooves 182-1 and 182-2 shown in FIG. 12 to allow the discharge groove and the ejector 1 to be explained. 1 6 -1 in a single cross-sectional view of the heat treatment apparatus. It should also be noted that the dimensions of the syringes 184, 186 and the discharge grooves 182-1 and 182-2 relative to the wafer 108 and the chamber liner 120 have been enlarged to more clearly illustrate the passage from the syringe to the discharge groove. Gas flow. As also shown in FIG. 12, the processing gas or steam is first separated from the wafer 108 and guided to the gasket 120, so that the processing gas or steam is mixed before reaching the wafer. The structures of orifices 180-1 and 180-2 are particularly effective for use in manufacturing processes or processes, where different reactants are drawn from each of the primary and secondary injectors 184, 186 to form a multi-component film or layer. FIG. 13 is another plan view of a portion of the heat treatment apparatus 100 along the line AA of FIG. 10, showing an alternative gas flow path from the orifices 1 8 0 of the primary and secondary syringes 184, 1 86, across Go through one of the wafers 108 and go to the discharge slot 1 82 according to another embodiment. FIG. 14 is another plan view of a portion of the heat treatment apparatus 100 along the line AA of FIG. 10, showing an alternative gas flow path from the orifices 1 8 0 of the primary and secondary injectors 184, 1 86, across Go through one of the wafers 108 and go to the discharge tank 1 82 ° -26- (23) (23) 200416773 according to another embodiment. Figure 15 is a heat treatment device 1 along the line AA of Figure 10 Another plan view of part 〇 showing alternative gas flow paths from orifices 18 and 18 of primary and secondary injectors 184, 18, across one of wafer 108's interpretations, and according to another Exhaust tank 1 8 2 of the embodiment. Figure 16 is a cross-sectional view of a 16-series heat treatment apparatus 100 having two or more up-flow injectors 1 16-1, 1 16-2 according to an alternative embodiment. In this embodiment, the processing gas or steam entering from the processing injectors 116-1 and 116-2 with respective outlet holes in the lower part of the processing chamber 10 upwards and across the wafer 108, and the consumption gas leaves the pad The discharge groove 1 8 2 in the upper part of the sheet 120. An up-flow syringe is also shown in FIG. Fig. 17 is a cross-sectional view of a heat treatment device 100 having a downflow syringe system according to an alternative embodiment. In this embodiment, the processing gas or steam entering the processing chamber 10 from the processing injectors 1 16-1 and 1 1 6-2 having the respective orifices in a high position, downward and across the wafer 108, and The exhaust gas leaves the exhaust groove 182 in the lower portion of the gasket 120. Advantageously, the syringes 116, 2 16 and / or the gaskets 120 can be quickly and easily replaced or exchanged with other syringes and gaskets, which have different positions for injection and discharge from the processing belt 128. Those skilled in the art will appreciate that the embodiment of the X-flow ejector 2 16 shown in FIG. 10 adds a degree of process flexibility by enabling the flow pattern in the processing chamber 10 Quickly and easily change from the cross-flow architecture shown in FIG. 10 to the up-flow architecture shown in FIGS. I and 16 or the down-flow architecture shown in FIG. 17. This can be achieved with the easy-to-install syringe combination 2 1 6 and gasket 1 20, and the process geometry can be switched from cross-flow to up-flow or down-flow. -27- (24) (24) 200416773 The injector 1 1 6, 2 1 6 and the gasket 丨 20 may be separate components, or the syringe may be integrally formed with the gasket as a single piece. The latter embodiment is particularly effective for applications that want to frequently change the architecture of the processing chamber 102. An explanation method or process for operating the heat treatment apparatus 100 will be described with reference to FIG. 18. Fig. 18 is a flow chart showing the steps of a method for heat treating a batch of wafers 108, wherein each wafer of the batch of wafers is rapidly and uniformly heated to a desired temperature. In this method, the shaft seat 1 3 0 is lowered, and the heat shield 146 moves into position, but the shaft seat 130 is lowered by the heat reflection from the lower heating element 1 1 2 -1 back to the shaft seat 1 3 0. Temperature and insulation of the finished wafer 108 (step 190). Optionally, the shutter 1 58 is moved into position to seal or isolate the processing chamber 1 02 (step 192), and power is applied to the heating elements 1 12-2, 1 12-3 to preheat the processing chamber 1 〇2 to or maintain an intermediate or no-load temperature (step 194). The carrier or wafer boat 106 containing the new wafer 108 is positioned on the shaft seat 130 (step 196). The shaft seat 1 3 0 is raised to position the wafer boat on the processing belt 128, but at the same time, the shutter 158 and the heat shield 146 are removed, and the heating element 1 1 2 _ 1 is heated under the temperature to preheat the wafer to an intermediate temperature (step 197). ). Preferably, the thermal shield 1 4 6 is removed before the wafer boat 106 is positioned on the processing belt 128. A flow system, such as a process gas or steam, is directed on one side of the wafer 108 via several injector ports 180 (step 198). This fluid flows from the injector port 180 across the surface of the wafer 108 to the discharge slot 182, which is positioned on the gasket 120 on the opposite side of the wafer from the injector port (step 199). Optionally, the wafer boat 106 can be rotated in the processing belt 1 2 8 during the heat treatment of this batch of wafers 108 to further enhance the uniformity of the heat treatment -28- (25) (25) 200416773 Mechanical energy is magnetically coupled to the carrier or wafer boat 106 via a shaft seat 130, which is repositioned during wafer processing (step 200). A method and processing of the heat treatment apparatus 100 according to another embodiment will now be described with reference to Figs. Fig. 19 shows steps of an embodiment of a method for heat treating a batch of wafers 108 in a carrier. In this method, the apparatus 100 is provided with a processing chamber 102 whose size and capacity are substantially not larger than those required to accommodate the carrier 106 with the wafer 108 held therein (without a guard heater). The shaft seat 130 is lowered, and the wafer boat 106 held by the wafer 108 is positioned thereon (step 202). The shaft seat 130 is raised to be inserted into the wafer boat in the processing chamber 102, but at the same time, the wafer 108 is preheated to an intermediate temperature (step 204). Power is applied to the heating elements 1 12-1, 1 12-2, 1 12-3, and each heating element is disposed close to at least one of the top 1 3 4, side 1 3 6 and bottom 1 3 8 of the processing chamber 102. To heat the processing chamber (step 206). Optionally, the power system of at least one of the heating elements is independently adjusted to provide a substantially isothermal environment at a desired temperature in the processing zone 1 2 in the processing zone 1 2 8 (step 2 0 8 ). When the wafer 108 has been thermally processed while maintaining a desired temperature on the processing belt 1 2 8, the shaft seat 130 is lowered and the heat shield 1 4 6 is moved into position to insulate the processed wafer! 〇 8 and reflect the heat from the lower heating element 1 12-1 back to the shaft seat 130 to maintain its temperature (step 2 1 0). And, optionally, the shutter 15 8 is moved into position to seal or isolate the processing chamber 1 02 and the electric power applied to the heating element 1 1 2-2, 1 1 2-3 while maintaining the temperature of the processing chamber (step 2 1 2). The wafer boat 1 06 is then removed from the shaft seat 1 3 G (step 2 1 4), and another wafer boat containing a new batch of wafers to be processed at -29- (26) (26) 200416773 is positioned. On the shaft seat (step 216). The shutter 158 is repositioned or removed (step 218), and the thermal shield is withdrawn or repositioned to preheat the wafer 108 in the wafer boat 106 to an intermediate temperature, but at the same time raise the shaft seat 130 to The wafer boat is inserted into the processing chamber 102 to heat-treat the new batch of wafers (step 220). It has been confirmed that the heat treatment device 1000 provided and operated as described above reduces processing or recycling by about 75% compared to the conventional system. For example, a conventional high-volume heat treatment device can process 1000 product wafers in about 232 minutes, which includes pre-processing and post-processing time. The heat treatment apparatus 100 of the present invention performs the same process on a small batch of 25 product wafers 108 in about 58 minutes. The foregoing descriptions of specific embodiments and examples of the present invention have been presented for the purposes of illustration and description, and although the present invention has been illustrated by some previous examples, it should not be construed as limiting. Such examples are not intended to be exhaustive or to limit the invention to the precise forms disclosed. Many modifications, improvements, and variations are possible within the scope of the invention in light of the above teachings. It is contemplated that the scope of the present invention encompasses the general areas described above, as well as those defined in the scope of patent applications and their equivalents. [Brief description of the drawings] After reading the following detailed description, the drawings provided below, and the scope of patent application, these and various other features and advantages of the present invention will become apparent. 'Among them, Fig. 1 is an embodiment of the present invention. A cross-sectional view of a heat treatment device having an isothermal control capacity using a conventional upwelling structure; -30- (27) (27) 200416773 Fig. 2 shows the base plate used in the heat treatment device shown in Fig. 1 Perspective view of an alternative embodiment; Figure I 3 is a cross-sectional view of a portion of a heat treatment device with a shaft heater and a heat shield according to an embodiment of the present invention; Figure 4 is a diagram of an embodiment according to the present invention 3 is a schematic diagram of a shaft heater and a heat shield; Η 5 is a schematic diagram of an embodiment of a heat shield according to the present invention, which has an upper layer of a highly absorbent material and a lower layer of a highly reflective material; FIG. 6 FIG. 7 is a perspective view of another embodiment of a heat shield with a cooling channel according to the present invention; FIG. 7 is a perspective view of an embodiment of a heat shield and an actuator according to the present invention; real An example is a cross-sectional view of a part of a heat treatment device having a shutter; W 9 is a cross-sectional view of a processing chamber having a shaft heater and a magnetically coupled wafer rotation system according to an embodiment of the present invention; FIG. 10 FIG. 11 is a cross-sectional side view of a part of the heat treatment apparatus of FIG. 10 according to the embodiment of the present invention. It shows the position of the injector orifice relative to the gasket and the exhaust groove relative to the wafer. FIG. 12 is a part of the heat treatment apparatus of FIG. 10 along line aA of FIG. 10 according to an embodiment of the present invention. -31-(28) (28) 200416773 flow from the orifices of the primary and secondary ejectors across the wafer and to the exhaust port; Figure 1 3 is another according to the present invention Example of a plan view of a portion of the thermal processing apparatus of FIG. 10 along line AA of FIG. 10, showing gas flow from the orifices of the primary and secondary injectors across a wafer and to the exhaust port Figure 14 is a diagram along another figure according to another embodiment of the present invention; AA is a plan view of a portion of the heat treatment apparatus of FIG. 10, showing gas flows from the orifices and exhaust ports of the primary and secondary injectors across a wafer; FIG. A plan view of a portion of the thermal processing apparatus of FIG. 10, taken along line AA of FIG. 10, according to an embodiment, showing the gas from the orifices of the primary and secondary injectors across a wafer and to the exhaust port Fig. 16 is a cross-sectional view of a heat treatment device with an alternative upflow ejector system according to an embodiment of the present invention; Fig. 17 is a heat treatment with an alternative downflow ejector system according to an embodiment of the present invention A cross-sectional view of the device; FIG. 18 is a flowchart showing an embodiment of a process for heat treating a batch of wafers according to an embodiment of the present invention, whereby each wafer of the batch of wafers is fast and uniform Heating to a desired temperature; and FIG. 19 is a flowchart showing an embodiment of a process for heat treating a batch of wafers according to another embodiment of the present invention, whereby each wafer of the batch Heat quickly and evenly to the desired temperature. -32- (29) (29) 200416773 [Explanation of Symbols] RTD Resistance Temperature Mechanism τ / c Thermocouple, BHU Wafer Control Unit HEPA High Efficiency Particle Air WIW In-wafer RPM Turn / Min 100 Heat Treatment Device 101 Container 102 Processing Room 104 Support 106 Wafer 108 Wafer 110 Insulation block 112 Heating element 116-1, 116-2 Upstream syringe

1 14 溫度分佈T/C 1 1 6 A 噴射器 118 通孔 120 墊片 12 1 排氣槽 122 Ο形環 124 底座板 126 排氣口 -33- (30) (30)200416773 127 通風系統 128 軸座 129 環形流通道 130 軸座 132 〇形環 133 上凸緣 134 頂部 135 側壁 136 側部 13 7 向內延伸的底座 138 底部 139、143 回塡/淸洗氣體進入口 140 軸座 14 0 絕緣塊1 14 Temperature distribution T / C 1 1 6 A Injector 118 Through hole 120 Gasket 12 1 Exhaust groove 122 O-ring 124 Base plate 126 Exhaust port -33- (30) (30) 200416773 127 Ventilation system 128 shaft Seat 129 Annular flow passage 130 Shaft seat 132 O-ring 133 Upper flange 134 Top 135 Side wall 136 Side 13 13 Inwardly extending base 138 Bottom 139, 143 Backwash / wash gas inlet 140 Shaft seat 14 0 Insulation block

141 T/C 142 石英坩堝 144 通道 145 ' 147 冷卻口 146 熱遮蔽 148 臂 1 49 壓力監視口 150 可旋轉軸 151、161 處理氣體進入口 152 上層 -34- (31) 200416773 15 3 熱遮蔽組合 154 下層 155 致動器 15 6 內部冷卻通道 158 閘板 160 水道 162 磁耦合晶圓旋轉系統141 T / C 142 Quartz Crucible 144 Channel 145 '147 Cooling port 146 Thermal shield 148 Arm 1 49 Pressure monitoring port 150 Rotatable shaft 151, 161 Process gas inlet 152 Upper-34- (31) 200416773 15 3 Thermal shield combination 154 Lower layer 155 Actuator 15 6 Internal cooling channel 158 Gate 160 Water channel 162 Magnetically coupled wafer rotation system

164 旋轉機械 166 旋轉馬達 168 磁鐵 17 0 鋼環 17 2 驅動軸 174 磁鐵 176 磁鐵 180-1、 180-2 孔口164 Rotating machinery 166 Rotating motor 168 Magnet 17 0 Steel ring 17 2 Drive shaft 174 Magnet 176 Magnet 180-1, 180-2 Orifice

1 80 孔□ 18 2 排出口或槽 18 4 主要注射器 186 次要注射器 216 噴射器 1121-1、112卜2及112卜3 加熱元件 -35-1 80 hole 18 2 Discharge port or slot 18 4 Primary syringe 186 Secondary syringe 216 Ejector 1121-1, 112 2 and 112 3 Heating element -35-

Claims (1)

(1) (1)200416773 拾、申請專利範圍 1. 一種用來熱處理固持於一載體中的數個基板之裝 置,該裝置包含: 一處理室,具有一頂壁、一側壁及包括一軸座的一底 壁; 一熱源,具有數個加熱元件用來熱處理該數個基板, 該數個加熱元件的每一者接近該處理室的頂壁、側壁及底 壁的至少一者,及該數個加熱元件的至少一者位於該軸座 中;及 一可移除熱遮蔽,適於插入於軸座中的該數個加熱元 件的該至少一者與固持於載體中的基板之間。 2 ·如申請專利範圍第1項之裝置,其中該熱遮蔽包 含面向固持於載體中的基板之第一表面,該第一表面具有 至少〇 · 5的吸收率。 3 ·如申請專利範圍第2項之裝置,其中該熱遮蔽包 含面向軸座之第二表面,該第二表面具有至少0.8的反射 率 〇 4 ·如申請專利範圍第3項之裝置,其中該熱遮蔽另 包含該第一及該第二表面間之冷卻通道。 5 ·如申請專利範圍第1項之裝置,其中該熱遮蔽包 # ~反射表面及一吸收表面,其包含選自以下群組中的材 料: 不鏽鋼; 石英; -36- (2) (2)200416773 鋁;及 碳化矽。 6.如申請專利範圍第1項之裝置,其中該熱遮蔽包 含不鏽鋼,其具有面向軸座之拋光的反射表面及面向載體 上的基板之未拋光的吸收表面。 7 . —種用來熱處理數個基板之裝置,包含: 一熱處理室; 一軸座,具有相對於該處理室的開啓位置、相對於處 理室的關閉位置及該開啓及關閉位置間之變化位置; 一分佈的熱源,用來建立實質均勻的熱遍及該處理室 內的處理帶,其中該軸座位於關閉位置; 一熱遮蔽;及 一定位器,連接至該熱遮蔽,至少在該軸座位於變化 位置時,用來可移除地定位該熱遮蔽在軸座及處理室之 8. —種用來熱處理固持於一載體中的數個基板之裝 置,該裝置包含= 一處理室,具有一頂壁、一側壁及一底壁; 一熱源,具有數個加熱元件用來熱處理該數個基板, 該數個加熱元件的每一者接近該處理室的頂壁、側壁及底 壁的至少一者,及 一磁性親合的重定位系統,其重定位具有固持於其中 的該數個基板之載體於該數個基板的熱處理期間,其中用 來重定位該載體之機械能量係經由該底壁而磁性地耦合至 -37- (3) (3)200416773 該載體。 9.如申請專利範圍第8項之裝置,其中該底壁包括 一可移動軸座,其具有該數個加熱元件的至少一者於其 中,且,其中用來重定位載體的機械能量係經由該可移動 軸座實質地磁性耦合,而不會移動該可移動軸座中之該數 個加熱元件的該至少一者。 10·如申請專利範圍第8項之裝置,其中該磁性耦合 的重定位系統適於旋轉具有固持其中的該數個基板之載體 於該數個基板的熱處理期間。 11 ·如申請專利範圍第1 〇項之裝置,其中該磁性耦 合的重定位系統適合在0.1轉/分(RPM )至10轉/分的速 度下旋轉該載體。 12.如申請專利範圍第8項之裝置,其中該磁性輔合 的重定位系統適於振盪該載體。 1 3 .如申請專利範圍第8項之裝置,其中該載體包含 一磁性構件’用來重定位該載體之機械能量係經由底壁而 磁性耦合至磁性構件。 14*如申請專利範圍第8項之裝置,另包含一支撐, 該載體係定位在處理室中的該支撐上,且,其中該支撐包 t - Ϊ茲1生_件’用來重定位該載體之機械能量係經由底壁 而性耦合至磁性構件。 Ϊ 5 .如申請專利範圍第8項之裝置,其中用來重定位 該®體之機械能量係經由底壁而磁性地耦合至載體,而未 利用一可移動饋通進入處理室。 -38- (4) (4)200416773 1 6 . —種用於熱處理數個基板之裝置,包含: .一處理室外殼’界定一熱處理室於其內; 一載體支撐’配置於處理室中’用來支撐容納數個基 板之該載體於熱處理期間; 一分佈的熱源,用來建立實質均勻的熱遍及處理室內 的處理帶於熱處理期間;及 一重定位系統,經由處理室外殼而磁性地耦合至載體 支撐,用來重定位載體支撐於熱處理期間’其中基板係重 定位於處理帶中。 17. —種用於熱處理數個基板之裝置,包含: 一處理室,具有一頂壁、一側壁及包括一可移動軸座 的一底壁,一可移動軸座用來下降及上升以致使具有固持 於其中的數個基板之載體插入及移除自該處理室; 一熱源,具有數個加熱元件接近至處理室以熱處理該 數個基板,該數個加熱元件的至少一者位於可移動軸座 中;及 一閘板,用來移入定位於載體上方,當軸座位於下降 位置時,用來隔絕該處理室。 1 8 .如申請專利範圍第1 7項之裝置,另包含一泵送 系統,在處理之前用來蒸發處理室,且,其中該閘板用來 密封處理室,.當軸座位於下降位置時,致使該泵送系統蒸 發處理室。 1 9.如申請專利範圍第1 7項之裝置,其中該閘板包 含一冷卻通道。 -39- (5) (5)200416773 20.如申請專利範圍第1 7項之裝置,其中當軸座位 於下降位置時,閘板用來擺入定位於載體上方,且,上升 來隔絕谑理室。 2 1·如申請專利範圍第1 7項之裝置,其中當軸座位 於下降位置時,閘板用來滑入定位於載體上方,且,上升 來隔絕處理室。 22· —種用於用來熱處理數個基板之裝置,包含: 一處理室外殻,界定一處理室於其內; 一閘板,配置在該處理室外殻上; 一軸座,具有相對於該處理室的開啓位置、相對於處 理室的關閉位置及該開啓及關閉位置間之變化位置; 一分佈的熱源,用來建立實質均勻的熱遍及該處理室 內的處理帶,其中該軸座位於關閉位置;及 一致動器,耦合至閘板,當軸座位於關閉及變化位置 時用來開啓該閘板,以及,當軸座位於開啓位置時,用以 關閉閘板。 2 3 . —種用來熱處理固持於一載體中的數個_板之裝 置,該裝置包含: 一處理室,具有一頂壁、一側壁及一底壁; 一熱源,具有數個加熱元件用來熱處理該數個基板, 該數個加熱元件的每一者接近該處理室的頂壁、側壁及底 壁的至少一者; 一墊片,使具有固持於其中的數個基板的載鹪與處理 室的頂壁及側壁分離;及 -40- (6) 200416773 一交叉流注射器系統,導引一流體 每一者的表面,該交叉流注射器系統包 一交叉流注射器,具有數個注射器 的數個基板而定位,且,一流體係經由 在該數個基板的一側上;及 數個排出口,位於墊片中,該排出 的數個基板而定位,以致使該流體導引 表面。 24·如申請專利範圍第23項之裝 流過該數個基板的每一者的表面之前, 定位來對著墊片導引該流體流。 25.如申請專利範圍第23項之裝 注射器包含一第一注射器及一第二注射 射器口相對固持於載體的數個基板而定 2 6 .如申請專利範圍第2 5項之裝 流過該數個基板的每一者的表面之前, 二注射器的該數個注射器口係定位來對 體流, 藉此,該流體中由該第一注射器及 之反應物係在該流體流過該數個基板的 而混合的。 2 7 .如申請專利範圍第2 5項之裝 射器及第二注射器的該數個注射器口係 該第二注射器口之前,導引來自該第一 流跨過數個基板的 括: 口相對固持於載體 交叉流注射器導引 口相對固持於載體 流過該數個基板的 置,其中在該流體 該數個注射器口係 置,其中該交叉流 器,各具有數個注 位。 置,其中在該流體 該第一注射器及第 著墊片而導引該流 第二注射器所導引 每一者的表面之前 置,其中該第一注 相對定位,在朝向 注射器的數個注射 -41 - (7) (7)200416773 器口之流體流,且,在朝向第一注射器口之前,導引來自 該第二注射器的數個注射器口之流體流, 藉此,該流體中由該第一注射器及第二注射器所導引 之反應物係在該流體流過該數個基板的每一者的表面之前 而混合的。 28. —種用於熱處理數個基板之裝置,包含: 一處理室外殼,界定一熱處理室於其內; 一分佈的熱源,用來建立實質均勻的熱遍及處理室內 的處理帶於熱處理期間; 一氣體注射器,具有數個氣體注射器口大致配置接近 處理帶;及 一氣體排出器,具有數個氣體排出口大致配置接近處 理帶,其與跨過處理帶的氣體注射器口相對。 上升該軸座以使具有固持於其中的數個基板的載體插 入該處理帶。 . 2 9. —種在一處理室的處理帶內熱處理固持在載體上 的數個基板之方法,該處理室具有一頂壁、一側壁及一底 壁,該方法包含以下步驟: 自具有數個加熱元件之熱源而加熱該處理帶,該數個 加熱元件的每一者配置接近處理室的頂壁、側壁及底壁的 至少一者; 將具有數個基板固持於其中的載體插入該處理帶;及 經由數個注射器口而將一流體導引在數個基板的一側 上,該數個注射器口相對固持於載體的數個基板而定位; • 42- (8) (8)200416773 及 使該流體自該數個注射器口流過該數個基板的表面而 至一墊片中的數個排出口,具有數個基板固持於其中的載 體與該處理室的頂壁及側壁分離,該排出口相對固持於載 體中的數個基板而定位。 30.如申請專利範圍第2 9項之方法,其中該處理室 的底部包含一軸座,其具有數個加熱元件的至少一者,該 軸座用來下降及上升以致使載體中的該批插入該處理室, 其中將具有數個基板固持於其中的載體插入處理帶的步驟 包含以下步驟·· 將該載體定位在該軸座上;及 上升該軸座以使具有固持於其中的數個基板的載體插 入該處理帶。 3 1.如申請專利範圍第3 0項之方法,其中上升該軸 Μ以使具有固持於其中的數個基板的載體插入該處理帶的 &驟,包含同步預加熱該載體中的數個基板至一中間溫度 的步驟。 3 2.如申請專利範圍第3 0項之方法,其中該軸座包 # ^可移除遮蔽,其能夠使來自該數個加熱元件的至少一 胃的熱反射回該軸座以保持其溫度,且,其中該方法另包 下步驟:在具有固持於其中的數個基板的載體插入處 S窆之前,將該可移除遮蔽移入來自該數個加熱元件的至 >〜者的熱反射回軸座之位置,以保持其溫度。 3 3 ·如申請專利範圍第3 0項之方法,其中該裝置另 -43- (9) (9)200416773 包含一閘板,當軸座位於下降位置時,用來移入位於載體 上方以隔絕該處理室,且其中該方法另包含當軸座位於下 降位置時,移動該閘板以隔絕該處理室並保持其溫度的步 驟。 3 4.如申請專利範圍第3 0項之方法,其中該裝置另 包含一磁性耦I合的重定位系統,其用來重定位具有數個基 板固持於其中的載體於該數個基板的熱處理期間,且,其 中該方法另包含經由軸座將機械能量磁性地耦合至載體, φ 以重定位載體於數個基板的熱處理期間的步驟,無需利用 一可移動饋通進入該處理室,且實質上無需移動將該數個 加熱元件的至少一者移入軸座。 數個基板,固持在一處理室的處理帶內的載體上,該 處理室具有一頂壁、一側壁及一底壁。 3 5 . —種重新架構於一處理室的處理帶內來熱處理固 持在一載體上的數個基板的裝置的方法,該處理室由一處 理容器及一底座板所界定,該裝置另包括第一噴射器,其 φ 具有至少一噴射器口定位於相對固持在載體上的數個基板 之第一位置,一流體係經由該噴射器口導引來處理該數個 基板,及一第一墊片,該至少一噴射器及具有數個基板固 持於其中的載體與處理容器分離,該墊片具有至少一排出 口定位於相對固持在載體上的該數個基板之第一位置,該 方法包含以下步驟: 分離處理容器與底座板; 自處理室移除第一噴射器; -44 - (10) (10)200416773 自處理室移除第一墊片; 安裝具有至少一排出口的第二墊片於處理室中; 安裝具有至少一噴射器口的第二噴射器於處理室中;: 及 其中除了第一噴射器及第二墊片之外,該第二噴射器 及第二墊片具有至少一噴射器口及排出口定位於相對固持 在載體上的數個基板之不同位置。 36.如申請專利範圍第3 5項之方法,其中第一噴射 器係與第一墊片整體形成的,且,其中自處理室移除第一 噴射器的步驟亦包含自處理室移除第一墊片的步驟。 3 7·如申請專利範圍第3 5項之方法,其中該第二噴 射器係與第二墊片整體形成的,且,其中安裝第二噴射器 於處理室的步驟亦包含安裝第二墊片於處理室的步驟。 3 8.如申請專利範圍第3 5項之方法,其中安裝第二 噴射器於處理室及安裝第二墊片於處理室的步驟,包含安 裝第二噴射器於處理室及安裝第二墊片於處理室的步驟, 以提供選擇自以下群組的流動圖案: 向上流; 向下流;及 交叉流。 3 9· —種用來熱處理固持於載體中的處理帶內的數個 基板之裝置,具有固持於其中的該基板的載體具有一預定 的外形及容量,包含: 一處理室外殼,其內部界定一熱處理室,且,處理帶 -45- (11) (11)200416773 係容納於該處理室內;及 一熱源,實質地分佈遍及處理室外殻的內部,用來建 立一實質等溫環境於處理帶; 其中該處理室內部係與該預定的外形大致符合;及 其中該處理室具有與該預定的容量之大致等量的容 量。 40. —種用來熱處理固持於載體中的數個基板之裝 置,該裝置包含= 一處理室,具有一頂壁、一側壁及一底壁; 一熱源,具有數個加熱元件接近處理室的頂壁、側壁 及底壁,以提供一實質等溫環境於一處理帶,其中具有固 持於其中的數個基板的載體係定位來熱處理該數個基板; 及 其中該處理室包含選定來包圍一容量的尺寸,此容量 實質地不大於容納具有固持於其中的數個基板的載體所需 的容量。 4 1 .如申請專利範圍第4 0項之裝置,其中該處理室 包含選定來包圍一容量的尺寸,此容量實質地不大於容納 具有固持於其中的數個基板的載體所需的容量的1 25% 。 42. 如申請專利範圍第40項之裝置,另包含一控制 器,其能夠獨立地調整給數個加熱元件的至少一者之電 力,以提供實質地等溫環境於處理帶。 43. 如申請專利範圍第40項之裝置,其中處理室的 底壁包含一可移動軸座,其具有數個加熱元件的至少一者 -46- (12) (12)200416773 於其中,該可移動軸座用來下降及上升以致使具有固持於 其中的數個基板的載體插入及移除自該處理室。 44·如申請專利範圍第40項之裝置,其中該熱源用 來提供一實質地等溫環境於處理帶,無需在處理帶的上方 及下方使用接近處理室的側壁之防護加熱器。 4 5 · —種用來熱處理固持於一載體中的處理帶內的數 個基板之方法,具有固持於其中的基板的載體具有預定的 外形及容量,該方法包含以下步驟: 將具有固持於其中的基板的載體導入具有一內部的處 理室外殻,該內部與該預定的外形大致符合,且具有與該 預定的容量大致等量的容量;及 施加熱至經由處理室外殼的內部之基板; 其中該基板係保持在實質相同溫度。 4 6. —種用來熱處理固持在一載體上的數個基板之方 法,該方法包含以下步驟: 將具有固持於其中的數個基板的載體導入一處理室, 該處理室具有一頂壁、一側壁及一底壁,處理室具有實質 不大於容納具有固持其中的數個基板的載體所需之容量; 及 自具有數個加熱元件之熱源而加熱該處理室,該數個 加熱元件的每一者配置接近該處理室的頂壁、側壁及底壁 的至少一者’以提供一實質地等溫環境在一想要的溫度於 處理室中的處理帶, 藉此’該數個基板的每一基板係快速且均勻地加熱至 -47- (13) (13)200416773 想要的溫度。 4 7·如申請專利範圍第4 6項之方法,其中處理室的 底部包含一軸座,該軸座具有該數個加熱元件的至少一者 於其中,該軸座用來下降及上升以致使載體中的該批基板 插入該處理室中,且,其中具有固持其中的數個基板的載 體插入該處理室的步驟包含以下步驟: 該載體定位在該軸座上;及 上升該軸座以使具有固持其中的數個基板的載體插入 該處理室,然而同時預加熱載體中的數個基板至一中間溫 度。 4 8.如申請專利範圍第4 6項之方法,其中加熱處理 室的步驟包含獨立地調整.給該數個加熱元件的至少一者的 電力的步驟,以提供一實質等溫環境於處理帶。 4 9 .如申請專利範圍第4 6項之方法,另包含以下步 驟: 在繼I買加熱處理室時’使用該熱源來保持一實質地等 溫環境在想要的溫度於處理帶; 當該批基板已被熱處理時,自處理室移除具有該批基 板於其中的載體;及 將另一載體中的另一批基板插入處理室以熱處理該批 的基板, 藉此’每一批基板的每一基板係快速且均勻地加熱並 處理在一想要的溫度。(1) (1) 200416773 Pickup, patent application scope 1. A device for heat-treating several substrates held in a carrier, the device includes: a processing chamber with a top wall, a side wall, and a shaft seat A bottom wall; a heat source having a plurality of heating elements for heat-treating the plurality of substrates, each of the plurality of heating elements approaching at least one of a top wall, a side wall, and a bottom wall of the processing chamber, and the plurality of At least one of the heating elements is located in the shaft seat; and a removable thermal shield is adapted between the at least one of the heating elements adapted to be inserted in the shaft seat and the substrate held in the carrier. 2. The device according to item 1 of the patent application range, wherein the heat shield comprises a first surface facing the substrate held in the carrier, and the first surface has an absorption rate of at least 0.5. 3. The device according to item 2 of the patent application, wherein the heat shield includes a second surface facing the shaft seat, the second surface having a reflectance of at least 0.8. The device according to item 3 of the patent application, wherein The heat shield further includes a cooling channel between the first and second surfaces. 5. The device according to item 1 of the scope of patent application, wherein the heat-shielding package # ~ a reflective surface and an absorbing surface comprises a material selected from the group consisting of: stainless steel; quartz; -36- (2) (2) 200416773 Aluminum; and silicon carbide. 6. The device according to item 1 of the patent application scope, wherein the heat shield comprises stainless steel having a polished reflective surface facing the shaft seat and an unpolished absorbing surface facing the substrate on the carrier. 7. An apparatus for heat-treating a plurality of substrates, including: a heat-treating chamber; a shaft seat having an open position relative to the processing chamber, a closed position relative to the processing chamber, and changing positions between the open and closed positions; A distributed heat source for establishing a substantially uniform heat throughout the processing zone of the processing chamber, wherein the shaft seat is in a closed position; a thermal shield; and a locator connected to the thermal shield, at least where the shaft seat is located at a change When in position, it is used to removably locate the heat shield in the shaft seat and the processing chamber. 8. A device for heat-treating several substrates held in a carrier, the device includes = a processing chamber with a top Wall, a side wall and a bottom wall; a heat source having a plurality of heating elements for heat-treating the plurality of substrates, each of the plurality of heating elements approaching at least one of a top wall, a side wall and a bottom wall of the processing chamber And a magnetically-affixed relocation system for relocating a carrier having the substrates held therein during a heat treatment of the substrates, wherein the carrier is used to relocate the carrier The mechanical energy is magnetically coupled to the carrier via the bottom wall. (3) (3) 200416773. 9. The device as claimed in claim 8 wherein the bottom wall includes a movable shaft seat having at least one of the plurality of heating elements therein, and wherein the mechanical energy used to reposition the carrier is provided via The movable shaft seat is substantially magnetically coupled without moving the at least one of the heating elements in the movable shaft seat. 10. The device of claim 8 in which the magnetically coupled repositioning system is adapted to rotate a carrier having the substrates held therein during the heat treatment of the substrates. 11. The device of claim 10, wherein the magnetically coupled repositioning system is adapted to rotate the carrier at a speed of 0.1 revolutions per minute (RPM) to 10 revolutions per minute. 12. The device as claimed in claim 8 wherein the magnetically assisted relocation system is adapted to oscillate the carrier. 13. The device according to item 8 of the patent application, wherein the carrier comprises a magnetic member, and the mechanical energy used to reposition the carrier is magnetically coupled to the magnetic member via the bottom wall. 14 * If the device in the scope of patent application No. 8 further includes a support, the carrier is positioned on the support in the processing chamber, and wherein the support package t-Ϊ 1 生 生 _ pieces' is used to reposition the The mechanical energy of the carrier is coupled to the magnetic member via the bottom wall. Ϊ 5. The device according to item 8 of the patent application scope, wherein the mechanical energy used to reposition the body is magnetically coupled to the carrier via the bottom wall without using a movable feedthrough to enter the processing chamber. -38- (4) (4) 200416773 1 6. — A device for heat treating several substrates, including: a processing chamber housing 'defines a thermal processing chamber therein; a carrier support' arranged in the processing chamber ' A support for holding the carrier holding a plurality of substrates during the heat treatment; a distributed heat source for establishing a substantially uniform heat throughout the processing chamber within the processing chamber during the heat treatment; and a repositioning system magnetically coupled to the processing chamber housing through Carrier support, used to reposition the carrier support during heat treatment, wherein the substrate system is repositioned in the processing belt. 17. An apparatus for heat treating several substrates, including: a processing chamber having a top wall, a side wall, and a bottom wall including a movable shaft seat, and the movable shaft seat is used to descend and rise so that A carrier having a plurality of substrates held therein is inserted and removed from the processing chamber; a heat source having a plurality of heating elements approaching the processing chamber to heat treat the plurality of substrates, and at least one of the plurality of heating elements is located in a movable In the shaft seat; and a shutter plate for moving into and positioning above the carrier, and used to isolate the processing chamber when the shaft seat is in the lowered position. 18. The device according to item 17 of the scope of patent application, further comprising a pumping system, which is used to evaporate the processing chamber before processing, and wherein the shutter is used to seal the processing chamber, when the shaft seat is in the lowered position , Causing the pumping system to evaporate the processing chamber. 19. The device according to item 17 of the patent application, wherein the shutter includes a cooling channel. -39- (5) (5) 200416773 20. The device according to item 17 of the scope of patent application, wherein when the shaft seat is in the lowered position, the brake plate is used to be positioned above the carrier, and raised to isolate the reason room. 2 1. The device according to item 17 of the scope of patent application, wherein when the shaft seat is in the lowered position, the shutter is used to slide into the position above the carrier and rise to isolate the processing chamber. 22 · —A device for heat-treating a plurality of substrates, including: a processing chamber casing defining a processing chamber therein; a shutter plate disposed on the processing chamber casing; a shaft seat having a position opposite to the processing The open position of the chamber, the closed position relative to the processing chamber, and the changing position between the open and closed positions; a distributed heat source for establishing a substantially uniform heat throughout the processing zone of the processing chamber, wherein the shaft seat is in the closed position And an actuator coupled to the shutter for opening the shutter when the shaft seat is in the closed and changing position, and for closing the shutter when the shaft seat is in the open position. 2 3. —A device for heat-treating several _ plates held in a carrier, the device includes: a processing chamber having a top wall, a side wall and a bottom wall; a heat source with several heating elements To heat-treat the plurality of substrates, each of the plurality of heating elements being close to at least one of a top wall, a side wall, and a bottom wall of the processing chamber; The top and side walls of the processing chamber are separated; and -40- (6) 200416773 a cross-flow syringe system that guides the surface of each of the fluids. The cross-flow syringe system includes a cross-flow syringe with a number of syringes. The substrates are positioned, and the first-tier system is positioned on one side of the substrates; and the discharge ports are located in the gasket, and the discharged substrates are positioned so that the fluid guide surface. 24. The device according to item 23 of the patent application, before flowing over the surface of each of the plurality of substrates, is positioned to guide the fluid flow against the gasket. 25. If the syringe in item 23 of the scope of patent application includes a first syringe and a second syringe port relatively to a plurality of substrates held on the carrier 2 6 Before the surface of each of the plurality of substrates, the plurality of syringe ports of the two syringes are positioned to convect the body, whereby the first syringe and the reactants in the fluid flow through the number of fluids in the fluid. Substrates. 27. If the plurality of syringe ports of the loader and the second syringe of the scope of application for patent No. 25 are before the second syringe port, the guide that guides from the first flow across several substrates includes: The ports are relatively fixed at The carrier cross-flow syringe guide port is relatively fixed to the carrier flowing through the plurality of substrates, wherein the plurality of syringe ports are arranged in the fluid, and the cross-flow device each has a plurality of injection positions. The first syringe and the first syringe are positioned against the surface of the fluid, each of which is guided by the second syringe, wherein the first injection is positioned relative to each other, with several injections facing the syringe. -41-(7) (7) 200416773 The fluid flow from the mouth of the mouthpiece, and the fluid flow from the several mouthpieces of the second syringe is directed before facing the first mouthpiece, whereby the fluid The reactants guided by the first syringe and the second syringe are mixed before the fluid flows across the surface of each of the plurality of substrates. 28. A device for heat-treating several substrates, comprising: a processing chamber housing defining a heat-treating chamber therein; a distributed heat source for establishing a substantially uniform heat throughout the processing zone in the processing chamber during the heat-treating period; A gas injector having a plurality of gas injector ports is generally disposed close to the processing belt; and a gas ejector having a plurality of gas discharge ports is generally disposed close to the processing belt, which is opposite to the gas injector port across the processing belt. The shaft seat is raised so that a carrier having a plurality of substrates held therein is inserted into the processing belt. 2 9. — A method for heat-treating several substrates held on a carrier in a processing belt of a processing chamber, the processing chamber having a top wall, a side wall and a bottom wall, the method comprising the following steps: The heating belt heats the processing belt, and each of the heating elements is disposed close to at least one of a top wall, a side wall, and a bottom wall of the processing chamber; and a carrier having a plurality of substrates held therein is inserted into the processing. Belt; and a fluid is guided on one side of a plurality of substrates through a plurality of syringe ports, the plurality of syringe ports being positioned relatively to a plurality of substrates held on a carrier; • 42- (8) (8) 200416773 and The fluid is caused to flow from the injector ports through the surfaces of the substrates to the discharge ports in a gasket, and the carrier having the substrates held therein is separated from the top wall and the side wall of the processing chamber. The discharge port is positioned relatively to a plurality of substrates held in the carrier. 30. The method of claim 29, wherein the bottom of the processing chamber includes a shaft seat having at least one of several heating elements, and the shaft seat is used for lowering and raising so that the batch in the carrier is inserted. The processing chamber, wherein the step of inserting a carrier having a plurality of substrates held therein into a processing belt includes the following steps: positioning the carrier on the shaft seat; and raising the shaft seat so that the substrates have a plurality of substrates held therein The carrier is inserted into the processing tape. 3 1. The method of claim 30, wherein the axis M is raised so that a carrier having a plurality of substrates held therein is inserted into the & step of the processing belt, including simultaneously preheating several of the carriers Step of substrate to an intermediate temperature. 3 2. The method according to item 30 of the patent application scope, wherein the shaft seat package # ^ is removable, which can reflect heat from at least one stomach of the heating elements back to the shaft seat to maintain its temperature. In addition, the method further includes the step of: before the carrier insertion point having a plurality of substrates held therein, the removable shield is moved into the heat reflection from the plurality of heating elements to > ~ Return to the shaft seat to maintain its temperature. 3 3 · The method according to item 30 of the scope of patent application, in which the device -43- (9) (9) 200416773 includes a shutter plate, which is used to move over the carrier to isolate the shaft when the shaft seat is in the lowered position. A processing chamber, and wherein the method further includes the step of moving the shutter to isolate the processing chamber and maintain its temperature when the shaft seat is in a lowered position. 34. The method of claim 30, wherein the device further comprises a magnetically coupled repositioning system for relocating a carrier having a plurality of substrates held therein to the heat treatment of the substrates. And, wherein the method further includes a step of magnetically coupling mechanical energy to the carrier via a shaft seat, φ to reposition the carrier during the heat treatment of several substrates, without using a movable feedthrough to enter the processing chamber, and substantially There is no need to move the at least one of the plurality of heating elements into the shaft seat. Several substrates are held on a carrier in a processing belt of a processing chamber, the processing chamber having a top wall, a side wall, and a bottom wall. 3 5. A method for restructuring a device for processing a plurality of substrates held on a carrier in a processing belt of a processing chamber, the processing chamber being defined by a processing container and a base plate, the device further including a first An ejector having at least one ejector port positioned at a first position relatively to a plurality of substrates held on a carrier, a first-class system for processing the plurality of substrates through the ejector port guide, and a first spacer The at least one ejector and the carrier having a plurality of substrates held therein are separated from the processing container. The gasket has at least one discharge port positioned at a first position relatively to the plurality of substrates held on the carrier. The method includes the following: Steps: Separate the processing container from the base plate; remove the first ejector from the processing chamber; -44-(10) (10) 200416773 remove the first gasket from the processing chamber; install a second gasket with at least one outlet In the processing chamber; installing a second injector having at least one injector port in the processing chamber; and in addition to the first injector and the second gasket, the second injector and the second gasket are provided At least one injector port and a discharge port positioned at different relative positions on a support holding a number of substrates. 36. The method of claim 35, wherein the first ejector is integrally formed with the first gasket, and the step of removing the first ejector from the processing chamber also includes removing the first ejector from the processing chamber. One shim step. 37. The method according to item 35 of the scope of patent application, wherein the second injector is integrally formed with the second gasket, and the step of installing the second injector in the processing chamber also includes installing a second gasket In the processing chamber. 3 8. The method according to item 35 of the scope of patent application, wherein the steps of installing a second injector in the processing chamber and installing a second gasket in the processing chamber include the steps of installing the second injector in the processing chamber and installing the second gasket Steps in the processing chamber to provide flow patterns selected from the following groups: upward flow; downward flow; and cross flow. 3 9 · —A device for heat-treating a plurality of substrates in a processing belt held in a carrier. The carrier having the substrate held therein has a predetermined shape and capacity, and includes: a processing chamber housing, the interior of which is defined A heat treatment chamber, and the processing zone -45- (11) (11) 200416773 is housed in the processing chamber; and a heat source is substantially distributed throughout the interior of the processing chamber shell to establish a substantially isothermal environment in the processing zone Wherein the interior of the processing chamber is substantially consistent with the predetermined shape; and wherein the processing chamber has a capacity substantially equal to the predetermined capacity. 40. An apparatus for heat-treating several substrates held in a carrier, the apparatus includes: a processing chamber having a top wall, a side wall and a bottom wall; a heat source having a plurality of heating elements close to the processing chamber A top wall, a side wall, and a bottom wall to provide a substantially isothermal environment in a processing belt, wherein a carrier system having a plurality of substrates held therein is positioned to heat treat the plurality of substrates; and the processing chamber includes a selection to surround a The size of the capacity, this capacity is not substantially larger than the capacity required to accommodate a carrier having a plurality of substrates held therein. 4 1. The device according to item 40 of the patent application scope, wherein the processing chamber includes a size selected to surround a capacity which is substantially not greater than 1 of the capacity required to accommodate a carrier having a plurality of substrates held therein. 25%. 42. The device according to item 40 of the patent application further includes a controller capable of independently adjusting the power to at least one of the plurality of heating elements to provide a substantially isothermal environment in the processing zone. 43. For the device in the scope of application for patent item 40, wherein the bottom wall of the processing chamber includes a movable shaft seat, which has at least one of several heating elements -46- (12) (12) 200416773 Among them, the The moving shaft seat is used to descend and ascend so that a carrier having a plurality of substrates held therein is inserted into and removed from the processing chamber. 44. The device according to item 40 of the patent application scope, wherein the heat source is used to provide a substantially isothermal environment to the processing belt, and it is not necessary to use a protective heater close to the side wall of the processing chamber above and below the processing belt. 4 5 · —A method for heat-treating a plurality of substrates in a processing belt held in a carrier, the carrier having the substrate held therein has a predetermined shape and capacity, and the method includes the following steps: The carrier of the substrate is introduced into a processing chamber housing having an inside, the inside substantially conforming to the predetermined shape, and having a capacity approximately equal to the predetermined capacity; and applying heat to the substrate passing through the inside of the processing chamber housing; The substrate is kept at substantially the same temperature. 4 6. A method for heat-treating a plurality of substrates held on a carrier, the method comprising the following steps: introducing a carrier having a plurality of substrates held therein into a processing chamber, the processing chamber having a top wall, A side wall and a bottom wall, the processing chamber has a capacity substantially not larger than that required to accommodate a carrier having a plurality of substrates held therein; and the processing chamber is heated from a heat source having a plurality of heating elements, each of the plurality of heating elements One is configured near at least one of the top wall, the side wall and the bottom wall of the processing chamber 'to provide a substantially isothermal environment at a desired temperature in a processing zone in the processing chamber, thereby' the number of substrates Each substrate is quickly and uniformly heated to the desired temperature of -47- (13) (13) 200416773. 47. The method according to item 46 of the patent application scope, wherein the bottom of the processing chamber includes a shaft seat, the shaft seat having at least one of the plurality of heating elements therein, the shaft seat is used for lowering and raising to cause the carrier The batch of substrates in is inserted into the processing chamber, and the step of inserting a carrier having a plurality of substrates held therein into the processing chamber includes the following steps: the carrier is positioned on the shaft seat; and the shaft seat is raised to have The carrier holding a plurality of substrates therein is inserted into the processing chamber, but at the same time, the plurality of substrates in the carrier are preheated to an intermediate temperature. 4 8. The method according to item 46 of the patent application scope, wherein the step of heating the processing chamber includes independently adjusting the step of supplying power to at least one of the plurality of heating elements to provide a substantially isothermal environment in the processing zone . 49. The method according to item 46 of the patent application scope, further comprising the following steps: When buying a heat treatment chamber, I use the heat source to maintain a substantially isothermal environment at the desired temperature in the processing zone; when the When the batch of substrates has been heat-treated, the carrier having the batch of substrates therein is removed from the processing chamber; and another batch of substrates in another carrier is inserted into the processing chamber to heat-treat the batch of substrates, thereby 'the Each substrate is quickly and uniformly heated and processed at a desired temperature.
TW92119298A 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber TW200416773A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US42852602P 2002-11-22 2002-11-22

Publications (1)

Publication Number Publication Date
TW200416773A true TW200416773A (en) 2004-09-01

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment
TW92119297A TW200409176A (en) 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus
TW92119303A TW200406818A (en) 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber
TW92119298A TW200416773A (en) 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119301A TW200416775A (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges
TW92119299A TW200416774A (en) 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber

Family Applications Before (3)

Application Number Title Priority Date Filing Date
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment
TW92119297A TW200409176A (en) 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus
TW92119303A TW200406818A (en) 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber

Family Applications After (5)

Application Number Title Priority Date Filing Date
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119301A TW200416775A (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges
TW92119299A TW200416774A (en) 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber

Country Status (6)

Country Link
EP (2) EP1522090A4 (en)
JP (2) JP2005533378A (en)
CN (1) CN1643322A (en)
AU (9) AU2003249030A1 (en)
TW (9) TW200419890A (en)
WO (9) WO2004008054A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI736684B (en) * 2016-10-11 2021-08-21 法商索泰克公司 Thermal treatment system with collector device

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (en) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusion furnace and method for generating a gas flow
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (en) * 2008-03-31 2012-02-29 株式会社山武 Flow control system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (en) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 Linkage system
KR101877494B1 (en) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 Vacuum heat treatment apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (en) * 2012-09-17 2014-09-18 주식회사 유진테크 Apparatus for processing substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10386019B2 (en) 2013-03-15 2019-08-20 Southwire Company, Llc Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20160348240A1 (en) * 2014-01-27 2016-12-01 Applied Materials, Inc High speed epi system and chamber concepts
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (en) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
JP6143964B1 (en) * 2016-01-25 2017-06-07 三菱電機株式会社 Control device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102127130B1 (en) * 2017-02-17 2020-06-26 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing device, manufacturing method and program of semiconductor device
JP7158133B2 (en) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (en) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019099125A1 (en) * 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (en) * 2018-07-30 2023-01-18 Tdk株式会社 Load port device, semiconductor manufacturing device, and method for controlling atmosphere in pod
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy Substrate processing apparatus and method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (en) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 High Pressure Chamber to Improve Cooling Efficiency
CN114990299B (en) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPH088220B2 (en) * 1988-09-05 1996-01-29 株式会社日立製作所 Semiconductor wafer heat treatment apparatus and heat treatment method
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
DE3885833T2 (en) * 1987-09-22 1994-03-24 Nec Corp Chemical vapor deposition apparatus for the production of high quality epitaxial layers with a uniform density.
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH02130943A (en) * 1988-11-11 1990-05-18 Tel Sagami Ltd Accommodation jig
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (en) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch METHOD FOR THERMALLY TREATING SEMICONDUCTOR MATERIALS AND DEVICE FOR CARRYING OUT THE SAME
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (en) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 Method of forming doped thin film
JP3040212B2 (en) * 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
DE69221152T2 (en) * 1992-05-15 1998-02-19 Shinetsu Quartz Prod VERTICAL HEAT TREATMENT DEVICE AND HEAT INSULATION MATERIAL
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (en) * 1993-07-24 2000-03-21 ヤマハ株式会社 Vertical heat treatment furnace and heat treatment method
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (en) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
JPH08213446A (en) * 1994-12-08 1996-08-20 Tokyo Electron Ltd Processing equipment
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (en) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat insulation structure thereof, and heat shield plate
JP3423131B2 (en) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 Heat treatment equipment and treatment equipment
JPH09306980A (en) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd Vertical wafer boat
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
DE69940161D1 (en) * 1998-06-18 2009-02-05 Kline & Walker L L C AUTOMATIC DEVICE FOR MONITORING EQUIPPED OPTIONS AND MACHINES WORLDWIDE
JP3487497B2 (en) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 Object to be processed accommodation jig and heat treatment apparatus using the same
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (en) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd Substrate treating system
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (en) * 2000-01-21 2003-05-12 株式会社真空技研 Ultra high temperature heat treatment equipment
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (en) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (en) * 2002-04-01 2012-02-08 株式会社エフティーエル Semiconductor device manufacturing equipment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI736684B (en) * 2016-10-11 2021-08-21 法商索泰克公司 Thermal treatment system with collector device

Also Published As

Publication number Publication date
TW200416775A (en) 2004-09-01
AU2003249028A1 (en) 2004-02-02
EP1522090A2 (en) 2005-04-13
TW200416774A (en) 2004-09-01
AU2003253874A1 (en) 2004-02-02
TW200406818A (en) 2004-05-01
AU2003256486A8 (en) 2004-02-02
WO2004008491A2 (en) 2004-01-22
CN1643322A (en) 2005-07-20
WO2004008008A3 (en) 2004-12-16
EP1522090A4 (en) 2006-04-05
AU2003259104A1 (en) 2004-02-02
EP1540258A1 (en) 2005-06-15
JP2005533232A (en) 2005-11-04
WO2004008008A2 (en) 2004-01-22
WO2004008054A9 (en) 2005-01-13
WO2004008493A3 (en) 2004-05-27
AU2003253907A1 (en) 2004-02-02
WO2004008494A2 (en) 2004-01-22
AU2003256487A1 (en) 2004-02-02
AU2003253874A8 (en) 2004-02-02
AU2003249029A8 (en) 2004-02-02
TW200419890A (en) 2004-10-01
AU2003249029A1 (en) 2004-02-02
TW200411717A (en) 2004-07-01
TW200405401A (en) 2004-04-01
AU2003259104A8 (en) 2004-02-02
WO2004007318A3 (en) 2004-08-05
WO2004008493A2 (en) 2004-01-22
WO2004008054A1 (en) 2004-01-22
WO2004007800A9 (en) 2005-01-13
WO2004008052A3 (en) 2004-05-13
WO2004007318A2 (en) 2004-01-22
AU2003249030A8 (en) 2004-02-02
AU2003253873A1 (en) 2004-02-02
AU2003256486A1 (en) 2004-02-02
TW200411960A (en) 2004-07-01
WO2004008491A3 (en) 2004-06-03
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
WO2004007105A1 (en) 2004-01-22
WO2004008493A9 (en) 2004-07-22
AU2003253907A8 (en) 2004-02-02
JP2005533378A (en) 2005-11-04
TW200409176A (en) 2004-06-01
AU2003249030A1 (en) 2004-02-02
WO2004008052A2 (en) 2004-01-22

Similar Documents

Publication Publication Date Title
TW200416773A (en) Thermal processing system and configurable vertical chamber
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
US20050098107A1 (en) Thermal processing system with cross-flow liner
US20050121145A1 (en) Thermal processing system with cross flow injection system with rotatable injectors
EP1830392A2 (en) Thermal processing system with accross-flow liner
US6737613B2 (en) Heat treatment apparatus and method for processing substrates
TWI447844B (en) Temperature measurement and control of wafer support in thermal processing chamber
EP2311076B1 (en) Rapid thermal processing chamber with shower head
TWI407511B (en) Rapid conductive cooling using a secondary process plane
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
JPH06302523A (en) Vertical thermal treatment equipment
JP4346071B2 (en) Wafer mounting method on wafer holder to reduce thermal shock
TW201941275A (en) Substrate processing device, semiconductor device production method and program
JP2008103707A (en) Substrate processor and method for manufacturing semiconductor device
KR20050020757A (en) Thermal processing system and configurable vertical chamber
JPH07273101A (en) Single sheet heat treatment system
CN1878889A (en) Thermal processing system with cross-flow liner
JPH03288426A (en) Heat treatment apparatus
KR20000016197U (en) Furnace of low temperature chemical vaper deposition equipment