JP2007515054A - Heat treatment system with cross-flow injection system including a rotatable injector - Google Patents

Heat treatment system with cross-flow injection system including a rotatable injector Download PDF

Info

Publication number
JP2007515054A
JP2007515054A JP2006528134A JP2006528134A JP2007515054A JP 2007515054 A JP2007515054 A JP 2007515054A JP 2006528134 A JP2006528134 A JP 2006528134A JP 2006528134 A JP2006528134 A JP 2006528134A JP 2007515054 A JP2007515054 A JP 2007515054A
Authority
JP
Japan
Prior art keywords
flow
wafer
cross
injection
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2006528134A
Other languages
Japanese (ja)
Inventor
ボワ デイル アール デュ
コール ポーター
ロバート ビー ヘリング
Original Assignee
アヴィザ テクノロジー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アヴィザ テクノロジー インコーポレイテッド filed Critical アヴィザ テクノロジー インコーポレイテッド
Publication of JP2007515054A publication Critical patent/JP2007515054A/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Abstract

本発明は、キャリアに保持された基板又はウェーハを熱的に処理するための装置に関する。熱処理装置(230)は、気体を処理チャンバ(236)に選択可能に注入するための注入システム(250)を有する。注入システム(250)は、反応物及び他の気体の流れを各ウェーハ(242)の表面を横切るように差し向けるための複数の注入ポート又はオリフィス(252)が分配された1又は2以上の細長い注入管を含む。細長い注入管は、軸線の周りに360度回転可能である。  The present invention relates to an apparatus for thermally processing a substrate or wafer held on a carrier. The heat treatment apparatus (230) has an injection system (250) for selectively injecting gas into the processing chamber (236). The injection system (250) includes one or more elongated ports distributed with a plurality of injection ports or orifices (252) for directing reactant and other gas flows across the surface of each wafer (242). Includes injection tube. The elongated infusion tube is rotatable 360 degrees around the axis.

Description

本出願は、本明細書においてその開示内容が全体的に引用により組み込まれている、2003年9月25日出願の米国特許仮出願第60/506,354号の恩典及びそれに対する優先権を主張するものであり、米国特許仮出願出願番号第60/396,536号及び第60/428,526号に対する優先権を主張する「熱処理システムと構成可能な垂直チャンバ」という名称のPCT出願出願番号PCT/US03/21575に関連しており、これらの全ての開示内容は、本明細書において全体的に引用により組み込まれている。   This application claims the benefit and priority thereto of US Provisional Application No. 60 / 506,354, filed Sep. 25, 2003, the disclosure of which is incorporated herein by reference in its entirety. PCT Application No. PCT entitled “Heat Treatment System and Configurable Vertical Chamber” claiming priority over US Provisional Application Nos. 60 / 396,536 and 60 / 428,526 / US03 / 21575, all of which are incorporated herein by reference in their entirety.

本発明は、一般的に、基板等の物体を熱処理するためのシステム及び方法に関する。より詳細には、本発明は、加熱処理、焼なまし、及び半導体ウェーハ又は基板上への材料の層の堆積又はそれからの材料の層の除去を行うための装置及び方法に関する。   The present invention relates generally to systems and methods for heat treating an object such as a substrate. More particularly, the present invention relates to an apparatus and method for heat treatment, annealing, and depositing or removing a layer of material from a semiconductor wafer or substrate.

熱処理装置は、一般的に、半導体基板又はウェーハから集積回路(IC)又は半導体素子を製造するのに用いられる。半導体ウェーハの熱処理には、例えば、加熱処理、焼なまし、ドーパント材料の拡散又は打込み、材料の層の堆積又は成長、及び基板からの材料のエッチング又は除去が含まれる。これらの工程では、工程前及び工程中にウェーハを1300℃ほどの高さ及び300℃ほどの低さの温度まで加熱し、また、処理ガス又は反応物のような1又は2以上の流体をウェーハに送出することが必要であることが多い。更に、これらの工程では、処理ガスの温度又はそれが処理チャンバに導入される速度が変化しても、ウェーハが工程を通して一様な温度を維持することが一般的に必要である。   The heat treatment apparatus is generally used to manufacture an integrated circuit (IC) or a semiconductor element from a semiconductor substrate or wafer. Thermal processing of a semiconductor wafer includes, for example, heat treatment, annealing, diffusion or implantation of dopant material, deposition or growth of a layer of material, and etching or removal of material from a substrate. In these processes, the wafer is heated to a temperature as high as 1300 ° C. and as low as 300 ° C. before and during the process, and one or more fluids such as process gases or reactants are applied to the wafer. Often need to be sent to Furthermore, these processes generally require that the wafer maintain a uniform temperature throughout the process, even if the temperature of the process gas or the rate at which it is introduced into the process chamber changes.

従来の熱処理装置は、一般的には、炉内に位置決めされるか又は炉に囲まれ且つ嵩張った処理チャンバから成る。熱処理される基板は、処理チャンバ内に密封され、これが、次に処理が行われる望ましい温度まで炉で加熱される。「化学気相堆積(CVD)」のような多くの工程に対して、最初に密封処理チャンバを排気し、処理チャンバが望ましい温度に達した状態で、反応ガス又は処理ガスを導入して基板上に反応種を形成又は堆積させる。   Conventional heat treatment equipment typically consists of a processing chamber that is positioned in or surrounded by a furnace and is bulky. The substrate to be heat treated is sealed in a processing chamber, which is heated in a furnace to the desired temperature at which the next processing takes place. For many processes, such as “Chemical Vapor Deposition” (CVD), the sealed process chamber is first evacuated, and with the process chamber reaching the desired temperature, a reaction gas or process gas is introduced to the To form or deposit reactive species.

従来、一般的な熱処理装置、特に垂直熱処理装置において、製品ウェーハを処理する処理区域の上方又は下方に、処理チャンバの側壁に隣接した保護加熱器を配置することが必要であった。この配置は、減圧し、処理ガス又は蒸気を充填、再充填又はパージする必要がある大きなチャンバ容積を伴い、その結果、処理時間が増大するために望ましくない。更に、この構成では、加熱器からウェーハへの見通し状態が良好でないために極めて大きな空間及び電力を要する。   Conventionally, in a general heat treatment apparatus, particularly a vertical heat treatment apparatus, it has been necessary to arrange a protective heater adjacent to the side wall of the processing chamber above or below the processing area for processing the product wafer. This arrangement is undesirable because it involves a large chamber volume that needs to be depressurized and filled, refilled or purged with process gas or vapor, resulting in increased process time. Furthermore, this configuration requires very large space and power because the visibility from the heater to the wafer is not good.

従来の熱処理装置の他の問題は、処理前に処理チャンバ及び処理するウェーハの温度を上昇させること及び処理後に温度を下降させる時間の両方に相当な時間が必要であることを含む。更に、処理を始めることができる前に、処理チャンバの温度を望ましい温度で確実に均一に安定させるのに付加的な時間が必要であることが多い。ウェーハを処理するのに必要な実際の時間は、30分又はそれ未満とすることができるが、前処理時間及び後処理時間には、一般的に1〜3時間又はそれよりも多くかかる。従って、処理チャンバの温度を均一な温度まで急速に上昇及び/又は下降させるのに必要な時間により、従来の熱処理装置の処理能力は相当に制限される。   Other problems with conventional thermal processing equipment include the need for considerable time both to raise the temperature of the processing chamber and the wafer to be processed before processing and to decrease the temperature after processing. Further, additional time is often required to ensure that the temperature of the processing chamber is uniformly stabilized at the desired temperature before processing can begin. The actual time required to process the wafer can be 30 minutes or less, but pre-processing time and post-processing time typically takes 1-3 hours or more. Thus, the processing capacity of conventional thermal processing equipment is significantly limited by the time required to rapidly raise and / or lower the temperature of the processing chamber to a uniform temperature.

上昇及び下降時間が比較的長いことの根本的な理由は、ウェーハを有効に加熱又は冷却する前に加熱又は冷却する必要がある従来の熱処理装置内の処理チャンバ及び/又は炉の熱量である。   The underlying reason for the relatively long rise and fall times is the amount of heat in the process chamber and / or furnace in a conventional heat treatment apparatus that needs to be heated or cooled before the wafer is effectively heated or cooled.

この従来の熱処理装置の処理能力の制限的要素を最小にするか又は相殺する一般的な手法は、単一のサイクル又は実施で処理することができるウェーハの数を増大させることである。多数のウェーハを同時に処理することにより、単位ウェーハの有効処理時間が減少させ、装置の有効処理能力を最大にするのに役立つ。しかし、この手法では、処理中に万一問題が起これば、危険性の規模も大きくなる。すなわち、例えば、単一の処理サイクル中に機器又は工程の不具合があった場合に、単一の故障により多数のウェーハが破壊され又は損傷する可能性があると考えられる。これは、ウェーハのサイズが大きい場合及び単一のウェーハが処理の段階によっては$1,000〜$10,000の価値になる可能性がある更に複雑な集積回路の場合には特に懸念される。   A common approach to minimizing or offsetting the limiting factor in throughput of this conventional thermal processing apparatus is to increase the number of wafers that can be processed in a single cycle or implementation. Processing multiple wafers simultaneously reduces the effective processing time of unit wafers and helps to maximize the effective processing capacity of the apparatus. However, with this method, if a problem occurs during processing, the scale of the risk increases. That is, for example, if there is an equipment or process failure during a single processing cycle, it is possible that a single failure could destroy or damage many wafers. This is of particular concern for large wafer sizes and for more complex integrated circuits where a single wafer can be worth $ 1,000 to $ 10,000 depending on the stage of processing. .

この解決法での別の問題は、多数のウェーハを収容するように処理チャンバの大きさを増大させると、処理チャンバの熱量効果が増大し、それにより、ウェーハを加熱又は冷却することができる速度が遅くなることである。更に、ウェーハのより大きなバッチをより大きな処理チャンバで処理すると、チャンバに最初に装填されたウェーハが最後に除去されるウェーハでもある先入れ後出しシンドロームになるか又はそれを悪化させ、これらのウェーハが長時間高温に晒されて1バッチのウェーハにわたる均一性が減少させる。   Another problem with this solution is that increasing the size of the processing chamber to accommodate a large number of wafers increases the calorimetric effect of the processing chamber, thereby speed at which the wafer can be heated or cooled. Is to slow down. In addition, processing larger batches of wafers in a larger processing chamber results in a first-in-last-out syndrome that worsens or worsens the first loaded wafer in the chamber, which is also the last removed wafer. Are exposed to high temperatures for extended periods of time, reducing uniformity across a batch of wafers.

上記手法の別の問題は、熱処理の前後の工程の多くに用いられるシステム及び装置が、多数のウェーハを同時に処理することに適さないことである。すなわち、熱処理装置の処理能力を増大させながら大きなバッチの又は多数のウェーハを熱処理しても、熱処理装置の前にウェーハを蓄積させることが必要であるか、又はその下流の他のシステム及び装置でウェーハによって障害が引き起こされることにより、半導体製作施設の全体的処理能力をほとんど改善することができず、実際にそれを低減させることもある。   Another problem with the above approach is that the systems and equipment used for many of the processes before and after the heat treatment are not suitable for processing multiple wafers simultaneously. That is, even if a large batch or a large number of wafers are heat treated while increasing the throughput of the heat treatment apparatus, it is necessary to accumulate the wafers before the heat treatment apparatus or in other systems and devices downstream thereof. The failure caused by the wafer can hardly improve the overall throughput of the semiconductor fabrication facility and may actually reduce it.

上述した従来の熱処理装置の代替手段は、ウェーハを急速に熱処理するために開発された急速熱処理(RTP)システムである。従来の急速熱処理(RTP)システムは、小さく透明で通常は石英の処理チャンバ内の単一ウェーハ又は少数のウェーハを選択的に加熱するために、一般的に高強度ランプを用いている。急速熱処理(RTP)システムは、処理チャンバの熱量効果を最小にするか又は消失させ、かつ、ランプの熱量が極めて小さいために、ランプを瞬間的にオン又はオフにすることによってウェーハを急速に加熱及び冷却することができる。   An alternative to the conventional thermal processing apparatus described above is a rapid thermal processing (RTP) system developed for rapid thermal processing of wafers. Conventional rapid thermal processing (RTP) systems typically use high intensity lamps to selectively heat a single wafer or a small number of wafers in a small, transparent, typically quartz processing chamber. The rapid thermal processing (RTP) system minimizes or eliminates the thermal effect of the processing chamber, and the heat of the lamp is very small, so that the wafer is heated rapidly by turning the lamp on and off instantaneously. And can be cooled.

残念ながら、従来の急速熱処理(RTP)システムには、ランプの配置を含む大きな欠点があり、システムは、従来、処理チャンバの側壁に隣接した多数のランプから各々が構成された区域又はバンクに配列されていた。この構成は、その見通し状態が良好でないため、有効であるためには極めて大きな空間及び電力量を要し、その全ては、半導体処理機器の最新の世代で必要な代償であるという問題がある。   Unfortunately, conventional rapid thermal processing (RTP) systems have significant drawbacks, including lamp placement, and the systems are conventionally arranged in areas or banks each composed of a number of lamps adjacent to the sidewalls of the processing chamber. It had been. This configuration has a problem that its visibility is not good and requires a very large space and power to be effective, all of which is a necessary compensation for the latest generation of semiconductor processing equipment.

従来の急速熱処理(RTP)システムの別の問題は、ウェーハの単一バッチ内の複数のウェーハにわたって及び単一ウェーハにわたってさえも均一な温度分布にすることができないことである。このように温度分布が不均一であることには、(i)1又は2以上のランプによる1又は2以上のウェーハへの見通し状態が不良であること、及び(ii)ランプからの出力パワーに変動があることを含むいくつかの理由がある。   Another problem with conventional rapid thermal processing (RTP) systems is that a uniform temperature distribution cannot be achieved across multiple wafers within a single batch of wafers and even across a single wafer. This non-uniform temperature distribution is due to (i) poor visibility of one or more wafers by one or more lamps, and (ii) output power from the lamps. There are a number of reasons, including fluctuations.

更に、単一のランプの出力が不良であるか又は変動があると、ウェーハにわたる温度分布に悪影響を及ぼす可能性がある。このために、のランプをベースにしたシステムほとんどは、ランプ出力の変動による温度不均一性が処理中にウェーハに伝達しないことを保証するために、1つ又は複数のウェーハを回転させる。しかし、ウェーハを回転するのに必要な可動部品、特に処理チャンバ内への回転貫通体は、システムの費用及び複雑さを増大し、その全体的な信頼性を減少させる。   Furthermore, poor or variable output of a single lamp can adversely affect the temperature distribution across the wafer. For this reason, most lamp-based systems rotate one or more wafers to ensure that temperature non-uniformities due to variations in lamp power are not transmitted to the wafer during processing. However, the moving parts required to rotate the wafer, particularly rotating penetrations into the processing chamber, increase the cost and complexity of the system and reduce its overall reliability.

急速熱処理(RTP)システムの更に別の厄介な部分は、ウェーハの外縁及び中心にわたって均一な温度分布を維持することである。ほとんどの従来の急速熱処理(RTP)システムには、この種の温度不均一性を調節するための適切な手段がない。その結果、ウェーハの表面にわたって過渡的な温度の変動が起こり、これによって、ウェーハよりも直径が大きな黒体サセプタを用いなければ、ウェーハのずれ転移の形成が高温で引き起こされる可能性がある。   Yet another troublesome part of the rapid thermal processing (RTP) system is to maintain a uniform temperature distribution across the outer edge and center of the wafer. Most conventional rapid thermal processing (RTP) systems do not have adequate means to adjust for this type of temperature non-uniformity. As a result, transient temperature fluctuations occur across the surface of the wafer, which can lead to the formation of wafer misalignment transitions at high temperatures unless a black body susceptor with a diameter larger than the wafer is used.

従来のランプベースの急速熱処理(RTP)システムには他の欠点もある。例えば、電気ノイズを生成する位相角の制御を用いなければ、ランプの電力オン及びオフ時のような過渡的期間中に均一な電力分布及び温度均一性をもたらす適切な手段が存在しない。各ランプが古くなると性能に変動が出る傾向があるために、通常、性能の再現性もランプベースのシステムの欠点である。ランプの交換もまた、特に所定のランプシステムが180を超えるランプを有する場合があることを考えると、費用及び時間がかかる可能性がある。電力要件もまた、ランプのピーク電力消費量が約250キロワットになる場合があるために、費用がかかる可能性がある。   Conventional lamp-based rapid thermal processing (RTP) systems also have other drawbacks. For example, without the use of phase angle control to generate electrical noise, there is no suitable means to provide uniform power distribution and temperature uniformity during transient periods such as when the lamp is powered on and off. Performance reproducibility is also usually a drawback of lamp-based systems because performance tends to vary as each lamp ages. Lamp replacement can also be costly and time consuming, especially considering that a given lamp system may have more than 180 lamps. Power requirements can also be costly because the peak power consumption of the lamp can be about 250 kilowatts.

従って、熱処理中にバッチ内の各基板の表面にわたって1又は2以上の基板のバッチを望ましい温度まで急速かつ一様に加熱するための装置及び方法に対する必要性が存在する。   Accordingly, there is a need for an apparatus and method for rapidly and uniformly heating a batch of one or more substrates to a desired temperature across the surface of each substrate in the batch during heat treatment.

本発明は、これら及び他の問題に対する解決法を提供すると共に、従来技術に優る他の利点を提供する。   The present invention provides a solution to these and other problems, as well as other advantages over the prior art.

本発明は、焼なまし、ドーパント材料の拡散又は打込み、材料の層の堆積又は成長、及びウェーハからの材料のエッチング又は除去のような工程を行うために、半導体基板又はウェーハのような被加工物を等温的に加熱するための装置及び方法を提供する。   The present invention provides a workpiece, such as a semiconductor substrate or wafer, for performing processes such as annealing, diffusion or implantation of dopant material, deposition or growth of a layer of material, and etching or removal of material from a wafer. An apparatus and method for isothermally heating an object is provided.

熱処理装置は、キャリアに保持された基板を高温又は上昇した温度で処理するために設けられる。装置は、上部壁、側壁、及び下部壁を有する処理チャンバと、基板を熱処理するためにキャリアが位置決めされた処理区域に等温環境をもたらすために処理チャンバの上部壁、側壁、及び下部壁に近接した多数の加熱要素を有する加熱源とを含む。態様の1つによれば、処理チャンバの寸法は、キャリアを収容するのに必要な容積よりも実質的に大きくない容積を取り囲むように選択され、処理区域は、実質的に処理チャンバを通って延びている。好ましくは、処理チャンバの寸法は、キャリアを収容するのに必要な容積の実質的に125%よりも大きくない容積を包囲するように選択される。より好ましくは、装置は、処理圧力をかける前に処理チャンバを排気するためのポンプシステムと、処理が完了した後に処理チャンバを再充填するためのパージシステムとを更に含み、処理チャンバの寸法は、処理チャンバの急速な排気及び急速な再充填の両方を行うように選択される。   The heat treatment apparatus is provided to treat the substrate held on the carrier at a high temperature or an elevated temperature. The apparatus is proximate to the processing chamber having an upper wall, sidewalls, and lower wall to provide an isothermal environment for a processing chamber having a top wall, sidewalls, and a lower wall and a processing area in which a carrier is positioned to heat treat the substrate. And a heating source having a number of heating elements. According to one aspect, the dimensions of the processing chamber are selected to surround a volume that is not substantially larger than the volume required to accommodate the carrier, and the processing area is substantially through the processing chamber. It extends. Preferably, the dimensions of the processing chamber are selected to encompass a volume that is not substantially greater than 125% of the volume required to accommodate the carrier. More preferably, the apparatus further comprises a pump system for evacuating the process chamber before applying process pressure, and a purge system for refilling the process chamber after the process is complete, wherein the dimensions of the process chamber are: It is selected to perform both rapid evacuation and rapid refilling of the processing chamber.

本発明の別の態様によれば、処理チャンバの下部壁は、少なくとも1つの加熱要素を有する可動基台が含まれ、可動基台は、基板を備えたキャリアを処理チャンバに挿入したり除去することができるように下降したり上昇したりする。一実施形態では、装置は、基台内の加熱要素とキャリアに保持された基板との間に挿入されるように構成された取外し可能な熱遮蔽体を更に含む。熱遮蔽体は、基台内の加熱要素からの熱エネルギを基台に反射して戻し、キャリア上の基板を基台内の加熱要素からの熱エネルギから遮蔽するように構成される。この実施形態の1つのバージョンでは、装置は、基台が下降した位置にある時にキャリアの上方の適所に移動して処理チャンバを隔離するように構成されたシャッタを更に含む。装置が、処理チャンバを排気するためのポンプシステムを含む場合、シャッタは、処理チャンバを密封するようになっており、それによって基台が下降した位置の時にポンプシステムが処理チャンバを排気することを可能にする。   According to another aspect of the invention, the lower wall of the processing chamber includes a movable base having at least one heating element, the movable base inserting or removing a carrier with a substrate into the processing chamber. Go down and up so that you can. In one embodiment, the apparatus further includes a removable thermal shield configured to be inserted between the heating element in the base and the substrate held by the carrier. The thermal shield is configured to reflect back the thermal energy from the heating element in the base to the base and shield the substrate on the carrier from the thermal energy from the heating element in the base. In one version of this embodiment, the apparatus further includes a shutter configured to move into position above the carrier to isolate the processing chamber when the base is in the lowered position. If the apparatus includes a pump system for evacuating the process chamber, the shutter is adapted to seal the process chamber, thereby allowing the pump system to evacuate the process chamber when the base is in the lowered position. enable.

更に別の実施形態では、装置は、基板の熱処理中にキャリアを再位置決めする磁気結合再位置決めシステムを更に含む。好ましくは、キャリアを再位置決めするために用いられる機械的エネルギは、処理チャンバ内への可動貫通体を用いることなしに、且つ、基台内の加熱要素を実質的に移動させることなしに、基台を通り越してキャリアに磁気的に結合される。より好ましくは、磁気結合再位置決めシステムは、基板の熱処理中に処理区域内のキャリアを回転させる磁気結合回転システムである。   In yet another embodiment, the apparatus further includes a magnetic coupling repositioning system that repositions the carrier during thermal processing of the substrate. Preferably, the mechanical energy used to reposition the carrier is based on the substrate without using a movable penetrator into the processing chamber and without substantially moving the heating element in the base. It is magnetically coupled to the carrier past the platform. More preferably, the magnetic coupling repositioning system is a magnetic coupling rotation system that rotates the carrier in the processing area during thermal processing of the substrate.

本発明の更に別の態様によれば、装置は、キャリアを処理チャンバの上部壁及び側壁から分離するライナと、流体の流れをキャリアに保持された基板の各々の表面を横切るように誘導する分配又は交差流注入システムとを更に含む。交差流注入システムには、一般的に、キャリアに保持された基板に対して位置決めされた多数の注入ポートを有し、これを通してこの数の基板の片側に流体が導入される交差流注入器が含まれる。キャリアに保持された基板に対して位置決めされたライナの多数の排気ポートにより、流体は、基板の表面を横切って流れる。交差流注入システムによって導入される流体は、処理ガス又は蒸気、及びチャンバをパージ又は再充填するためか又は内部の基板を冷却するために用いられる不活性パージガス又は蒸気を含むことができる。   In accordance with yet another aspect of the present invention, an apparatus provides a liner that separates a carrier from the top and side walls of a processing chamber and a distribution that directs fluid flow across each surface of a substrate held by the carrier. Or a cross flow injection system. Cross-flow injection systems typically include a cross-flow injector that has a number of injection ports positioned relative to a substrate held by a carrier through which fluid is introduced to one side of the number of substrates. included. Due to the numerous exhaust ports of the liner positioned relative to the substrate held by the carrier, fluid flows across the surface of the substrate. The fluid introduced by the cross flow injection system can include a process gas or vapor and an inert purge gas or vapor that is used to purge or refill the chamber or cool the substrate within.

別の態様では、本発明の装置は、気体を処理チャンバに選択可能に注入するために設けられる注入システムを含む。一般的に、本発明の注入システムは、反応物及び他の気体の流れを各基板の表面を横切るように誘導するために複数の注入ポート又はオリフィスが内部に分配された1つ又は2つ以上の細長い注入管を含む。細長い注入管は、軸線の周りを360度回転可能である。   In another aspect, the apparatus of the present invention includes an injection system provided for selectively injecting a gas into a processing chamber. In general, the injection system of the present invention includes one or more injection ports or orifices distributed therein to direct reactant and other gas flows across the surface of each substrate. Including an elongated infusion tube. The elongate infusion tube is rotatable 360 degrees around the axis.

別の実施形態では、本発明の装置は、キャリアに保持された複数の基板のための処理領域を提供する処理チャンバと、キャリアを取り囲む交差流れライナと、1つ又は2つ以上の気体の流れを各基板の表面を横切るように誘導するためにキャリアと交差流れライナの間に配置された交差流注入システムとを含む。交差流注入システムは、軸線の周りに回転可能な複数の注入ポートを含む。   In another embodiment, the apparatus of the present invention comprises a processing chamber that provides a processing region for a plurality of substrates held on a carrier, a cross-flow liner surrounding the carrier, and one or more gas flows. A cross-flow injection system disposed between the carrier and the cross-flow liner to guide the substrate across the surface of each substrate. The cross flow injection system includes a plurality of injection ports rotatable about an axis.

本発明のこれら及び様々な他の特徴及び利点は、以下に示す添付図面及び特許請求の範囲と共に以下の詳細説明を読むと明らかになるであろう。   These and various other features and advantages of the present invention will become apparent upon reading the following detailed description in conjunction with the accompanying drawings and the claims set forth below.

本発明は、処理サイクル時間を低減すると共に処理均一性を改善するように、カセット又はボート等のキャリアに保持された比較的少数又はミニバッチの1又は2以上の被加工物、例えば、半導体基板又はウェーハを処理するための装置及び方法に関する。   The present invention provides a relatively small number or mini-batch of one or more workpieces, such as semiconductor substrates or held on a carrier such as a cassette or boat, to reduce processing cycle time and improve processing uniformity. The present invention relates to an apparatus and method for processing a wafer.

本明細書で用いる場合、「ミニバッチ」という用語は、典型的なバッチシステムに見られる数百のウェーハよりも少ない多数のウェーハを意味し、好ましくは、1〜約53の範囲の半導体ウェーハを意味し、例えば、1〜50が製品ウェーハであり、残りが監視目的及びバッフルウェーハとして用いられる非製品ウェーハである。   As used herein, the term “minibatch” refers to a large number of wafers, preferably in the range of 1 to about 53, less than the few hundred wafers found in a typical batch system. For example, 1 to 50 are product wafers, and the rest are non-product wafers used for monitoring purposes and as baffle wafers.

熱処理は、被加工物又はウェーハが望ましい温度、一般的には約350℃〜1300℃の範囲の温度まで加熱される工程を意味する。半導体ウェーハの熱処理は、例えば、加熱処理、焼なまし、ドーパント材料の拡散又は打込み、化学気相堆積又はCVD等の材料の層の堆積又は成長、及びウェーハからの材料のエッチング又は除去を含む。   Heat treatment refers to the process by which the workpiece or wafer is heated to the desired temperature, typically in the range of about 350 ° C to 1300 ° C. Thermal processing of a semiconductor wafer includes, for example, heat treatment, annealing, diffusion or implantation of dopant material, deposition or growth of a layer of material such as chemical vapor deposition or CVD, and etching or removal of material from the wafer.

ここで、図1を参照して、実施形態による熱処理装置を以下に説明する。明瞭にするために、公知であるか又は当業者に公知である熱処理装置の詳細の多くを省略している。このような詳細は、本明細書において引用により組み込まれている、例えば、本出願人に譲渡された米国特許第4,770,590号により詳細に説明されている。   Here, with reference to FIG. 1, the heat processing apparatus by embodiment is demonstrated below. For the sake of clarity, many details of the heat treatment apparatus known or known to those skilled in the art have been omitted. Such details are described in more detail in, for example, US Pat. No. 4,770,590, which is incorporated herein by reference, and assigned to the present applicant.

図1は、1バッチの半導体ウェーハを熱処理するための熱処理装置の実施形態の断面図である。図示のように、熱処理装置100は、一般的には、処理チャンバ102を形成するための容積を包囲する容器101を有し、処理チャンバ102は、1バッチのウェーハ108を保持するキャリア又はボート106を受入れるように構成された支持体104を有し、熱処理装置100は、更に、熱源又は炉110を有し、この熱源又は炉110は、熱処理のためにウェーハの温度を望ましい温度まで上昇させるための多数の加熱要素112−1、112−2、112−3(以下、集合的に加熱要素112と呼ぶ)を有している。熱処理装置100は、処理チャンバ102内の温度を監視するために及び/又は加熱要素112の作動を制御するために、抵抗温度計(RTD)又は熱伝対(T/C)等の1又は2以上の光学的又は電気的温度検知要素を更に有している。ここに示す実施形態では、温度検知要素は、処理チャンバ102内の複数の部位の温度を検出するための複数の独立した温度感知ノード又はポイント(図示せず)を有するプロファイル熱電対(T/C)114である。また、熱処理装置100は、ウェーハ108を処理及び/又は冷却する気体又は蒸気等の流体を処理チャンバ102内に導入するための1又は2以上の注入器116(その1つのみを示す)と、処理チャンバをパージし及び/又はウェーハを冷却する気体を導入するための1又は2以上のパージポート又は通気口118(そのうち1つのみを示す)を有している。ライナ120により、ウェーハを処理する領域又は処理区域128内におけるウェーハ108の近くの処理ガス又は蒸気の濃度を増大させ、処理チャンバ102の内面に形成される可能性がある堆積物の剥がれ落ち又は剥離によるウェーハの汚染を減少させる。処理ガス又は蒸気は、チャンバライナ120の排気ポート又はスロット121を通って処理区域から出る。   FIG. 1 is a cross-sectional view of an embodiment of a heat treatment apparatus for heat treating a batch of semiconductor wafers. As shown, the thermal processing apparatus 100 generally includes a container 101 that encloses a volume for forming a processing chamber 102, which is a carrier or boat 106 that holds a batch of wafers 108. The heat treatment apparatus 100 further includes a heat source or furnace 110, which raises the temperature of the wafer to a desired temperature for heat treatment. A plurality of heating elements 112-1, 112-2, 112-3 (hereinafter collectively referred to as heating elements 112). The heat treatment apparatus 100 may be one or two, such as a resistance thermometer (RTD) or thermocouple (T / C), to monitor the temperature within the processing chamber 102 and / or to control the operation of the heating element 112. The above optical or electrical temperature sensing element is further provided. In the illustrated embodiment, the temperature sensing element is a profile thermocouple (T / C) having a plurality of independent temperature sensing nodes or points (not shown) for detecting temperatures at multiple sites within the processing chamber 102. 114. The thermal processing apparatus 100 also includes one or more injectors 116 (only one of which is shown) for introducing a fluid such as a gas or vapor to process and / or cool the wafer 108 into the processing chamber 102; It has one or more purge ports or vents 118 (only one of which is shown) for introducing a gas to purge the process chamber and / or cool the wafer. The liner 120 increases the concentration of process gas or vapor in the vicinity of the wafer 108 in the processing area or processing area 128 of the wafer so that deposits that may form on the inner surface of the processing chamber 102 are stripped or peeled off. Reduces wafer contamination due to Process gas or vapor exits the process area through the exhaust port or slot 121 of the chamber liner 120.

一般的に、容器101は、Oリング122等のシールによりプラットフォーム又は底板124に対して密封され、熱処理中のウェーハ108を完全に包囲する処理チャンバ102を形成する。処理チャンバ102及び底板124の寸法は、処理チャンバを急速に排気し、急速に加熱し、急速に再充填するように選択される。有利な態様では、容器101及び底板124は、ウェーハ108を保持するキャリア106を収容するのに必要な容積よりも実質的に大きくない容積を包囲するように選択された寸法を有する処理チャンバ102を構成するように寸法決めされる。好ましくは、容器101及び底板124は、ウェーハ108を保持するキャリア106を収容するのに必要な寸法の約125〜約150%の寸法を有する処理チャンバ102を構成するように寸法決めされ、より好ましくは、処理チャンバは、チャンバの容積を最小にして減圧及び再充填に必要な時間に役立てるために、キャリア及びウェーハを収容するのに必要な寸法の約125%を超えない寸法を有している。   Generally, the vessel 101 is sealed to the platform or bottom plate 124 by a seal, such as an O-ring 122, to form a processing chamber 102 that completely surrounds the wafer 108 during heat treatment. The dimensions of the processing chamber 102 and bottom plate 124 are selected to rapidly evacuate, heat, and refill the processing chamber rapidly. In an advantageous manner, the container 101 and bottom plate 124 define a processing chamber 102 having dimensions selected to enclose a volume that is not substantially larger than the volume required to accommodate the carrier 106 that holds the wafer 108. Sized to compose. Preferably, the container 101 and bottom plate 124 are sized and more preferably configured to form a processing chamber 102 having a size of about 125 to about 150% of the size required to accommodate the carrier 106 holding the wafer 108. The process chamber has dimensions that do not exceed about 125% of the dimensions required to accommodate carriers and wafers in order to minimize the chamber volume and serve the time required for decompression and refilling. .

注入器116、熱電対(T/C)114、及び通気口118のための開口部は、Oリング、「VCR(登録商標)」又は「CF(登録商標)」取付け具のようなシールを用いて密封される。処理中に放出又は導入される気体又は蒸気は、図1に示すように処理チャンバ102の側壁(図示せず)又は底板124のプレナム127に形成されたフォアライン又は排気ポート126をから排気される。処理チャンバ102は、熱処理中、大気圧に維持されてもよいし、1又は2以上の粗ポンプ、送風器、高真空ポンプ、粗絞り、及びフォアラインバルブを含むポンプシステム(図示せず)を用いて5ミリトル程度の低さの真空になるまで排気されてもよい。   The openings for the injector 116, thermocouple (T / C) 114, and vent 118 use seals such as O-rings, “VCR®” or “CF®” fittings. Sealed. Gas or vapor released or introduced during processing is exhausted through a foreline or exhaust port 126 formed in a plenum 127 of a sidewall (not shown) of the processing chamber 102 or bottom plate 124 as shown in FIG. . The processing chamber 102 may be maintained at atmospheric pressure during the heat treatment and includes a pump system (not shown) that includes one or more coarse pumps, blowers, high vacuum pumps, coarse throttles, and foreline valves. It may be evacuated until a vacuum as low as 5 millitorr is used.

図2に示す別の実施形態では、底板124は、更に、注入器116を受入れて支持するように構成された実質的に環状の流れチャンネル129を有し、注入器116は、多数の垂直注入器管又は注入器116Aが延びるリング131を含んでいる。注入器116Aは、以下に説明するように、上向き流、下向き流、又は交差流の流れパターンを形成する寸法及び形状にすることができる。リング131及び注入器116Aは、ボート106と容器101の間の処理チャンバ102に気体を注入するように配置される。また、注入器116Aは、処理ガス又は蒸気を処理チャンバ102内に均一に導入するように、リング131の周りに間隔をおいて配置され、必要に応じて、パージ気体を処理チャンバに導入するためにパージ又は再充填中に用いられてもよい。底板124は、外向きに延びる上側フランジ133、側壁135、及び内向きに延びる基部137を備えた短い円筒形の形態をなすように寸法決めされている。上側フランジ133は、容器101を受入れて支持するように構成され、容器101を上側フランジに対して密封するためのOリング122を収容している。基部137は、注入器116のリング131が支持される箇所の外側においてライナ120を受入れて支持するように構成されている。   In another embodiment shown in FIG. 2, the bottom plate 124 further includes a substantially annular flow channel 129 configured to receive and support the injector 116, which is a multiplicity of vertical injections. The vessel or injector 116A includes a ring 131 that extends. Injector 116A may be sized and shaped to form an upflow, downflow, or crossflow flow pattern, as described below. The ring 131 and the injector 116 </ b> A are arranged to inject gas into the processing chamber 102 between the boat 106 and the container 101. The injector 116A is also spaced around the ring 131 to introduce the process gas or vapor uniformly into the process chamber 102, and to introduce a purge gas into the process chamber as needed. May be used during purging or refilling. The bottom plate 124 is dimensioned to be in the form of a short cylinder with an outwardly extending upper flange 133, a sidewall 135, and an inwardly extending base 137. The upper flange 133 is configured to receive and support the container 101 and contains an O-ring 122 for sealing the container 101 against the upper flange. The base 137 is configured to receive and support the liner 120 outside the location where the ring 131 of the injector 116 is supported.

更に、図2に示す底板124は、再充填/パージ気体入口ポート139及び143と、底板124に冷却流体を循環させるために設けられた冷却ポート145及び147と、処理チャンバ102内の圧力を監視するための圧力モニタポート149とを含む様々なポートを有している。処理ガス入口ポート151及び161により、供給源(図示せず)からの気体が注入器116に導入される。再充填/パージポート139及び143は、原則的には、気体を通気/パージ気体供給源(図示せず)から通気口118まで導入するために、底板124の側壁135に設けられる。処理チャンバ102に入る気体流を制御するために、質量流コントローラ(図示せず)又はいずれかの他の適切な流量コントローラが、気体供給源とポート139、143、151、161との間にインラインで配置されている。   In addition, the bottom plate 124 shown in FIG. 2 monitors the pressure in the processing chamber 102 and refill / purge gas inlet ports 139 and 143, cooling ports 145 and 147 provided to circulate cooling fluid through the bottom plate 124. There are various ports including a pressure monitor port 149 for performing. Process gas inlet ports 151 and 161 introduce gas from a source (not shown) into the injector 116. Refill / purge ports 139 and 143 are in principle provided on the side wall 135 of the bottom plate 124 for introducing gas from a vent / purge gas supply (not shown) to the vent 118. A mass flow controller (not shown) or any other suitable flow controller is in-line between the gas source and the ports 139, 143, 151, 161 to control the gas flow entering the processing chamber 102. Is arranged in.

容器101及びライナ120は、高温及び高真空作動の熱及び機械的応力に耐えることができ、処理中に用いられ又は放出される気体及び蒸気による侵食に抵抗性を有する任意の金属、セラミック、水晶又はガラス材料で作られる。好ましくは、容器101及びライナ120は、機械的応力に耐えるのに十分な厚さを有し、処理副産物が堆積することに抵抗性がある不透明、半透明、又は透明の石英ガラスで作られ、それにより、処理環境の汚染の可能性を低減する。より好ましくは、容器101及びライナ120は、ウェーハ108を処理する領域又は処理区域128からの熱伝導を低減するか又は消失させる石英で作られる。   Vessel 101 and liner 120 can withstand any thermal and mechanical stresses of high temperature and high vacuum operation, and can be any metal, ceramic, quartz, resistant to erosion by gases and vapors used or released during processing. Or made of glass material. Preferably, the container 101 and liner 120 are made of an opaque, translucent, or transparent quartz glass that has a thickness sufficient to withstand mechanical stress and is resistant to deposition of processing by-products, Thereby reducing the possibility of contamination of the processing environment. More preferably, the container 101 and liner 120 are made of quartz that reduces or eliminates heat conduction from the region or processing area 128 where the wafer 108 is processed.

1バッチのウェーハ108を、ロードロック又はロードポート(図示せず)を通して熱処理装置100に導入し、次いで、処理チャンバ102との気密シールを形成することができる処理チャンバ又は底板124の出入り口又は開口部を通して処理チャンバ102に導入する。図1に示す構成では、処理チャンバ102は、垂直反応器であり、出入り口に可動基台130が利用され、可動基台130は、処理中、上昇することにより、底板124上のOリング132等のシールを用いて密封し、また、オペレータ又はボートハンドリングユニット(BHU)(図示せず)等の自動ハンドリングシステムがキャリア又はボート106を、可動基台に固定された支持体104上に位置決めすることを可能にするために下降する。   A batch of wafers 108 can be introduced into the thermal processing apparatus 100 through a load lock or load port (not shown), and then an entrance or opening in the processing chamber or bottom plate 124 that can form a hermetic seal with the processing chamber 102. Through the process chamber 102. In the configuration shown in FIG. 1, the processing chamber 102 is a vertical reactor, and a movable base 130 is used as an entrance and exit. And an automatic handling system such as an operator or boat handling unit (BHU) (not shown) positions the carrier or boat 106 on a support 104 fixed to a movable base. Go down to allow.

加熱要素112は、処理チャンバ102の上部134(加熱要素112−3)、側部136(加熱要素112−2)、及び底部138(加熱要素112−1)の近くに位置決めされた要素を有している。ウェーハを良く見えるようにする状態を達成し、それにより、ウェーハ108を処理する処理チャンバ内に等温制御容積又は処理区域128を設けるために、加熱要素112はウェーハを包囲していることが有利である。処理チャンバ102の底部138に近接する加熱要素112−1は、基台130の中に配置されてもよいし、その上に配置されてもよい。必要に応じて、底板124内又はその上に付加的な加熱要素を配置し、加熱要素112−1からの熱を補足するのがよい。   The heating element 112 has elements positioned near the top 134 (heating element 112-3), side 136 (heating element 112-2), and bottom 138 (heating element 112-1) of the processing chamber 102. ing. Advantageously, the heating element 112 surrounds the wafer to achieve a condition that makes the wafer visible, thereby providing an isothermal control volume or processing area 128 within the processing chamber that processes the wafer. is there. A heating element 112-1 proximate to the bottom 138 of the processing chamber 102 may be disposed in or on the base 130. If necessary, additional heating elements may be placed in or on the bottom plate 124 to supplement the heat from the heating elements 112-1.

図1に示す実施形態では、好ましくは、処理チャンバの底部に近接する加熱要素112−1は、可動基台130の中に収容されている。基台130は、電気抵抗加熱要素112−1が内部に埋め込まれていてもよいし又はそれに固定された熱的及び電気的断熱材料又は断熱ブロック140で作られてもよい。基台130は、加熱要素112−1を制御するために用いられる1又は2以上のフィードバックセンサ又は熱電対(T/C)141を更に有している。ここに示す構成では、熱電対(T/C)141は、断熱ブロック140の中心に埋め込まれている。   In the embodiment shown in FIG. 1, preferably, the heating element 112-1 proximate to the bottom of the processing chamber is housed in a movable base 130. The base 130 may be embedded with an electrical resistance heating element 112-1 or may be made of a thermal and electrical insulation material or insulation block 140 secured thereto. The base 130 further includes one or more feedback sensors or thermocouples (T / C) 141 that are used to control the heating element 112-1. In the configuration shown here, the thermocouple (T / C) 141 is embedded in the center of the heat insulating block 140.

側部加熱要素112−2及び上部加熱要素112−3は、容器101の周りの断熱ブロック110の中又はその上に配置されるのがよい。好ましくは、側部加熱要素112−2及び上部加熱要素112−3は、断熱ブロック110内に収容される。   The side heating element 112-2 and the upper heating element 112-3 may be disposed in or on the insulating block 110 around the container 101. Preferably, the side heating element 112-2 and the upper heating element 112-3 are housed within the heat insulation block 110.

加熱要素112及び断熱ブロック110及び140は、任意の様々な方法で構成され、様々な任意の方法を用いて任意の様々な材料で作られる。   The heating element 112 and the insulation blocks 110 and 140 are constructed in any of a variety of ways and are made of any of a variety of materials using any of a variety of methods.

好ましくは、1150℃までの望ましい処理温度を達成するために、処理チャンバ102の底部138に近接する加熱要素112−1の最大出力は、約0.1kW〜約10kWであり、最高処理温度は、少なくとも1150℃である。より好ましくは、これらの底部加熱要素112−1の出力は、少なくとも約3.8kWであり、最高処理温度は少なくとも950℃である。一実施形態では、側部加熱要素112−2は、基台130の近くの下側区域及び上側区域を含む複数の区域に機能的に分けられ、その各々は、互いに且つ上部加熱要素112−3及び底部加熱要素112−1と異なる電力レベル及び負荷サイクルで独立に作動されるのがよい。   Preferably, to achieve a desired processing temperature of up to 1150 ° C., the maximum power of heating element 112-1 proximate the bottom 138 of processing chamber 102 is between about 0.1 kW and about 10 kW, and the maximum processing temperature is At least 1150 ° C. More preferably, the output of these bottom heating elements 112-1 is at least about 3.8 kW and the maximum processing temperature is at least 950 ° C. In one embodiment, the side heating element 112-2 is functionally divided into a plurality of areas including a lower area and an upper area near the base 130, each of which is relative to each other and the upper heating element 112-3. And may be operated independently at a different power level and duty cycle than the bottom heating element 112-1.

加熱要素112は、当業技術で公知の種類の制御技術を用い、任意の適切な仕方で制御される。   The heating element 112 is controlled in any suitable manner using control techniques of the type known in the art.

断熱ブロック140及び底部加熱要素112−1からの汚染は、加熱要素及び断熱ブロックと処理チャンバ102との間の障壁として働く逆さ石英るつぼ142に加熱要素及び断熱ブロックを収容することによって、なくならないけれども減少する。また、るつぼ142は、ロードポート及びボートハンドリングユニット(BHU)環境に対しても密封され、処理環境の汚染を更に減少させ又はなくす。一般的に、るつぼ142の内部は標準大気圧であるため、るつぼ142は、その全体にわたって、処理チャンバ102と基台130の間の1気圧程度の大きさの差圧に耐えるのに十分な強さである必要がある。   Contamination from the insulation block 140 and the bottom heating element 112-1 is not eliminated by housing the heating element and insulation block in an inverted quartz crucible 142 that acts as a barrier between the heating element and insulation block and the processing chamber 102. Decrease. The crucible 142 is also sealed against the load port and boat handling unit (BHU) environment, further reducing or eliminating contamination of the processing environment. In general, because the interior of the crucible 142 is at standard atmospheric pressure, the crucible 142 is sufficiently strong to withstand a differential pressure on the order of 1 atmosphere between the processing chamber 102 and the base 130 throughout. Need to be.

ウェーハ108を装填する間又はそれを取出す間、すなわち、基台130が下側位置(図3)にある間、底部加熱要素112−1に電力を供給して、底部加熱要素112−1を望ましい処理温度よりも低いアイドル温度に維持する。例えば、底部加熱要素に対する望ましい処理温度が950℃である工程では、アイドル温度は、50〜150°である。望ましい処理温度が高い工程及び/又は望ましい上昇率が大きい工程等の特定の工程に対して、又は、底部加熱要素112−1への熱サイクル効果を低減することにより要素寿命を延ばすために、アイドル温度をより高く設定するのがよい。   While loading or unloading the wafer 108, ie, while the base 130 is in the lower position (FIG. 3), power is applied to the bottom heating element 112-1 to make the bottom heating element 112-1 desirable. Maintain an idle temperature lower than the processing temperature. For example, in a process where the desired processing temperature for the bottom heating element is 950 ° C, the idle temperature is 50-150 °. For certain processes, such as processes with a high desired processing temperature and / or processes with a high desired rate of increase, or to extend element life by reducing thermal cycling effects on the bottom heating element 112-1 It is better to set the temperature higher.

処理時間、すなわち、熱処理装置100を処理のために準備するのに必要な時間を更に短くするために、押入れ中又は装填中、すなわち、ウェーハ108のボート106を位置決めした基台130が上昇している間、底部加熱要素112−1を、望ましい処理温度又はそれよりも低い温度まで上昇させるのがよい。しかしながら、ウェーハ108及び熱処理装置100の構成要素に作用する熱応力を最小にするために、底部加熱要素112−1を、処理チャンバ102の上部134及び側部136それぞれの近く配置された加熱要素112−3及び112−2と同時に望ましい処理温度に到達させることが好ましい。従って、望ましい処理温度を高くすることが必要な工程等のいくつかの工程では、底部加熱要素112−1の温度は、1バッチのうちの最後のウェーハ108が装填される間のうちの基台130が上昇され始める前、上昇し始めるのがよい。   In order to further reduce the processing time, i.e., the time required to prepare the thermal processing apparatus 100 for processing, the base 130 on which the boat 106 of the wafer 108 is positioned is raised during pushing-in or loading. During this time, the bottom heating element 112-1 may be raised to the desired processing temperature or lower. However, in order to minimize thermal stresses acting on the wafer 108 and the components of the thermal processing apparatus 100, the bottom heating element 112-1 is placed near the top 134 and side 136 of the processing chamber 102, respectively. It is preferable to reach the desired processing temperature simultaneously with -3 and 112-2. Thus, in some processes, such as those requiring higher processing temperatures, the temperature of the bottom heating element 112-1 may be the base during the last wafer 108 of a batch being loaded. It may be desirable to begin to rise before 130 begins to rise.

同様に、ウェーハ108を冷却してボートハンドリングユニット(BHU)によって取出すための準備の際、処理後及び引出し又は取出しサイクル中、すなわち、基台130が下降している間、底部加熱要素112−1への電力を低減し又は完全に除去し、基台130をアイドル温度まで下降させ始めるのがよいことが認められる。   Similarly, when the wafer 108 is cooled and prepared for removal by the boat handling unit (BHU), the bottom heating element 112-1 after processing and during the withdrawal or removal cycle, that is, while the base 130 is lowered. It will be appreciated that it may be desirable to reduce or completely remove power to the base 130 and begin to lower the base 130 to idle temperature.

引出し又は取出しサイクルの前に、基台130を引出し温度まで冷却するのを助けるために、空気又は窒素等の不活性パージ気体のためのパージラインが、断熱ブロック140を貫くように設けられるのがよい。好ましくは、窒素を、断熱ブロック140の中心を通る通路144から注入し、断熱ブロック140の上部とるつぼ142の内部との間をその周囲まで流すことを可能にする。次に、高温窒素は、「高性能粒子状空気(HEPA)」フィルタ(図示せず)を通して周囲又は施設排気装置(図示せず)に排気される。この中心注入構成により、ウェーハ108の中心を迅速に冷却することが容易になり、従って、1つ又は複数の底部ウェーハの中心/縁部温度差を最小にするのに理想的であり、そうでなければ、結晶格子構造のずれ転移による損傷を招く可能性がある。   A purge line for an inert purge gas such as air or nitrogen may be provided through the insulation block 140 to help cool the base 130 to the extraction temperature prior to the extraction or extraction cycle. Good. Preferably, nitrogen is injected from a passage 144 through the center of the insulation block 140 to allow it to flow between its upper part and the interior of the crucible 142. The hot nitrogen is then exhausted through a “High Performance Particulate Air (HEPA)” filter (not shown) to the ambient or facility exhaust system (not shown). This center implant configuration facilitates rapid cooling of the center of the wafer 108 and is therefore ideal for minimizing the center / edge temperature difference of one or more bottom wafers, and so on. Otherwise, there is a possibility of causing damage due to a shift transition of the crystal lattice structure.

上述のように、底部加熱要素112−1の寿命を増大又は延ばすために、アイドル温度を、熱サイクルの効果を低減するように高くし、望ましい処理温度に近く設定するのがよい。また、高酸素環境で加熱要素112−1を周期的に焼き出し、保護酸化物表面コーティングの形成を促進することも望ましい。例えば、抵抗加熱要素が「Kanthal(登録商標)」等のアルミニウム含有合金で形成される場合、高酸素環境で加熱要素112−1を焼き出しすると、アルミナ酸化物の表面増加が促進される。従って、断熱ブロック140は、加熱要素112−1の焼出し中に保護酸化物表面コーティングの形成を促進するための酸素ライン(図示せず)を更に有するのがよい。変形例として、焼出しのための酸素が、処理中に用いられるパージラインを通して導入され、3方バルブを通じて冷却窒素を供給されてもよい。   As mentioned above, in order to increase or extend the life of the bottom heating element 112-1, the idle temperature may be increased to reduce the effects of thermal cycling and set close to the desired processing temperature. It is also desirable to periodically bake out the heating element 112-1 in a high oxygen environment to facilitate the formation of a protective oxide surface coating. For example, when the resistance heating element is formed of an aluminum-containing alloy such as “Kanthal®”, baking the heating element 112-1 in a high oxygen environment promotes an increase in the surface of the alumina oxide. Accordingly, the insulation block 140 may further include an oxygen line (not shown) to facilitate the formation of a protective oxide surface coating during the baking of the heating element 112-1. Alternatively, oxygen for bakeout may be introduced through a purge line used during processing and cooled nitrogen supplied through a three-way valve.

図3は、熱処理装置100の一部分の断面図である。図3は、ウェーハ108が装填され又は取出される間、すなわち、基台130が下側位置にある間における熱処理装置100を示している。この作動モードでは、熱処理装置100は、熱遮蔽体146を更に有し、熱遮蔽体146は、基台130及びボート106の下側ウェーハ108の上方の適所に回転又は摺動させられるのがよい。熱遮蔽体146の性能を向上させるために、一般的に、熱遮蔽体は、加熱要素112−1に面する側が反射性であり、ウェーハ108に面する側が吸収性である。熱遮蔽体146の目的は、ボート106内の下方のウェーハ108を冷却する速度を増大させること、及び基台130及び底部加熱要素112−1のアイドル温度を維持し、処理チャンバ102が望ましい処理温度まで上昇するのに必要な時間を短くすることを含む。図3〜図6を参照して、熱遮蔽体を有する熱処理装置の実施形態をここでより詳細に以下に説明する。   FIG. 3 is a cross-sectional view of a part of the heat treatment apparatus 100. FIG. 3 shows the heat treatment apparatus 100 while the wafer 108 is being loaded or unloaded, that is, while the base 130 is in the lower position. In this mode of operation, the heat treatment apparatus 100 further includes a thermal shield 146 that may be rotated or slid into place above the base 130 and the lower wafer 108 of the boat 106. . In order to improve the performance of the thermal shield 146, the thermal shield is generally reflective on the side facing the heating element 112-1 and absorbent on the side facing the wafer 108. The purpose of the thermal shield 146 is to increase the rate at which the lower wafer 108 in the boat 106 is cooled and to maintain the idle temperature of the base 130 and the bottom heating element 112-1, so that the processing chamber 102 is at the desired processing temperature. Including shortening the time required to ascend. With reference to FIGS. 3-6, embodiments of a heat treatment apparatus having a thermal shield will now be described in more detail below.

図3はまた、基台加熱要素112−1及び熱遮蔽体146を有する熱処理装置100の実施形態を示している。ここに示す実施形態では、熱遮蔽体146は、腕148を通じて回転可能なシャフト150に取付けられ、シャフト150を電気的、空気式、又は液圧式アクチュエータによって回転させて、熱遮蔽体146を回転させることにより、引出し又は取外しサイクル中、熱遮蔽体146をボート106内の加熱基台130と一番下のウェーハ108との間の第1の位置に入れ、押入れ又は装填サイクルの少なくとも最終部分又は最後の間、ボート106の底部がチャンバ102に入る直前に、熱遮蔽体146を取除き又は基台とウェーハとの間ではない第2の位置まで回転させる。好ましくは、回転可能なシャフト150は、基台130を上昇させたり下降させたりするのに用いられる機構(図示せず)に装着又は固定され、それにより、基台の上部が処理チャンバ102を通過すると直ぐに熱遮蔽体146が回転して適所に位置させることが可能である。遮蔽体146が装填サイクル中に適所にあれば、加熱要素112−1を望ましい温度まで、その他の方法で可能である速さよりも急速に加熱することができる。同様に、取外しサイクル中、遮蔽体146は、基台加熱要素112−1から放射される熱を反射することにより、ウェーハ、特に基台に近いウェーハを冷却するのに役立つ。   FIG. 3 also shows an embodiment of a heat treatment apparatus 100 having a base heating element 112-1 and a heat shield 146. In the illustrated embodiment, the thermal shield 146 is attached to a rotatable shaft 150 through an arm 148 and the shaft 150 is rotated by an electrical, pneumatic, or hydraulic actuator to rotate the thermal shield 146. Thus, during a draw or remove cycle, the thermal shield 146 is placed in a first position between the heating base 130 and the bottom wafer 108 in the boat 106, at least at the end or end of the intrusion or loading cycle. In between, just before the bottom of the boat 106 enters the chamber 102, the thermal shield 146 is removed or rotated to a second position that is not between the base and the wafer. Preferably, the rotatable shaft 150 is mounted or secured to a mechanism (not shown) used to raise and lower the base 130 so that the top of the base passes through the processing chamber 102. As a result, the heat shield 146 can be immediately rotated and positioned at a proper position. If the shield 146 is in place during the loading cycle, the heating element 112-1 can be heated to the desired temperature more rapidly than otherwise possible. Similarly, during the removal cycle, the shield 146 helps cool the wafer, particularly the wafer close to the base, by reflecting the heat radiated from the base heating element 112-1.

変形例として、回転可能シャフト150は、熱処理装置100の別の部分に装着又は固定されてもよいし、基台130と同期して軸線方向に移動してもよいし、基台が完全に下降した時に熱遮蔽体146を回転させて適所に位置させてもよい。   As a modification, the rotatable shaft 150 may be attached or fixed to another part of the heat treatment apparatus 100, may move in the axial direction in synchronization with the base 130, or the base is completely lowered. In this case, the heat shield 146 may be rotated and positioned at a proper position.

図4は、図3の基台加熱要素112−1及び熱遮蔽体146の概略図であり、底部加熱要素から放射される熱エネルギ又は熱が反射されて基台130に戻り、1バッチ又はスタックの下方のウェーハ108から放射される熱エネルギ又は熱が吸収される様子を示している。望ましい特性である高反射性及び高吸収性は、金属、セラミック、ガラス、又はポリマーコーティングのようないくつかの異なる材料を個々に又は組み合わせて用いて得られることが確認されている。例示として、以下の表は、様々な適切な材料及び対応するパラメータを列挙している。   FIG. 4 is a schematic diagram of the base heating element 112-1 and thermal shield 146 of FIG. 3, in which the thermal energy or heat radiated from the bottom heating element is reflected back to the base 130 for one batch or stack. The heat energy or heat radiated from the wafer 108 below is absorbed. It has been determined that desirable properties, high reflectivity and high absorption, can be obtained using several different materials, such as metals, ceramics, glass, or polymer coatings, individually or in combination. By way of example, the following table lists various suitable materials and corresponding parameters.

Figure 2007515054
Figure 2007515054

一実施形態によれば、熱遮蔽体146は、片側が研磨され、もう片側がすり減らされ、摩耗され又は粗面にされた単一の材料、例えば、炭化珪素(SiC)、不透明石英、ステンレス鋼で作られる。熱遮蔽体146の表面を粗面にすると、その伝熱性、特にその反射性が相当に変化する可能性がある。   According to one embodiment, the thermal shield 146 is a single material, such as silicon carbide (SiC), opaque quartz, stainless steel, that is polished on one side and abraded on the other, worn or roughened. Made of steel. If the surface of the heat shield 146 is rough, its heat transfer property, particularly its reflectivity, may change considerably.

別の実施形態では、熱遮蔽体146は、2つの異なる材料層で作られる。図5は、SiC又は不透明石英等の材料の高吸収性の上層152と、研磨されたステンレス鋼又は研磨されたアルミニウム等の材料又は金属の高反射性の下層154とを有する熱遮蔽体146の概略図である。上層152又は下層154は、ほぼ等しい厚さを有するように示されているが、熱膨張係数の差による層間の熱応力を最小にするなどの熱遮蔽体146の特定の要件に応じて、その何れかの厚さをより厚くするのがよいことが認められる。例えば、特定の実施形態では、下層154は、上層152を形成する石英板上に堆積され、形成され又はメッキされて研磨された金属の極めて薄い層又はフィルムであるのがよい。材料は、一体的に形成されてもよいし、互いに結合されてもよいし、又は接着又は締結等の従来の手段によって接合されてもよい。   In another embodiment, the thermal shield 146 is made of two different material layers. FIG. 5 illustrates a thermal shield 146 having a highly absorbent upper layer 152 of a material such as SiC or opaque quartz and a highly reflective lower layer 154 of a material such as polished stainless steel or polished aluminum or metal. FIG. The upper layer 152 or the lower layer 154 is shown to have approximately equal thicknesses, but depending on the specific requirements of the thermal shield 146, such as minimizing thermal stress between layers due to differences in thermal expansion coefficients. It will be appreciated that any thickness may be thicker. For example, in certain embodiments, the lower layer 154 may be a very thin layer or film of metal deposited, formed or plated and polished on a quartz plate that forms the upper layer 152. The materials may be formed integrally, joined together, or joined by conventional means such as gluing or fastening.

更に別の実施形態では、熱遮蔽体146は、内部冷却チャンネル156を更に有し、ウェーハ108を底部加熱要素112−1から更に断熱する。図6に示すこの実施形態の1つの形態では、冷却チャンネル156は、2つの異なる材料層152、154の間に形成される。例えば、冷却チャンネル156は、高吸収性の不透明石英層152にフライス削り又はその他の任意適切な技術によって形成され、金属層又はチタン又はアルミニウムコーティング等のコーティング154によって被覆される。変形例として、冷却チャンネル156は、金属層154に形成されてもよいし、金属層154と石英層152の両方に形成されてもよい。   In yet another embodiment, the thermal shield 146 further includes an internal cooling channel 156 to further insulate the wafer 108 from the bottom heating element 112-1. In one form of this embodiment shown in FIG. 6, the cooling channel 156 is formed between two different material layers 152, 154. For example, the cooling channel 156 is formed in the highly absorbent opaque quartz layer 152 by milling or any other suitable technique and covered with a metal layer or coating 154 such as a titanium or aluminum coating. As a modification, the cooling channel 156 may be formed in the metal layer 154, or may be formed in both the metal layer 154 and the quartz layer 152.

図7は、熱遮蔽体146、腕148、回転可能なシャフト150、及びアクチュエータ155を有する熱遮蔽体アセンブリ153の実施形態の斜視図である。   FIG. 7 is a perspective view of an embodiment of a heat shield assembly 153 having a heat shield 146, an arm 148, a rotatable shaft 150, and an actuator 155.

図8に示すように、熱処理装置100は、更に、シャッタ158を有し、シャッタ158は、基台130が完全に下降した位置のとき、処理チャンバ102を外側又はロードポート環境から隔離するように、ボート106の上方の適所に回転、摺動又はその他の方法で移動させられる。例えば、シャッタ158は、基台130が下側位置にあるとき、摺動してキャリア106の上方の適所に配置され、次いで、処理チャンバ102を隔離するために上昇する。変形例として、シャッタ158は、基台130が下側位置にあるとき、回転し又は前後に揺動してキャリア106の上方の適所に配置され、その後、処理チャンバ102を隔離する上昇する。選択的に、シャッタ158は、ネジ切りしたネジ又はロッドを中心に又はそれに対して回転することにより、前後に揺動してキャリア106の上方の適所に配置されるのと同時にシャッタを上昇させて、処理チャンバ102を隔離する。   As shown in FIG. 8, the heat treatment apparatus 100 further includes a shutter 158 that isolates the processing chamber 102 from the outside or load port environment when the base 130 is in the fully lowered position. , Rotated, slid or otherwise moved into place above the boat 106. For example, the shutter 158 is slid into place above the carrier 106 when the base 130 is in the lower position and then raised to isolate the processing chamber 102. Alternatively, the shutter 158 rotates or swings back and forth when the base 130 is in the lower position and is placed in place above the carrier 106 and then rises to isolate the processing chamber 102. Optionally, the shutter 158 pivots back and forth by rotating about or against a threaded screw or rod so as to raise the shutter at the same time as being placed in place above the carrier 106. , Isolate the processing chamber 102.

CVDシステムのように通常は真空下で作動する処理チャンバ102では、シャッタ158は、底板124に対する真空シールを形成し、処理圧力又は真空まで処理チャンバ102をポンプダウン又は減圧することを可能にする。例えば、ウェーハの連続バッチの間に処理チャンバ102を減圧することにより、処理環境を汚染する可能性を低減させ又はなくすことが望ましい場合がある。真空シールの形成は、Oリング等の直径の大きなシールで行われることが好ましく、従って、シャッタ158は、シールを冷却するためにいくつかの水チャンネル160を有することが望ましい。図8に示す実施形態では、シャッタ158は、基台130が上昇した位置にあるときにるつぼ142を密封するのに用いられたOリングと同じOリング132で密封される。   In a processing chamber 102 that normally operates under vacuum, such as a CVD system, the shutter 158 forms a vacuum seal against the bottom plate 124, allowing the processing chamber 102 to be pumped down or depressurized to the processing pressure or vacuum. For example, it may be desirable to reduce or eliminate the possibility of contaminating the processing environment by depressurizing the processing chamber 102 during successive batches of wafers. The formation of the vacuum seal is preferably done with a large diameter seal, such as an O-ring, and therefore the shutter 158 preferably has several water channels 160 to cool the seal. In the embodiment shown in FIG. 8, the shutter 158 is sealed with the same O-ring 132 as the O-ring used to seal the crucible 142 when the base 130 is in the raised position.

処理チャンバ102が通常は大気圧で作動する熱処理装置130では、シャッタ158は、処理チャンバの底部からの熱損失を低減するように設計された単なる断熱プラグである。これを達成するための一実施形態では、不透明石英板を利用するのがよく、不透明石英板は、シャッタ158の下又はその内部にいくつかの冷却チャンネルを更に有していてもよいし、それらを有していなくてもよい。   In the thermal processing apparatus 130 where the processing chamber 102 typically operates at atmospheric pressure, the shutter 158 is simply an insulating plug designed to reduce heat loss from the bottom of the processing chamber. In one embodiment to achieve this, an opaque quartz plate may be utilized, which may further include a number of cooling channels under or within the shutter 158, and those May not be included.

基台130が完全に下降した位置にあるとき、シャッタ158は、処理チャンバ102の下方の位置に移動し、次いで、1又は2以上の電気的、液圧式、又は空気式アクチュエータ(図示せず)によって上昇して、処理チャンバを隔離する。好ましくは、アクチュエータは、ゲージ圧で約15〜60ポンド/平方インチ(PSIG)の空気を用いる空気式アクチュエータであり、これは、一般的に、空気式バルブを作動させるための熱処理装置100に利用可能である。例えば、この実施形態の1つの形態では、シャッタ158は、いくつかのホイールが短い腕又は片持ち梁を介してシャッタの2つの側部に取付けられた板を含むのがよい。板又はシャッタ158は、作動時、2つの平行なガイドレール上を転がって処理チャンバ102の下の適所に位置する。次いで、片持ち梁がガイドレール上のストッパによって回動し、シャッタ158の移動が上向き方向に変換して、処理チャンバ102を密封する。   When the base 130 is in the fully lowered position, the shutter 158 moves to a position below the processing chamber 102 and then one or more electrical, hydraulic, or pneumatic actuators (not shown). To isolate the processing chamber. Preferably, the actuator is a pneumatic actuator using about 15-60 pounds per square inch (PSIG) of air at gauge pressure, which is generally utilized in the thermal processing apparatus 100 for operating a pneumatic valve. Is possible. For example, in one form of this embodiment, the shutter 158 may include a plate with several wheels attached to the two sides of the shutter via short arms or cantilevers. The plate or shutter 158 rolls on two parallel guide rails and is in place under the processing chamber 102 in operation. Then, the cantilever is rotated by a stopper on the guide rail, and the movement of the shutter 158 is converted in the upward direction to seal the processing chamber 102.

図9に示すように、熱処理装置100は、更に、処理中、支持体104及びボート106をその上に支持されたウェーハ108と共に回転させる磁気結合ウェーハ回転システム162を有している。処理中にウェーハ108を回転させると、加熱要素112内及び処理ガス流中のいかなる不均一性も平均され、ウェーハ上の温度及び化学種反応の性質が均一になることにより、ウェーハ内(WIW)の均一性を改善する。一般的には、ウェーハ回転システム162は、約0.1〜約10回転/分(RPM)の速度でウェーハ108を回転させるのがよい。   As shown in FIG. 9, the thermal processing apparatus 100 further includes a magnetically coupled wafer rotation system 162 that rotates the support 104 and boat 106 with the wafers 108 supported thereon during processing. As the wafer 108 is rotated during processing, any non-uniformities within the heating element 112 and in the process gas stream are averaged, and the temperature and species reaction characteristics on the wafer are uniform, thereby allowing for in-wafer (WIW). Improves uniformity. In general, the wafer rotation system 162 may rotate the wafer 108 at a speed of about 0.1 to about 10 revolutions per minute (RPM).

ウェーハ回転システム162は、電気又は空気式モータ等の回転モータ166と、ポリテトラフルオロエチレン又は焼きなましたステンレス鋼等の化学的耐性の容器に入れられた磁石168とを有する駆動アセンブリ又は回転機構164を有している。基台130の断熱ブロック140の直ぐ下に配置された鋼鉄リング170と、断熱ブロックと一体の駆動シャフト172とにより、回転エネルギを基台の上面部分の断熱ブロックの上に配置された別の磁石174に伝達する。また、鋼鉄リング170、駆動シャフト172及び第2の磁石174も化学的耐性容器複合体に入れられる。基台130の側に配置された磁石174は、処理チャンバ102の支持体104に埋め込まれ又は固定された鋼鉄リング又は磁石176に、磁気的にるつぼ142を通り越して結合される。   The wafer rotation system 162 includes a drive assembly or rotation mechanism 164 having a rotation motor 166, such as an electric or pneumatic motor, and a magnet 168 encased in a chemically resistant container such as polytetrafluoroethylene or annealed stainless steel. Have. Another magnet disposed on the heat insulating block on the upper surface portion of the base by the steel ring 170 disposed just below the heat insulating block 140 of the base 130 and the drive shaft 172 integral with the heat insulating block. 174. A steel ring 170, drive shaft 172, and second magnet 174 are also placed in the chemically resistant container composite. A magnet 174 disposed on the side of the base 130 is magnetically coupled past the crucible 142 to a steel ring or magnet 176 embedded or secured in the support 104 of the processing chamber 102.

回転機構164を磁気的に基台130を通り越して結合させることにより、回転機構164を処理環境内に配置する必要、又は、機械的な貫通体を有する必要がなくなり、それにより、漏れ及び汚染を引き起こす可能性がある原因がなくなる。更に、回転機構164を処理部分の外側でそれから多少距離をおいて配置すると、回転機構164が晒される最高温度を最低にし、それにより、ウェーハ回転システム162の信頼性が向上し且つ作動寿命が延びる。   By magnetically coupling the rotating mechanism 164 past the base 130, it is not necessary to place the rotating mechanism 164 in the processing environment or to have a mechanical penetration, thereby reducing leakage and contamination. There is no cause that could cause it. Further, positioning the rotation mechanism 164 outside the processing portion at some distance from it minimizes the maximum temperature to which the rotation mechanism 164 is exposed, thereby improving the reliability of the wafer rotation system 162 and extending the operational life. .

上述したことに加えて、ウェーハ回転システム162は、ボート106の位置を適正にし、且つ、処理チャンバ102内の鋼鉄リング又は磁石176と基台130内の磁石174との間の適正な磁気的結合を保証するために、1又は2以上のセンサ(図示せず)を更に有している。ボート106の相対位置を判断するセンサ、すなわち、ボート位置確認センサは特に有用である。一実施形態では、ボート位置確認センサは、ボート106上のセンサ突起(図示せず)と、底板124の下に配置される光又はレーザセンサとを有している。作動時、ウェーハ108を処理した後、基台130を底板124から約3インチ下方に下降させる。この際、ウェーハ回転システム162に、ボートセンサ突起を検出することができるまでボート106を回転させる指令を出す。次に、ウェーハ回転システム162を作動させ、ウェーハ108を取出すことができるようにボートを位置合わせする。これを行った後、ボートを、装填/取出しの高さまで下げる。初期検査後、フラグセンサからのボート部位を確認することだけが可能である。   In addition to the above, the wafer rotation system 162 ensures proper positioning of the boat 106 and proper magnetic coupling between the steel ring or magnet 176 in the processing chamber 102 and the magnet 174 in the base 130. In order to guarantee, one or more sensors (not shown) are further included. A sensor that determines the relative position of the boat 106, that is, a boat position confirmation sensor is particularly useful. In one embodiment, the boat position verification sensor includes a sensor protrusion (not shown) on the boat 106 and a light or laser sensor disposed below the bottom plate 124. In operation, after processing the wafer 108, the base 130 is lowered about 3 inches below the bottom plate 124. At this time, the wafer rotation system 162 is instructed to rotate the boat 106 until the boat sensor protrusion can be detected. The wafer rotation system 162 is then activated and the boat is aligned so that the wafers 108 can be removed. After this is done, the boat is lowered to the loading / unloading height. After the initial inspection, it is only possible to confirm the boat part from the flag sensor.

図10に示すように、改良型注入器216を熱処理装置100に用いることが好ましい。注入器216は、分配又は交差(X字形)流れ注入器216−1であり、処理ガス又は蒸気がウェーハ108及びボート106の片側の注入器開口部又はオリフィス180から導入され、層流をなしてウェーハの表面を横切って流れ、反対側のチャンバライン120の排気ポート又はスロット182から出る。X字形流れ注入器116−1では、処理ガス又は蒸気の分布が以前の上向き流れ又は下向き流れの構成よりも改良され、1バッチのウェーハ108内のウェーハ均一性に関して、ウェーハ108を改善する。   As shown in FIG. 10, the improved injector 216 is preferably used in the heat treatment apparatus 100. The injector 216 is a distributed or crossed (X-shaped) flow injector 216-1 where process gas or vapor is introduced from the injector opening or orifice 180 on one side of the wafer 108 and boat 106 in a laminar flow. It flows across the surface of the wafer and exits from the exhaust port or slot 182 of the opposite chamber line 120. In the X-shaped flow injector 116-1, the process gas or vapor distribution is improved over the previous upward flow or downward flow configuration, improving the wafer 108 with respect to wafer uniformity within a batch of wafers 108.

更に、X字形流れ注入器216は、ウェーハ108間を強制対流冷却するための冷却用気体(例えば、ヘリウム、窒素、水素)の注入を含む他の目的に役立てることができる。X字形流れ注入器216を用いると、以前の上向き流又は下向き流の構成と比較して、1つのスタック又はバッチの底部又は上部に配置されたウェーハ108とその中間に配置されたウェーハとの間を更に均一に冷却する。好ましくは、注入器216のオリフィス180は、ウェーハ全体にわたって大きな温度勾配が生じないように、ウェーハ108間の強制対流冷却を促進するスプレーパターンを形成するように寸法、形状及び位置が定められるのがよい。   In addition, the X-shaped flow injector 216 can serve other purposes, including injection of a cooling gas (eg, helium, nitrogen, hydrogen) for forced convection cooling between the wafers 108. With the X-shaped flow injector 216, compared to the previous upward or downward flow configuration, between the wafer 108 placed at the bottom or top of one stack or batch and the wafer placed in between. Is further uniformly cooled. Preferably, the orifice 180 of the injector 216 is sized, shaped and positioned to form a spray pattern that promotes forced convection cooling between the wafers 108 so that there is no significant temperature gradient across the wafer. Good.

図11は、図10の熱処理装置100の一部分の断面側面図であり、チャンバライナ120に関連した注入器オリフィス180及びウェーハ108に関連した排気スロット182の例示的な一部分を示している。   FIG. 11 is a cross-sectional side view of a portion of the thermal processing apparatus 100 of FIG. 10 showing an exemplary portion of the injector orifice 180 associated with the chamber liner 120 and the exhaust slot 182 associated with the wafer 108.

図12は、図10の線A−Aにおける図10の熱処理装置100の一部分の平面図であり、一実施形態による1次注入器184及び2次注入器186それぞれのオリフィス180−1及び180−2からウェーハ108の例示の1つを横切って排気スロット182−1及び182−2まで層をなして流れる気体流を示している。図10に示す排気スロット182の位置は、図12に示す排気スロット182−1及び182−2の位置からずらされ、熱処理装置の単一の断面図に排気スロット及び注入器116−1を示すようにしていることに注意すべきである。また、ウェーハ108及びチャンバライナ120に対する注入器184、186及び排気スロット182−1、182−2の寸法は、注入器から排気スロットまでの気体流を明確に示すために誇張されていることにも注意すべきである。   FIG. 12 is a plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along line AA of FIG. 10, and orifices 180-1 and 180- of the primary injector 184 and secondary injector 186, respectively, according to one embodiment. 2 shows a gas flow flowing in layers from 2 to one of the exemplary wafers 108 to exhaust slots 182-1 and 182-2. The position of the exhaust slot 182 shown in FIG. 10 is shifted from the positions of the exhaust slots 182-1 and 182-2 shown in FIG. 12, so that the exhaust slot and injector 116-1 are shown in a single cross-sectional view of the heat treatment apparatus. It should be noted that Also, the dimensions of the injectors 184, 186 and exhaust slots 182-1, 182-2 relative to the wafer 108 and chamber liner 120 are exaggerated to clearly show the gas flow from the injector to the exhaust slot. You should be careful.

また、図12に示すように、処理ガス又は蒸気は、最初にウェーハ108から離れてライナ120に向かうように差し向けられ、ウェーハに到達する前に処理ガス又は蒸気が混合されることを促進する。オリフィス180−1、180−2のこの構成は、例えば、多成分フィルム又は層を形成するために異なる反応物が1次注入器184及び2次注入器186の各々から導入される工程又は方法に特に有用である。   Also, as shown in FIG. 12, the process gas or vapor is initially directed away from the wafer 108 toward the liner 120 to facilitate mixing of the process gas or vapor before reaching the wafer. . This configuration of the orifices 180-1, 180-2 is, for example, in a process or method in which different reactants are introduced from each of the primary injector 184 and the secondary injector 186 to form a multi-component film or layer. It is particularly useful.

図13は、図10の線A−Aにおける図10の熱処理装置100の一部分の別の平面図であり、別の実施形態による1次注入器184及び2次注入器186のオリフィス180からウェーハ108の例示的な1つを横切って排気スロット182まで進む変形例の気体流路を示している。   FIG. 13 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along line AA of FIG. 10 from the orifices 180 of the primary injector 184 and secondary injector 186 according to another embodiment. FIG. 6 illustrates a modified gas flow path that traverses one exemplary of the gas flow to an exhaust slot 182.

図14は、図10の線A−Aにおける図10の熱処理装置100の一部分の別の平面図であり、更に別の実施形態による1次注入器184及び2次注入器186のオリフィス180からウェーハ108の例示的な1つを横切って排気スロット182まで進む変形例の気体流路を示している。   FIG. 14 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along line AA of FIG. 10, and from the orifices 180 of the primary and secondary injectors 184 and 186 according to yet another embodiment. An alternative gas flow path is shown that traverses one exemplary 108 to an exhaust slot 182.

図15は、図10の線A−Aにおける図10の熱処理装置100の一部分の別の平面図であり、更に別の実施形態による1次注入器184及び2次注入器186のオリフィス180からウェーハ108の例示的な1つを横切って排気スロット182まで進む変形例の気体流路を示している。   15 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along line AA of FIG. 10 and from the orifices 180 of the primary and secondary injectors 184 and 186 according to yet another embodiment. An alternative gas flow path is shown that traverses one exemplary 108 to an exhaust slot 182.

図16は、変形実施形態による2つ又は3つ以上の上向き流れ注入器116−1、116−2を有する熱処理装置100の断面図である。この実施形態では、処理チャンバ102の低位置にそれぞれの出口オリフィスを有する処理注入器116−1、116−2から入れられた処理ガス又は蒸気が、上向きにウェーハ108を横切って流れ、消費された気体がライナ120の上面の排気スロット182から出る。上向き流れ注入器システムは、図1にも示されている。   FIG. 16 is a cross-sectional view of a heat treatment apparatus 100 having two or more upward flow injectors 116-1, 116-2 according to a modified embodiment. In this embodiment, process gas or vapor entered from process injectors 116-1, 116-2 having respective exit orifices at a lower location in process chamber 102 flows upward across wafer 108 and is consumed. Gas exits exhaust slot 182 on the top surface of liner 120. An upward flow injector system is also shown in FIG.

図17は、変形実施形態による下向き流れ注入器システムを有する熱処理装置100の断面図である。この実施形態では、処理チャンバ102の高位置にそれぞれ出口オリフィスを有する処理注入器116−1、116−2から入れられた処理ガス又は蒸気が、下向きにウェーハ108を横切って流れ、消費された気体が、ライナ120の下側区域の排気スロット182から出る。   FIG. 17 is a cross-sectional view of a heat treatment apparatus 100 having a downward flow injector system according to a modified embodiment. In this embodiment, process gas or vapor introduced from process injectors 116-1, 116-2, each having an exit orifice at a high position in process chamber 102, flows downward across wafer 108 and is consumed. Exits the exhaust slot 182 in the lower section of the liner 120.

有利な態様においては、注入器116、216及び/又はライナ120は、処理ガスを注入するための異なる箇所及び処理区域128から排気するための異なる箇所を有する他の注入器及びライナと、迅速かつ簡単に置換又は交換されるのがよい。図10に示すX字形流れ注入器216の実施形態では、処理チャンバ102内の流れパターンを、図10に示すような交差流形態から図1及び図16に示すような上向き流れ形態又は図17に示すような下向き流れ形態に迅速かつ容易に変化させることを可能にすれば、工程の柔軟性の程度が増大することが当業者によって認められる。これは、流れの幾何学形状を交差流れから上向き流れ又は下向き流れに変換するために、容易に導入可能な注入器アセンブリ216及びライナ120を用いることによって達成される。   In an advantageous manner, the injectors 116, 216 and / or the liner 120 can be quickly and with other injectors and liners having different locations for injecting process gas and different locations for exhausting from the process area 128. It should be easily replaced or replaced. In the embodiment of the X-shaped flow injector 216 shown in FIG. 10, the flow pattern in the processing chamber 102 is changed from a cross flow configuration as shown in FIG. 10 to an upward flow configuration as shown in FIGS. It will be appreciated by those skilled in the art that allowing a quick and easy change to the downward flow configuration shown will increase the degree of process flexibility. This is accomplished by using an easily installable injector assembly 216 and liner 120 to convert the flow geometry from cross flow to upward flow or downward flow.

注入器116、216及びライナ120は、別々の構成要素であってもよいし、注入器がライナと一体的に単一部品として形成されてもよい。後者の実施形態は、処理チャンバ102構成を頻繁に変えることが望ましい用途で特に有用である。   Injectors 116, 216 and liner 120 may be separate components, or the injector may be integrally formed with the liner as a single piece. The latter embodiment is particularly useful in applications where it is desirable to frequently change the processing chamber 102 configuration.

図18を参照して熱処理装置100を作動させるための例示的な方法又は工程を説明する。図18は、1バッチのウェーハの各ウェーハを望ましい温度まで迅速かつ均一に加熱するために、1バッチのウェーハ108を熱処理する方法の段階を示す流れ図である。本方法では、基台130を下降させ、基台130を下降させている間、熱遮蔽体142を一定の位置に移動させ、底部加熱要素112−1からの熱を基台130に反射させて戻すことにより、基台130の温度を維持すると共に、完成ウェーハ108を断熱する(段階190)。選択的に、シャッタ158を適所に移動させて、処理チャンバ102を密封又は隔離し(段階192)、加熱要素112−2、112−3に電力を供給して、処理チャンバ102の予備加熱を開始し、又は、中間又はアイドル温度を維持する(段階194)。新しいウェーハ108が装填されたキャリア又はボート106を基台130に位置決めする(段階196)。基台130を上昇させて、ボート106を処理区域128に位置決めし、同時にシャッタ158及び熱遮蔽体142を取外し、底部加熱要素112−1を作動させて、ウェーハを中間温度まで予備加熱する(段階197)。熱遮蔽体142を、ボート106が処理区域128に位置決めされる直前に除去することが好ましい。処理ガス又は蒸気等の流体を、複数の注入ポート180からウェーハ108の一方の側に導入する(段階198)。流体は、注入ポート180からウェーハ108の表面を横切り、注入ポートに対してウェーハの反対側のライナ120に位置決めされた排気ポート182まで流れる(段階199)。選択的に、ウェーハを熱処理する間、機械エネルギをキャリア又はボート106まで基台130を通り越して磁気的に結合してボート106を再位置決めすることによって、1バッチのウェーハ108sを熱処理する間、ボート106を処理区域128内で回転させ、熱処理の均一性を更に向上させる(段階200)。   An exemplary method or process for operating the heat treatment apparatus 100 will be described with reference to FIG. FIG. 18 is a flow diagram illustrating the steps of a method of heat treating a batch of wafers 108 to quickly and uniformly heat each wafer of the batch to a desired temperature. In this method, the base 130 is lowered, and while the base 130 is lowered, the heat shield 142 is moved to a certain position, and the heat from the bottom heating element 112-1 is reflected to the base 130. By returning, the temperature of the base 130 is maintained and the completed wafer 108 is insulated (step 190). Optionally, the shutter 158 is moved into position to seal or isolate the processing chamber 102 (stage 192), power is applied to the heating elements 112-2, 112-3, and preheating of the processing chamber 102 is initiated. Or maintain an intermediate or idle temperature (step 194). The carrier or boat 106 loaded with the new wafer 108 is positioned on the base 130 (step 196). The base 130 is raised to position the boat 106 in the processing area 128, while simultaneously removing the shutter 158 and the thermal shield 142 and actuating the bottom heating element 112-1 to preheat the wafer to an intermediate temperature (stage). 197). The thermal shield 142 is preferably removed immediately before the boat 106 is positioned in the processing area 128. A fluid, such as process gas or vapor, is introduced into one side of the wafer 108 from a plurality of injection ports 180 (step 198). Fluid flows from the injection port 180 across the surface of the wafer 108 to an exhaust port 182 positioned on the liner 120 opposite the wafer relative to the injection port (stage 199). Optionally, while heat treating the wafer, during the heat treatment of a batch of wafers 108s, the mechanical energy is magnetically coupled past the carrier 130 to the carrier or boat 106 to reposition the boat 106. 106 is rotated within the processing zone 128 to further improve the uniformity of the heat treatment (step 200).

図19を参照して別の実施形態による熱処理装置100の方法又は工程をここで以下に説明する。図19は、キャリア内の1バッチのウェーハ108を熱処理する方法の実施形態の段階を示す流れ図である。本方法では、ウェーハ108を保持したキャリア106を収容するのに必要とされるよりも実質的に大きくない寸法及び容積の処理チャンバ102を有し且つ保護加熱器を有していない装置100を準備する。基台130を下降させ、ウェーハ108を保持したボート106を基台130の上に位置決めする(段階202)。基台130を上昇させ、ボートを処理チャンバ102に装填し、同時にウェーハ108を中間温度まで予備加熱する(段階204)。処理チャンバ102の上壁134、側壁136及び下壁138の少なくとも1つの近くに配置された各加熱要素112−1、112−2、112−3に電力を供給し、処理チャンバの加熱を開始する(段階206)。選択的に、加熱要素の少なくとも1つへの電力を独立に調節し、処理チャンバ102の処理区域128内を、望ましい温度で実質的に等温の環境にする(段階208)。ウェーハ108を熱処理するとき、及び、処理区域128内を望ましい温度に維持する間、基台130を下降させ、熱遮蔽体142を適所に移動させて、完成ウェーハ108を断熱し、底部加熱要素112−1からの熱を基台130に反射させて戻し、基台130の温度を維持する(段階210)。また、選択的に、シャッタ158を適所に移動させて、処理チャンバ102及び加熱要素112−2、112−3に与えた電力を密封又は隔離し、処理チャンバの温度を維持する(段階212)。次に、ボート106を基台130から取外し(段階214)、処理すべき新しいバッチのウェーハを装填した別のボートを基台に位置決めする(段階216)。シャッタ158を再位置決めし又は除去し(段階218)、熱遮蔽体を引込め又は再位置決めし、ボート106内のウェーハ108を中間温度まで予備加熱し、それと同時に基台130を上昇させ、ボートを処理チャンバ102に装填し、新しいバッチのウェーハを熱処理する(段階220)。   A method or process of a heat treatment apparatus 100 according to another embodiment will now be described below with reference to FIG. FIG. 19 is a flowchart illustrating the steps of an embodiment of a method for heat treating a batch of wafers 108 in a carrier. The method provides an apparatus 100 having a processing chamber 102 of a size and volume that is not substantially larger than required to accommodate a carrier 106 holding a wafer 108 and having no protective heater. To do. The base 130 is lowered, and the boat 106 holding the wafers 108 is positioned on the base 130 (step 202). The base 130 is raised and the boat is loaded into the processing chamber 102, while the wafer 108 is preheated to an intermediate temperature (step 204). Power is supplied to each heating element 112-1, 112-2, 112-3 located near at least one of the top wall 134, side wall 136, and bottom wall 138 of the processing chamber 102 to begin heating the processing chamber. (Step 206). Optionally, the power to at least one of the heating elements is independently adjusted to bring the processing area 128 of the processing chamber 102 into a substantially isothermal environment at a desired temperature (step 208). When heat treating the wafer 108 and while maintaining the desired temperature within the processing area 128, the base 130 is lowered and the thermal shield 142 is moved into place to insulate the finished wafer 108 and provide the bottom heating element 112. The heat from -1 is reflected back to the base 130 to maintain the temperature of the base 130 (step 210). Optionally, the shutter 158 is moved into place to seal or isolate the power applied to the processing chamber 102 and heating elements 112-2, 112-3 and maintain the temperature of the processing chamber (step 212). Next, the boat 106 is removed from the base 130 (step 214) and another boat loaded with a new batch of wafers to be processed is positioned on the base (step 216). The shutter 158 is repositioned or removed (step 218), the thermal shield is retracted or repositioned, the wafer 108 in the boat 106 is preheated to an intermediate temperature, and at the same time the base 130 is raised, The process chamber 102 is loaded and a new batch of wafers is heat treated (step 220).

上述したように構成され且つ作動させる熱処理装置100が、従来のシステムよりも約75%の処理又はサイクル時間を減少させることが確定している。例えば、従来の大量のバッチ用の熱処理装置は、100の製品ウェーハを、前処理及び後処理時間を含んで約232分で処理することができる。本発明の熱処理装置100は、ミニバッチの25の製品ウェーハ108の同じ処理を、約58分で行うものである。   It has been determined that the heat treatment apparatus 100 constructed and operated as described above reduces processing or cycle times by about 75% over conventional systems. For example, a conventional high volume batch heat treatment apparatus can process 100 product wafers in approximately 232 minutes, including pre-processing and post-processing times. The heat treatment apparatus 100 of the present invention performs the same processing of 25 product wafers 108 in a mini batch in about 58 minutes.

図20〜図32を参照して、ここで本発明の一実施形態による注入システムを以下に説明する。   With reference to FIGS. 20-32, an injection system according to one embodiment of the present invention will now be described.

注入ポート又はオリフィスが細長い管に分配された注入器が、基板の表面にわたる気体濃度を制御するために水平及び垂直炉の両方に用いられてきた。一般的に、2つ又はそれよりも多くの注入器を用いて、特定の用途に応じて同様の又は異なる気体が分配される。例えば、Pドープポリシリコンの堆積に対しては、分布させた注入ポートを備えた注入器を使用して、炉内のウェーハロードにわたってPH3ガスを導入し、均一な気体濃度を得ていた。分布させた注入ポートを備えた注入器を用いて、堆積した膜又はフィルムの特性がウェーハロードにわたって同じであることが保証される。従来的には、注入器は固定され、すなわち、注入器内の注入ポート又はオリフィスの方向が固定され、一般的にウェーハの中心の方向を向いている。例えそうであっても、ウェーハ上に堆積したフィルムは、依然として望ましくないウェーハ内均一性を示している。堆積フィルムの均一性、品質、及び再現性は、気体流速、濃度、圧力、及び温度だけではなく、気体流パターン及び気体の分布にも依存する。本発明は、異なる気体の「衝撃混合」の運動量移送を促進して流れ均一性を改善し、それによって堆積フィルムの品質及び均一性を改善するために角度的に調節可能な注入システムを提供する。一般的に、本発明の注入システムは、反応物及び他の気体の流れを各基板の表面を横切るように誘導するための複数の注入ポート又はオリフィスが分配された1つ又は2つ以上の細長い注入管を含む。細長い注入管は、軸線の周りに360度回転可能である。 Injectors with injection ports or orifices distributed in elongated tubes have been used in both horizontal and vertical furnaces to control the gas concentration across the surface of the substrate. Generally, two or more injectors are used to dispense similar or different gases depending on the particular application. For example, for deposition of P-doped polysilicon, an injector with a distributed injection port was used to introduce PH 3 gas over the wafer load in the furnace to obtain a uniform gas concentration. Using an injector with a distributed injection port, it is ensured that the properties of the deposited film or film are the same across the wafer load. Conventionally, the injector is fixed, i.e., the direction of the injection port or orifice within the injector is fixed, generally pointing toward the center of the wafer. Even so, the film deposited on the wafer still exhibits undesirable in-wafer uniformity. The uniformity, quality, and reproducibility of the deposited film depends not only on the gas flow rate, concentration, pressure, and temperature, but also on the gas flow pattern and gas distribution. The present invention provides an angularly adjustable injection system to facilitate momentum transfer of “impact mixing” of different gases to improve flow uniformity and thereby improve the quality and uniformity of the deposited film. . In general, the injection system of the present invention includes one or more elongated ports distributed with a plurality of injection ports or orifices for directing reactant and other gas flows across the surface of each substrate. Includes injection tube. The elongated infusion tube is rotatable 360 degrees around the axis.

図20は、本発明の一実施形態による注入システム250を含む熱処理装置230を示している。本発明を簡単に説明するために、本発明に密接な関係がない要素は、図面に示して説明することはしない。一般的に、装置230は、処理チャンバを収容する容器234を有し、処理チャンバは、1バッチのウェーハ242を保持したキャリア240を受入れるように構成された支持体238を有している。装置230は、ウェーハ242の温度を熱処理に望ましい温度まで上昇させるための熱源又は炉244を有している。交差流れライナ232は、ウェーハ242近くの処理ガス又は蒸気の濃度を上昇させて、処理チャンバ236の内面上に形成される可能性がある堆積物が剥がれ落ちたり剥離を起こしたりすることによるウェーハ242の汚染を減少させる。ライナ232は、ウェーハキャリア240の輪郭に合致するパターンを有し、ウェーハキャリア240とライナ壁の間の間隙を低減する大きさを有している。ライナ232は、底板246に装着されて密封される。交差流れ注入システム250は、ライナ232とウェーハキャリア240との間に配置される。以下に説明するように、気体は、層流をなしてウェーハの表面を横切るように、複数の注入ポート又はオリフィス252からウェーハ242及びキャリア240の一方の側に導入される。複数のスロット254がライナ232の反対側に形成され、気体又は反応副生成物が排気される。   FIG. 20 illustrates a heat treatment apparatus 230 that includes an implantation system 250 according to one embodiment of the present invention. For the purpose of briefly describing the present invention, elements that are not closely related to the present invention are not shown and described in the drawings. In general, the apparatus 230 includes a container 234 that houses a processing chamber, and the processing chamber includes a support 238 configured to receive a carrier 240 that holds a batch of wafers 242. The apparatus 230 includes a heat source or furnace 244 for raising the temperature of the wafer 242 to a desired temperature for heat treatment. Cross-flow liner 232 increases the concentration of process gas or vapor near wafer 242 to cause wafers 242 by depositing or causing debris that may form on the inner surface of process chamber 236. Reduce pollution. The liner 232 has a pattern that matches the contour of the wafer carrier 240 and is sized to reduce the gap between the wafer carrier 240 and the liner wall. The liner 232 is attached to the bottom plate 246 and sealed. Cross flow implantation system 250 is disposed between liner 232 and wafer carrier 240. As described below, gas is introduced into one side of the wafer 242 and carrier 240 from a plurality of injection ports or orifices 252 in a laminar flow across the surface of the wafer. A plurality of slots 254 are formed on the opposite side of the liner 232 to exhaust gases or reaction byproducts.

交差流れ注入システム250は、1又は2以上の細長い注入管を有している。図21は、本発明の一実施形態による細長い注入管256を示している。図示のように、細長い注入管256には、複数の注入ポート又はオリフィス252が設けられる。一実施形態では、注入ポート252間の間隔は、注入管256を設置する時に各注入ポート252がウェーハキャリア240に支持された2つの隣接するウェーハ242の間のある一定の高さに位置決めされ、それにより、注入ポート252を出た気体が隣接したウェーハ間に形成された通路に流される間隔である。別の実施形態では、注入管256の注入ポート又はオリフィス252間の間隔及びその数は、ライナ232のスロット254間の間隔及びその数と協働し、それにより、過剰な気体又は反応副生成物は、ライナの対応するスロットから排気される。本発明の注入システム250は、図21に示すような1又は2以上の細長い注入管256を有するのがよい。細長い注入管256は、高温及び高真空作動の熱的及び機械的応力に耐えることができ、処理中に用いられ又は放出される気体及び蒸気による腐食に抵抗性を有する任意の金属、セラミック、水晶、又はガラス材料で作られる。好ましくは、注入管は、不透明、半透明、又は透明の石英ガラスで作られる。一実施形態では、注入管は石英で作られる。   Cross flow injection system 250 has one or more elongated injection tubes. FIG. 21 illustrates an elongate infusion tube 256 according to one embodiment of the present invention. As shown, the elongate infusion tube 256 is provided with a plurality of infusion ports or orifices 252. In one embodiment, the spacing between the implant ports 252 is positioned at a certain height between two adjacent wafers 242 that each implant port 252 is supported by the wafer carrier 240 when the implant tube 256 is installed, This is the interval at which the gas exiting the injection port 252 flows through a passage formed between adjacent wafers. In another embodiment, the spacing between the injection ports or orifices 252 of the injection tube 256 and the number thereof cooperate with the spacing between the slots 254 and the number of the liner 232, thereby allowing excess gas or reaction byproducts. Are exhausted from the corresponding slots in the liner. The infusion system 250 of the present invention may include one or more elongated infusion tubes 256 as shown in FIG. The elongated injection tube 256 can withstand the thermal and mechanical stresses of high temperature and high vacuum operation and is resistant to corrosion by gases and vapors used or released during processing, any metal, ceramic, quartz Or made of glass material. Preferably, the injection tube is made of opaque, translucent or transparent quartz glass. In one embodiment, the injection tube is made of quartz.

図22は、注入システム250とライナ232及び底板246との接続を示す熱処理装置230の部分断面図である。細長い注入管256は、底板246の注入口262に結合され、Oリング264によって底板に密封される。細長い注入管256は、図23に詳細に示すように、クランプブロック266を介してライナ232と係合される。ロックピン268を用いて、クランプブロック266を底板246に固定する。反応物又は他の気体は、入口262を通して注入管256内に導入される。   FIG. 22 is a partial cross-sectional view of the heat treatment apparatus 230 showing the connection between the injection system 250 and the liner 232 and the bottom plate 246. An elongated injection tube 256 is coupled to the inlet 262 of the bottom plate 246 and is sealed to the bottom plate by an O-ring 264. The elongate infusion tube 256 is engaged with the liner 232 via a clamp block 266 as shown in detail in FIG. The clamp block 266 is fixed to the bottom plate 246 using the lock pin 268. Reactants or other gases are introduced into the inlet tube 256 through the inlet 262.

図24は、1つ又は2つ以上の細長い注入管256を受入れるための開口272を有するライナ232の上板270の部分平面図である。図示のように、上板270の開口には、細長い注入管256を安定させて管256の注入ポート252を特定の方向に向けるためにノッチ274が設けられている。説明のために開口266には3つのノッチが示されているが、細長い注入管256を軸線の周りに360度回転して調節してもよく、必要に応じて注入ポートを任意の方向に向けることができるように、任意の数のノッチを形成してもよいことに注意すべきである。一実施形態では、細長い管256は、それを開口部272のノッチ274の1つに係止させるためのインデックスピン(図示せず)を有している。別の実施形態では、管256内の注入ポート又はオリフィス252は、インデックスピンに整列して形成される。従って、細長い管256が導入されると、インデックスピンは、ノッチ274の1つに固定され、管256の注入ポート252は、ノッチに係止されたインデックスピンによって示される方向に向けられる。   FIG. 24 is a partial plan view of an upper plate 270 of liner 232 having an opening 272 for receiving one or more elongate infusion tubes 256. As shown, the opening in the top plate 270 is provided with a notch 274 to stabilize the elongated injection tube 256 and direct the injection port 252 of the tube 256 in a particular direction. For illustration purposes, the opening 266 is shown with three notches, but the elongate infusion tube 256 may be adjusted by rotating 360 degrees about the axis, with the infusion port oriented in any direction as needed. It should be noted that any number of notches may be formed so that they can. In one embodiment, the elongated tube 256 has an index pin (not shown) for locking it to one of the notches 274 in the opening 272. In another embodiment, the injection port or orifice 252 in the tube 256 is formed in alignment with the index pin. Thus, when the elongated tube 256 is introduced, the index pin is secured to one of the notches 274 and the injection port 252 of the tube 256 is oriented in the direction indicated by the index pin locked to the notch.

例えば、細長い管内のインデックスピンがノッチ274Aに係止される場合には、注入ポート252は、ライナ232の内面に面する方向に配置される。注入ポート252から出た気体は、壁に衝突し、各基板の表面242を横切って流れる前に混合される。別の実施形態では、細長い管256内のインデックスピンは、ノッチ274Bに係止される。各注入管256内の注入ポート252は、互いに面する向きに配置される。注入ポート252から出た気体は、互いに衝突し、各基板の表面242を横切って流れる前に混合される。別の実施形態では、細長い管256内のインデックスピンはノッチ274Cに係止され、注入ポート252は、基板242の中心に面する向きに配置される。開口に形成されるノッチの数は、細長い管256が360度回転可能で望ましい位置に安定させることができるように、従って、注入ポート252を望ましい方向に向けることができるように、必要なだけ多くすることができる。   For example, when the index pin in the elongated tube is locked to the notch 274 </ b> A, the injection port 252 is arranged in a direction facing the inner surface of the liner 232. The gas exiting the injection port 252 impinges on the walls and is mixed before flowing across the surface 242 of each substrate. In another embodiment, the index pin in the elongated tube 256 is locked to the notch 274B. The injection ports 252 in each injection tube 256 are arranged so as to face each other. The gases exiting the injection port 252 collide with each other and are mixed before flowing across the surface 242 of each substrate. In another embodiment, the index pin in the elongated tube 256 is locked to the notch 274C, and the injection port 252 is oriented to face the center of the substrate 242. The number of notches formed in the opening is as high as necessary so that the elongated tube 256 can be rotated 360 degrees and stabilized in the desired position, and thus the injection port 252 can be oriented in the desired direction. can do.

有利なことには、本発明の注入システムにより、注入ポートが完全に自由に回転することができ、異なる工程で変動する可能性がある気体の「衝撃混合」の運動量移送を改善することができる。気体混合及び流れ方向に影響を及ぼす注入ポート又はオリフィスが向けられる方向は、処理チャンバを変更することを必要とすることなしに、処理を行う毎に調節することができる。   Advantageously, the injection system of the present invention allows the injection port to rotate completely freely, improving the momentum transfer of gas “impact mixing” that can fluctuate in different steps. . The direction in which the injection port or orifice affecting the gas mixing and flow direction is directed can be adjusted for each treatment without requiring a change in the processing chamber.

一実施形態では、本発明の注入システムは、出っ張り部分を有する交差流れライナと共に用いられる。本出願と同時に出願した米国特許出願第_____号(代理人整理番号33586/US/1)には、交差流れライナが更に説明されており、その開示内容は、本明細書においてその全内容が引用により組み込まれている。図25〜図26は、本発明の注入システム250と共に用いることができる交差流れライナ276を示している。図示のように、交差流れライナ276は、閉鎖端部280及び開放端部282を有するシリンダ278を有している。シリンダ278は、交差流注入システム250を収容する長手方向出っ張り部分284を有している。出っ張り部分284に対して反対側のシリンダ278の長手方向に設けられた複数の横スロット286は、気体及び反応副生成物を排気する。交差流れライナ276は、ウェーハキャリア240及びキャリア支持体238の輪郭に適合する大きさ及びパターンを有している。一実施形態では、ライナ276は、両方のウェーハキャリア240に適合する大きさを有する第1の部分288と、キャリア支持体238に適合する大きさを有する第2の部分290とを含む。第1の部分288の直径は、この第2の部分290の直径と異なっていてもよく、すなわち、ライナ276を、「段付」にしてウェーハキャリア240及びキャリア支持体238にそれぞれ適合させることができる。一実施形態では、ライナ276の第1の部分288の内径は、キャリア外径の約104〜110%である。別の実施形態では、ライナ276の第2の部分290の内径は、キャリア支持体238の外径の約115〜120%である。第2の部分290は、1つ又は2つ以上の熱遮蔽体264を有し、Oリング等のシールが加熱要素によって過熱されないように保護される。有利なことには、長手方向出っ張り部分284を含む交差流れライナ276は、ウェーハキャリア240の輪郭に適合するように作られ、ライナ276とウェーハキャリア240の間の隙間を低減することができる。これによってライナ内壁とウェーハキャリアとの間の隙間領域の渦及び淀みが減少し、従って流れ均一性が改善され、これは、次に、堆積フィルムの品質、均一性、及び再現性を改善するのに役立つものである。   In one embodiment, the injection system of the present invention is used with a cross flow liner having a ledge. U.S. Patent Application No. ________________________________________________ filed at the same time as this application (Attorney Docket No. 33586 / US / 1) further describes cross-flow liners, the disclosure of which is incorporated herein in its entirety It is incorporated by. FIGS. 25-26 illustrate a cross flow liner 276 that can be used with the injection system 250 of the present invention. As shown, the cross-flow liner 276 includes a cylinder 278 having a closed end 280 and an open end 282. The cylinder 278 has a longitudinal ledge 284 that houses the cross flow injection system 250. A plurality of lateral slots 286 provided in the longitudinal direction of the cylinder 278 opposite to the protruding portion 284 exhausts gas and reaction by-products. Cross flow liner 276 has a size and pattern that conforms to the contours of wafer carrier 240 and carrier support 238. In one embodiment, the liner 276 includes a first portion 288 that is sized to fit both wafer carriers 240 and a second portion 290 that is sized to fit the carrier support 238. The diameter of the first portion 288 may be different from the diameter of the second portion 290, i.e., the liner 276 may be "stepped" to fit the wafer carrier 240 and carrier support 238, respectively. it can. In one embodiment, the inner diameter of the first portion 288 of the liner 276 is about 104-110% of the carrier outer diameter. In another embodiment, the inner diameter of the second portion 290 of the liner 276 is about 115-120% of the outer diameter of the carrier support 238. The second portion 290 has one or more thermal shields 264 and is protected from being overheated by a heating element such as an O-ring. Advantageously, the cross flow liner 276 including the longitudinal ledge 284 can be made to conform to the contour of the wafer carrier 240 to reduce the gap between the liner 276 and the wafer carrier 240. This reduces vortices and stagnation in the gap area between the liner inner wall and the wafer carrier, thus improving flow uniformity, which in turn improves the quality, uniformity, and repeatability of the deposited film. It is useful for.

図27に示す一実施形態では、交差流れライナ276の出っ張り部分284に、2つの細長い注入管256が設けられる。細長い管256は、注入ポート252がライナ276の内面に面する向きに配置されるように回転され調節される。図27に示すように、注入ポート252から出る気体は、ライナ壁に衝突し、各基板242の表面を横切って流れる前に出っ張り部分284で混合される。図28に示す別の実施形態では、2つの細長い管256を、注入ポート252が互いに面する向きになるように回転され調節される。図28に示すように、注入ポート252から出た気体は、互いに衝突し、各基板242の表面を横切って流れる前に出っ張り部分284で混合される。図29に示す別の実施形態では、2つの細長い管256を、注入ポート252が基板242の中心に面する向きに配置されように回転され調節される。   In one embodiment shown in FIG. 27, two elongate injection tubes 256 are provided on the ledge 284 of the cross-flow liner 276. The elongated tube 256 is rotated and adjusted so that the injection port 252 is oriented to face the inner surface of the liner 276. As shown in FIG. 27, the gas exiting the injection port 252 impinges on the liner wall and is mixed at the ledge 284 before flowing across the surface of each substrate 242. In another embodiment shown in FIG. 28, the two elongate tubes 256 are rotated and adjusted so that the injection ports 252 face each other. As shown in FIG. 28, the gases exiting the injection port 252 collide with each other and are mixed at the ledge 284 before flowing across the surface of each substrate 242. In another embodiment shown in FIG. 29, the two elongate tubes 256 are rotated and adjusted so that the injection port 252 is oriented so that it faces the center of the substrate 242.

以下の実施例は、本発明を更に説明するために提供するものであり、本発明の範囲を何ら限定することを意図しない。   The following examples are provided to further illustrate the present invention and are not intended to limit the scope of the invention in any way.

〔実施例1〕
この実施例は、ジクロロシラン(DCS)及びNH3ガスを用いる窒化珪素の堆積を説明する。堆積は、本発明の注入システムを含む熱処理装置内で行われる。注入システムは、ジクロロシラン(DCS)ガスを導入するための第1の注入管と、NH3ガスを導入するための第2の注入管とを含む。第1及び第2の注入管の各々は、各基板の表面を横切るように気体流を差し向けるための複数のポート又はオリフィスを有している。
[Example 1]
This example illustrates the deposition of silicon nitride using dichlorosilane (DCS) and NH 3 gas. Deposition is performed in a heat treatment apparatus including the implantation system of the present invention. The injection system includes a first injection tube for introducing dichlorosilane (DCS) gas and a second injection tube for introducing NH 3 gas. Each of the first and second injection tubes has a plurality of ports or orifices for directing a gas flow across the surface of each substrate.

1つの変形例では、細長い管は、注入ポートがライナの内面に面する向きに配置されるように回転して調節される。ジクロロシラン(DCS)及びNH3ガスは、注入ポートを出てウェーハから離れ、各基板の表面を横切って流れる前にライナの内面に衝突する。 In one variation, the elongate tube is rotated and adjusted so that the injection port is positioned so as to face the inner surface of the liner. Dichlorosilane (DCS) and NH 3 gas exit the injection port, leave the wafer, and impinge on the inner surface of the liner before flowing across the surface of each substrate.

別の変形例では、細長い管は、注入ポートが基板の中心に面する向きに配置されるように回転して調節される。ジクロロシラン(DCS)及びNH3ガスは、注入ポートを出て各基板の表面を横切って流れる。 In another variation, the elongate tube is rotated and adjusted so that the injection port is positioned in a direction facing the center of the substrate. Dichlorosilane (DCS) and NH 3 gas exit the injection port and flow across the surface of each substrate.

図30は、注入ポートが基板の中心に面する向きに配置されて気体が半径方向内向きに流れるように構成された注入器構成において、基板の表面を横切るジクロロシラン(DCS)及びNH3ガスの均一な流れを示す「計算流体力学(CFD)」的実証である。この場合、ジクロロシラン(DCS)とNH3の間の質量差は、比較的小さく(DCS=101、NH3=17)、従って、気体速度は更に類似する。 FIG. 30 shows dichlorosilane (DCS) and NH 3 gas across the surface of the substrate in an injector configuration in which the injection port is oriented to face the center of the substrate and the gas flows radially inward. This is a “computational fluid dynamics (CFD)” demonstration showing a uniform flow of water In this case, the mass difference between dichlorosilane (DCS) and NH 3 is relatively small (DCS = 101, NH 3 = 17), and thus the gas velocities are more similar.

〔実施例2〕
この実施例は、ビスシラン(BTBAS)及びNH3ガスを用いる窒化珪素の堆積を説明する。堆積は、本発明の注入システムを含む熱処理装置内で行われる。注入システムは、ビスシラン(BTBAS)ガスを導入するための第1の注入管と、NH3ガスを導入するための第2の注入管とを含む。第1及び第2の注入管の各々は、各基板の表面を横切るように気体流を差し向けるための複数のポート又はオリフィスを有している。
[Example 2]
This example illustrates the deposition of silicon nitride using bissilane (BTBAS) and NH 3 gas. Deposition is performed in a heat treatment apparatus including the implantation system of the present invention. The injection system includes a first injection tube for introducing bissilane (BTBAS) gas and a second injection tube for introducing NH 3 gas. Each of the first and second injection tubes has a plurality of ports or orifices for directing a gas flow across the surface of each substrate.

1つの変形例では、細長い管は、注入ポートがライナの内面に面する向きに配置されるように回転して調節される。ビスシラン(BTBAS)及びNH3ガスは、注入ポートを出てウェーハから離れ、各基板の表面を横切って流れる前にライナの壁に衝突する。 In one variation, the elongate tube is rotated and adjusted so that the injection port is positioned so as to face the inner surface of the liner. Bissilane (BTBAS) and NH 3 gas exit the injection port, leave the wafer, and impinge on the liner walls before flowing across the surface of each substrate.

別の変形例では、細長い管は、注入ポートが互いに面する向きに配置されるように回転して調節される。ビスシラン(BTBAS)及びNH3ガスは、注入ポートを出て、各基板の表面を横切って流れる前に混合される。 In another variation, the elongate tube is rotated and adjusted so that the injection ports are positioned so that they face each other. Bissilane (BTBAS) and NH 3 gas exit the injection port and are mixed before flowing across the surface of each substrate.

図31は、注入ポートが互いに面する向きに配置されて気体の合流を生じさせる注入器構成において、基板の表面を横切るビスシラン(BTBAS)及びNH3ガスの均一な流れを示す計算流体力学(CFD)的実証である。この場合、BTBASの分子量は174であり、NH3の分子量は17である。ビスシラン(BTBAS)及びNH3の反跳及び混合は、気体がウェーハを横切って流れる時の均一な気体速度を保証し、300mmウェーハ上で<1.5%(1シグマ)という例外的なウェーハ内均一性をもたらす。 FIG. 31 shows computational fluid dynamics (CFD) showing the uniform flow of bissilane (BTBAS) and NH 3 gas across the surface of the substrate in an injector configuration where the injection ports are oriented facing each other to create a gas merge. ). In this case, the molecular weight of BTBAS is 174 and the molecular weight of NH 3 is 17. Recoil and mixing of bissilane (BTBAS) and NH 3 ensures uniform gas velocity as the gas flows across the wafer, and in an exceptional wafer <1.5% (1 sigma) on a 300 mm wafer Provides uniformity.

〔実施例3〕
この実施例は、トリメチルアルミニウム(TMA)及びオゾン(O3)ガスを用いる酸化アルミニウム(Al23)の堆積を説明する。堆積は、本発明の注入システムを含む熱処理装置内で行われる。注入システムは、トリメチルアルミニウム(TMA)ガスを導入するための第1の注入管と、O3ガスを導入するための第2の注入管とを含む。第1の注入管及び第2の注入管の各々は、各基板の表面を横切るように気体流を差し向けるための複数のポート又はオリフィスを有している。
Example 3
This example illustrates the deposition of aluminum oxide (Al 2 O 3 ) using trimethylaluminum (TMA) and ozone (O 3 ) gas. Deposition is performed in a heat treatment apparatus including the implantation system of the present invention. The injection system includes a first injection tube for introducing trimethylaluminum (TMA) gas and a second injection tube for introducing O 3 gas. Each of the first injection tube and the second injection tube has a plurality of ports or orifices for directing a gas flow across the surface of each substrate.

1つの変形例では、細長い管は、注入ポートがライナの内面に面する向きに配置されるように回転して調節される。トリメチルアルミニウム(TMA)及びO3ガスは、注入ポートから出てウェーハから離れ、各基板の表面を横切って流れる前にライナの壁に衝突する。 In one variation, the elongate tube is rotated and adjusted so that the injection port is positioned so as to face the inner surface of the liner. Trimethylaluminum (TMA) and O 3 gas exit the implantation port, leave the wafer, and impinge on the liner walls before flowing across the surface of each substrate.

1つの変形例では、細長い管は、注入ポートが互いに面する向きに配置されるように回転して調節される。トリメチルアルミニウム(TMA)及びO3ガスは、注入ポートを出て、各基板の表面を横切って流れる前に混合される。 In one variation, the elongate tube is rotated and adjusted so that the injection ports are positioned so that they face each other. Trimethylaluminum (TMA) and O 3 gas are mixed before exiting the injection port and flowing across the surface of each substrate.

図32は、注入ポートがライナ壁に面する向きに配置されて気体が半径方向外向きに流れる注入器構成において、基板の表面を横切るトリメチルアルミニウム(TMA)及びO3ガスの均一な流れを示す計算流体力学(CFD)的実証である。トリメチルアルミニウム(TMA)及びO3の反跳及び混合は、気体が各ウェーハの表面を横切って流れる時の均一な気体速度を保証する。 FIG. 32 shows a uniform flow of trimethylaluminum (TMA) and O 3 gas across the surface of the substrate in an injector configuration where the injection port is positioned facing the liner wall and the gas flows radially outward. Computational fluid dynamics (CFD) demonstration. Recoil and mixing of trimethylaluminum (TMA) and O 3 ensures a uniform gas velocity as the gas flows across the surface of each wafer.

本発明の特定的な実施形態及び実施例の以上の説明は、例示及び説明のために示されたものであり、本発明を先の実施例のいくつかによって説明して示したが、それによって限定されるように解釈されるものではない。これらは、網羅的ではなく、本発明を開示した正確な形態に限定するようにも意図されておらず、以上の教示に照らして本発明の範囲内の多くの修正、改良、及び変形が可能である。本発明の範囲は、本明細書に開示され、かつ特許請求の範囲及びその均等物による包括的領域を包含するものとする。   The foregoing descriptions of specific embodiments and examples of the present invention have been presented for purposes of illustration and description, and the present invention has been described and illustrated by some of the previous examples. It is not to be construed as limiting. They are not exhaustive and are not intended to limit the invention to the precise form disclosed, and many modifications, improvements, and variations within the scope of the invention are possible in light of the above teachings. It is. The scope of the present invention is intended to be encompassed by the appended claims and their equivalents, including the scope of the claims and their equivalents.

従来の上向き流構成を用いて本発明の実施形態による等温制御ボリュームを提供するための基台加熱器を有する熱処理装置の断面図である。FIG. 6 is a cross-sectional view of a heat treatment apparatus having a base heater for providing an isothermal control volume according to an embodiment of the present invention using a conventional upward flow configuration. 図1に示す熱処理装置に有用な底板の代替的実施形態の斜視図である。2 is a perspective view of an alternative embodiment of a bottom plate useful in the heat treatment apparatus shown in FIG. 本発明の実施形態による基台加熱器及び熱遮蔽体を有する熱処理装置の一部分の断面図である。It is sectional drawing of a part of heat processing apparatus which has a base heater and heat shield by embodiment of this invention. 本発明の実施形態による図3の基台加熱器及び熱遮蔽体の概略図である。FIG. 4 is a schematic diagram of the base heater and thermal shield of FIG. 3 according to an embodiment of the present invention. 本発明による高吸収性を有する材料の上部層と高反射性を有する材料の下部層とを有する熱遮蔽体の実施形態の概略図である。1 is a schematic view of an embodiment of a thermal shield having an upper layer of highly absorbent material and a lower layer of highly reflective material according to the present invention. 本発明による冷却チャンネルを有する熱遮蔽体の別の実施形態の概略図である。FIG. 6 is a schematic view of another embodiment of a thermal shield having a cooling channel according to the present invention. 本発明による熱遮蔽体及びアクチュエータの実施形態の斜視図である。1 is a perspective view of an embodiment of a thermal shield and actuator according to the present invention. 本発明の実施形態によるシャッタを有する熱処理装置の一部分の断面図である。It is sectional drawing of a part of heat processing apparatus which has a shutter by embodiment of this invention. 本発明の実施形態による基台加熱器と磁気結合ウェーハ回転システムとを有する処理チャンバの断面図である。1 is a cross-sectional view of a processing chamber having a base heater and a magnetically coupled wafer rotation system according to an embodiment of the present invention. 本発明の実施形態による交差流注入器システムを有する熱処理装置の断面図である。1 is a cross-sectional view of a heat treatment apparatus having a cross flow injector system according to an embodiment of the present invention. 本発明の実施形態によるライナに関する注入器オリフィスの位置とウェーハに関する排気スロットの位置とを示す図10の熱処理装置の一部分の断面側面図である。11 is a cross-sectional side view of a portion of the thermal processing apparatus of FIG. 10 showing the position of the injector orifice with respect to the liner and the position of the exhaust slot with respect to the wafer according to an embodiment of the present invention. 本発明の実施形態による1次及び2次注入器のオリフィスからウェーハを横切って排気ポートまでの気体流を示す図10の線A−Aに沿って取った図10の熱処理装置の一部分の平面図である。10 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along line AA of FIG. 10 showing gas flow from the primary and secondary injector orifices across the wafer to the exhaust port according to embodiments of the present invention. It is. 本発明の別の実施形態による1次及び2次注入器のオリフィスからウェーハを横切って排気ポートまでの気体流を示す図10の線A−Aに沿って取った図10の熱処理装置の一部分の平面図である。10 is a portion of the thermal processing apparatus of FIG. 10 taken along line AA of FIG. 10 illustrating gas flow from the primary and secondary injector orifices across the wafer to the exhaust port according to another embodiment of the present invention. It is a top view. 本発明の更に別の実施形態による1次及び2次注入器のオリフィスからウェーハを横切って排気ポートまでの気体流を示す図10の線A−Aに沿って取った図10の熱処理装置の一部分の平面図である。10 is a portion of the thermal processing apparatus of FIG. 10 taken along line AA of FIG. 10 illustrating the gas flow from the primary and secondary injector orifices across the wafer to the exhaust port according to yet another embodiment of the present invention. FIG. 本発明の更に別の実施形態による1次及び2次注入器のオリフィスからウェーハを横切って排気ポートまでの気体流を示す図10の線A−Aに沿って取った図10の熱処理装置の一部分の平面図である。10 is a portion of the thermal processing apparatus of FIG. 10 taken along line AA of FIG. 10 illustrating the gas flow from the primary and secondary injector orifices across the wafer to the exhaust port according to yet another embodiment of the present invention. FIG. 本発明の実施形態による代替的な上向き流注入器システムを有する熱処理装置の断面図である。FIG. 6 is a cross-sectional view of a heat treatment apparatus having an alternative upward flow injector system according to an embodiment of the present invention. 本発明の実施形態による代替的な下向き流注入器システムを有する熱処理装置の断面図である。FIG. 6 is a cross-sectional view of a heat treatment apparatus having an alternative downward flow injector system according to an embodiment of the present invention. 本発明の実施形態によりウェーハのバッチを熱処理し、それによってウェーハのバッチの各ウェーハが望ましい温度まで迅速かつ均一に加熱される工程の実施形態を示す流れ図である。4 is a flow diagram illustrating an embodiment of a process for heat treating a batch of wafers according to an embodiment of the present invention, whereby each wafer in the batch of wafers is heated quickly and uniformly to a desired temperature. 本発明の実施形態によりウェーハのバッチを熱処理し、それによってウェーハのバッチの各ウェーハが望ましい温度まで迅速かつ均一に加熱される工程の別の実施形態を示す流れ図である。6 is a flow diagram illustrating another embodiment of a process for heat treating a batch of wafers according to an embodiment of the present invention, whereby each wafer in the batch of wafers is heated quickly and uniformly to a desired temperature. 本発明の一実施形態による注入システムを含む熱処理装置の断面図である。It is sectional drawing of the heat processing apparatus containing the injection | pouring system by one Embodiment of this invention. 本発明の一実施形態による複数の注入ポートを有する細長い管を示す図である。FIG. 5 shows an elongate tube having a plurality of injection ports according to an embodiment of the present invention. 本発明の一実施形態による注入システムと交差流れライナ及び底板との接続を示す熱処理装置の部分断面側面図である。1 is a partial cross-sectional side view of a heat treatment apparatus showing the connection between an injection system and a cross-flow liner and bottom plate according to one embodiment of the present invention. 本発明の一実施形態による注入システムと交差流れライナ及び底板との接続を示す熱処理装置の部分断面上面図である。1 is a partial cross-sectional top view of a heat treatment apparatus showing the connection of an injection system with a cross-flow liner and a bottom plate according to one embodiment of the present invention. ノッチを有する開口を示すライナ上板の部分平面図である。It is a partial top view of the liner upper board which shows the opening which has a notch. 本発明の一実施形態による長手方向出っ張り部分を示す交差流段付ライナの外面図である。1 is an external view of a cross-flow stepped liner showing a longitudinal ledge portion according to an embodiment of the present invention. FIG. 本発明の一実施形態によるライナの複数の排気スロットを示す交差流段付ライナの外面図である。2 is an external view of a cross-flow stepped liner showing a plurality of exhaust slots of the liner according to one embodiment of the invention. FIG. 本発明の一実施形態によりウェーハを横切って流れて排気スロットから出る前にライナ内壁に衝突するオリフィスからの気体流を示す、出っ張り部分を含む交差流れライナを有する注入システムの平面図である。1 is a plan view of an injection system having a cross-flow liner including a ledge that illustrates gas flow from an orifice that flows across the wafer and impinges on the liner inner wall before exiting the exhaust slot according to one embodiment of the present invention. 本発明の一実施形態によりウェーハを横切って流れて排気スロットから出る前に互いに衝突するオリフィスからの気体流を示す、出っ張り部分を含む交差流れライナを有する注入システムの平面図である。1 is a plan view of an injection system having a cross-flow liner including a ledge that shows gas flow from orifices that flow across a wafer and impinge on each other before exiting an exhaust slot according to an embodiment of the present invention. 本発明の一実施形態によりウェーハの中心に差し向けられて排気スロットから出るオリフィスからの気体流を示す、出っ張り部分を含む交差流れライナを有する注入システムの平面図である。1 is a plan view of an implantation system having a cross-flow liner including a ledge that shows gas flow from an orifice that is directed to the center of a wafer and exits an exhaust slot according to one embodiment of the present invention. 窒化珪素を堆積させるために本発明の一実施形態により基板の中心に面する注入ポートを有する注入システムを含む熱処理装置に対するCFD的実証の図である。FIG. 5 is a CFD-like demonstration for a thermal processing apparatus including an implantation system having an implantation port facing the center of the substrate for depositing silicon nitride according to one embodiment of the present invention. 窒化珪素を堆積するために本発明の一実施形態により互いに対向する注入ポートを有する注入システムを含む熱処理装置に対するCFD的実証の図である。FIG. 5 is a CFD-like demonstration for a thermal processing apparatus that includes an implantation system having opposed implantation ports according to an embodiment of the present invention for depositing silicon nitride. 酸化アルミニウムを堆積するために本発明の一実施形態によりライナ内壁に面する注入ポートを有する注入システムを含む熱処理装置に対するCFD的実証の図である。FIG. 5 is a CFD-like demonstration for a thermal processing apparatus that includes an injection system having an injection port facing the inner wall of the liner to deposit aluminum oxide according to one embodiment of the present invention.

Claims (19)

キャリアに支持された複数の基板を処理するのに適した熱処理装置であって、
反応物及び他の気体の流れを各基板の表面を横切るように差し向けるための交差流れ注入システムを有し、この交差流れシステムは、1つ又は2つ以上の細長い管を有し、細長い管の各々は、軸線の周りに回転可能であり、複数の注入ポートを有する、熱処理装置。
A heat treatment apparatus suitable for processing a plurality of substrates supported by a carrier,
A cross flow injection system for directing a flow of reactants and other gases across the surface of each substrate, the cross flow system having one or more elongate tubes, elongate tubes Each of which is rotatable about an axis and has a plurality of injection ports.
前記複数の注入ポートは、線をなして形成され、前記1つ又は2つ以上の細長い管に長手方向に分配される、請求項1に記載の熱処理装置。   The thermal processing apparatus of claim 1, wherein the plurality of injection ports are formed in a line and are distributed longitudinally to the one or more elongated tubes. 前記1つ又は2つ以上の細長い管は、軸線の周りに360度回転可能である、請求項1に記載の熱処理装置。   The heat treatment apparatus of claim 1, wherein the one or more elongated tubes are rotatable 360 degrees around an axis. 更に、前記キャリアを包囲する交差流れライナを有し、
前記交差流れ注入システムは、前記交差流れライナと前記キャリアとの間に配置され、360度回転可能である、請求項1に記載の熱処理装置。
A cross flow liner surrounding the carrier;
The heat treatment apparatus according to claim 1, wherein the cross-flow injection system is disposed between the cross-flow liner and the carrier and is capable of rotating 360 degrees.
前記1つ又は2つ以上の細長い管は、前記複数の注入ポートが前記ライナに面する向きに配置されるように回転され、前記複数の注入ポートから出た気体を、それが各基板の表面を横切る前、前記ライナに衝突させる、請求項4に記載の熱処理装置。   The one or more elongate tubes are rotated such that the plurality of injection ports are arranged in a direction facing the liner, and the gas exiting the plurality of injection ports is transferred to the surface of each substrate. The heat treatment apparatus according to claim 4, wherein the heat treatment apparatus is made to collide with the liner before traversing. 前記1つ又は2つ以上の細長い管は、前記複数の注入ポートが互いに面する向きに配置されるように回転され、前記複数の注入ポートから出た気体を、それが前記基板を横切る前、互いに衝突させる、請求項4に記載の熱処理装置。   The one or more elongate tubes are rotated such that the plurality of injection ports are arranged in a direction facing each other, allowing gas exiting the plurality of injection ports to pass before it crosses the substrate; The heat processing apparatus of Claim 4 made to collide with each other. 前記1つ又は2つ以上の細長い管は、前記複数の注入ポートが各基板の中心に面する向きに配置されるように回転される、請求項4に記載の熱処理装置。   The thermal processing apparatus of claim 4, wherein the one or more elongated tubes are rotated such that the plurality of injection ports are oriented in a direction facing the center of each substrate. 前記交差流れライナは、閉鎖端部及び開放端部を有するシリンダを有し、このシリンダは、長手方向出っ張り部分を有し、前記交差流注入システムは、前記出っ張り部分に収容される、請求項4に記載の熱処理装置。   The cross-flow liner has a cylinder with a closed end and an open end, the cylinder having a longitudinal ledge, and the cross-flow injection system is housed in the ledge. The heat processing apparatus as described in. 前記交差流れ注入システムは、前記出っ張り部分に収容された1つ又は2つ以上の細長い管を有する、請求項8に記載の熱処理装置。   9. The thermal processing apparatus of claim 8, wherein the cross flow injection system has one or more elongated tubes housed in the ledge portion. 前記開放端部は、前記細長い管を受入れるための2つの開口を有する、請求項9に記載の熱処理装置。   The heat treatment apparatus according to claim 9, wherein the open end has two openings for receiving the elongated tube. 前記開口部は、前記注入ポートを所定の方向に向けるためのノッチを有する、請求項10に記載の熱処理装置。   The heat treatment apparatus according to claim 10, wherein the opening has a notch for directing the injection port in a predetermined direction. キャリアに保持された複数の基板を熱処理するための装置であって、
基板のための処理領域を構成する処理チャンバと、
キャリアに保持された基板を包囲する交差流れライナと、
1つ又は2つ以上の気体の流れを各基板の表面を横切るように差し向けるために、前記キャリアと前記交差流れライナとの間に配置された交差流れ注入システムと、を有し、
前記交差流注入システムは、1又は2以上の細長い管を有し、この細長い管の各々は、軸線の周りに回転可能である、装置。
An apparatus for heat-treating a plurality of substrates held on a carrier,
A processing chamber defining a processing region for the substrate;
A cross-flow liner surrounding the substrate held by the carrier;
A cross flow injection system disposed between the carrier and the cross flow liner to direct a flow of one or more gases across the surface of each substrate;
The cross flow injection system has one or more elongated tubes, each of which is rotatable about an axis.
前記処理チャンバは、1〜100の基板を処理するように寸法決めされる、請求項12に記載の装置。   The apparatus of claim 12, wherein the processing chamber is sized to process 1-100 substrates. 前記交差流れ注入システムは、第1の細長い注入管及び第2の細長い注入管を含み、これらの注入管の各々は、線をなして形成され且つ前記細長い注入管内に長手方向に分配された複数の注入ポートを有し、前記細長い注入管の各々は、軸線の周りに360度回転可能である、請求項12に記載の装置。   The cross-flow infusion system includes a first elongate infusion tube and a second elongate infusion tube, each of the infusion tubes formed in a line and longitudinally distributed within the elongate infusion tube. 13. The apparatus of claim 12, wherein each of the elongated infusion tubes is rotatable 360 degrees about an axis. 前記交差流れライナは、閉鎖端部及び開放端部を有するシリンダを有し、このシリンダは、前記第1の細長い注入管及び前記第2の細長い注入管を収容するための長手方向出っ張り部分を有する、請求項14に記載の装置。   The cross-flow liner has a cylinder having a closed end and an open end, the cylinder having a longitudinal ledge for receiving the first elongate infusion tube and the second elongate infusion tube. The apparatus according to claim 14. 前記閉鎖端部は、前記第1の細長い注入管及び前記第2の細長い注入管を受入れるための開口を有する、請求項15に記載の装置。   16. The device of claim 15, wherein the closed end has an opening for receiving the first elongate infusion tube and the second elongate infusion tube. 前記開口部は、ノッチを有し、各注入管は、前記第1の細長い注入管及び前記第2の細長い注入管内の注入ポートが所定の方向に向けられるようにノッチに係止されるインデックスピンを有する、請求項16に記載の装置。   The opening has a notch, and each injection tube is indexed to be locked to the notch so that the injection ports in the first elongate injection tube and the second elongate injection tube are oriented in a predetermined direction. The apparatus of claim 16, comprising: 前記交差流れライナは、それが前記キャリアに対して共形であり且つその内径が前記基板の直径の約104〜110パーセントであるパターン及び大きさを有する、請求項12に記載の装置。   The apparatus of claim 12, wherein the cross-flow liner has a pattern and size that is conformal to the carrier and whose inner diameter is approximately 104-110 percent of the diameter of the substrate. 前記交差流れライナは、気体を排気するために前記複数の注入ポートと協働する複数のスロットを有する、請求項18に記載の装置。   The apparatus of claim 18, wherein the cross-flow liner has a plurality of slots that cooperate with the plurality of injection ports to exhaust gas.
JP2006528134A 2003-09-25 2004-09-22 Heat treatment system with cross-flow injection system including a rotatable injector Abandoned JP2007515054A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US50635403P 2003-09-25 2003-09-25
US10/946,849 US20050121145A1 (en) 2003-09-25 2004-09-21 Thermal processing system with cross flow injection system with rotatable injectors
PCT/US2004/031063 WO2005031803A2 (en) 2003-09-25 2004-09-22 Thermal processing system with cross flow injection system with rotatable injectors

Publications (1)

Publication Number Publication Date
JP2007515054A true JP2007515054A (en) 2007-06-07

Family

ID=34396311

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006528134A Abandoned JP2007515054A (en) 2003-09-25 2004-09-22 Heat treatment system with cross-flow injection system including a rotatable injector

Country Status (5)

Country Link
US (1) US20050121145A1 (en)
EP (1) EP1676294A4 (en)
JP (1) JP2007515054A (en)
TW (1) TWI250586B (en)
WO (1) WO2005031803A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007189077A (en) * 2006-01-13 2007-07-26 Ishikawajima Harima Heavy Ind Co Ltd Heat treatment apparatus
JP2015503227A (en) * 2011-11-17 2015-01-29 ユ−ジーン テクノロジー カンパニー.リミテッド Substrate processing apparatus for supplying reaction gas having phase difference

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050098107A1 (en) * 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
JP2006229040A (en) * 2005-02-18 2006-08-31 Matsushita Electric Ind Co Ltd Method and apparatus for heat treatment
JP4426518B2 (en) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 Processing equipment
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5211464B2 (en) * 2006-10-20 2013-06-12 東京エレクトロン株式会社 Oxidizer for workpiece
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8007275B2 (en) * 2008-01-25 2011-08-30 Micron Technology, Inc. Methods and apparatuses for heating semiconductor wafers
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (en) * 2009-12-30 2012-09-19 应用材料公司 Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8647992B2 (en) * 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104520975B (en) * 2012-07-30 2018-07-31 株式会社日立国际电气 The manufacturing method of substrate processing device and semiconductor devices
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9638466B2 (en) * 2012-12-28 2017-05-02 Jonathan Y. MELLEN Furnace system with active cooling system and method
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9605345B2 (en) * 2013-08-23 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical furnace for improving wafer uniformity
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI611043B (en) * 2015-08-04 2018-01-11 Hitachi Int Electric Inc Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) * 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
JP7315607B2 (en) * 2021-03-16 2023-07-26 株式会社Kokusai Electric Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022002761A1 (en) * 2022-07-29 2024-02-01 centrotherm international AG Device for the thermal treatment of substrates, especially semiconductor wafers

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3637434A (en) * 1968-11-07 1972-01-25 Nippon Electric Co Vapor deposition apparatus
JPS5817831A (en) * 1981-07-24 1983-02-02 Fujitsu Ltd Chemical vapor growth method
JPS5972721A (en) * 1982-10-20 1984-04-24 Toshiba Corp Vapor phase growth device
US4615294A (en) * 1984-07-31 1986-10-07 Hughes Aircraft Company Barrel reactor and method for photochemical vapor deposition
NL8503163A (en) * 1984-11-16 1986-06-16 Sony Corp DEVICE AND METHOD FOR VAPOR PRESSURE.
DE3885833T2 (en) * 1987-09-22 1994-03-24 Nec Corp Chemical vapor deposition apparatus for the production of high quality epitaxial layers with a uniform density.
JP2683671B2 (en) * 1988-06-27 1997-12-03 東京エレクトロン株式会社 Film forming method and film forming apparatus on semiconductor substrate
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
KR100458982B1 (en) * 2000-08-09 2004-12-03 주성엔지니어링(주) Semiconductor device fabrication apparatus having rotatable gas injector and thin film deposition method using the same
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007189077A (en) * 2006-01-13 2007-07-26 Ishikawajima Harima Heavy Ind Co Ltd Heat treatment apparatus
JP2015503227A (en) * 2011-11-17 2015-01-29 ユ−ジーン テクノロジー カンパニー.リミテッド Substrate processing apparatus for supplying reaction gas having phase difference

Also Published As

Publication number Publication date
EP1676294A4 (en) 2007-10-31
WO2005031803A3 (en) 2006-12-21
TW200531174A (en) 2005-09-16
WO2005031803A2 (en) 2005-04-07
US20050121145A1 (en) 2005-06-09
EP1676294A2 (en) 2006-07-05
TWI250586B (en) 2006-03-01
WO2005031803A9 (en) 2005-05-26

Similar Documents

Publication Publication Date Title
JP2007515054A (en) Heat treatment system with cross-flow injection system including a rotatable injector
JP2007525017A (en) Heat treatment system with cross-flow liner
JP2005533378A (en) Heat treatment apparatus and configurable vertical chamber
US20070137794A1 (en) Thermal processing system with across-flow liner
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
US5938850A (en) Single wafer heat treatment apparatus
TWI687966B (en) Method of processing substrate and vacuum processing system and apparatus
US5246500A (en) Vapor phase epitaxial growth apparatus
US5592581A (en) Heat treatment apparatus
US7479619B2 (en) Thermal processing unit
US7700376B2 (en) Edge temperature compensation in thermal processing particularly useful for SOI wafers
US20030049372A1 (en) High rate deposition at low pressures in a small batch reactor
KR102072525B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and program
US20030038127A1 (en) System and method of fast ambient switching for rapid thermal processing
KR19990087225A (en) Heat treatment equipment
US5370371A (en) Heat treatment apparatus
JP7018882B2 (en) High temperature heater for processing chamber
WO2022031422A1 (en) Wafer edge temperature correction in batch thermal process chamber
JP3129777B2 (en) Heat treatment apparatus and heat treatment method
JP2002530847A (en) Heat treatment apparatus, system and method for treating semiconductor substrate
KR20050020757A (en) Thermal processing system and configurable vertical chamber
JP2005259902A (en) Substrate processor
KR20050058842A (en) Apparatus for manufacturing semiconductors
KR200365533Y1 (en) Furnace of low temperature chemical vaper deposition equipment
JPH09153485A (en) Vapor growth device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070404

A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20080813

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080813